6
ELSEVIER Thin Solid Films 269 ( 1995) 5 1-56 Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone Ajay Jain a, T.T. Kodas ‘, M.J. Hampden-Smith b a Department of Chemical Engineering, University of New Mexico, Albuquerque, NM 87131, USA b Department of Chemistry, Universiv of New Mexico, Albuquerque, NM 87131, USA Received 6 February 1995; accepted 28 June 1995 Abstract A new thermal dry etch process for copper (Cu) is reported which results in isotropic removal of Cu at high rates, does not involve the use of halogens such as chlorine, and results in formation of a volatile etching product. Applications include cleaning of chemical vapor deposition reactors and the back-side of wafers. The process involves oxidation of copper by hydrogen peroxide ( H,Oz) vapor to form either copper(I) or copper( II) oxide depending on the etch temperature and removal of the copper oxides by reaction with hexafluoroacetylacetone (hfacH) to form volatile copper( bis-hexafluoroacetylacetonate) (Cu( hfac),) and water. Copper was etched at temperatures as low as 150 “C and at rates of up to N 1 pm min- at 190 “C by simultaneous flow of H20, and hfacH over a heated substrate. The etch rate increased with substrate temperature, etchant flow rates, and chamber pressure over the range of the parameters studied. The rate-limiting regime was identified by observing the film color during etching; a dark-brown color suggested fast oxidation with slow removal of copper oxide as the rate limiting step while a copper color suggested fast removal of copper oxide from the surface with oxidation as the rate-limiting step. The partially etched copper films were less reflective and exhibited higher surface roughness compared with the sputter-deposited copper used for etching. Keywords: Copper; Etching; Metallization; Oxidation 1. Introduction In semiconductor device manufacturing there is concern that existing interconnect metallurgy, specifically aluminum alloys, will become unreliable and performance-limiting as the number of integration levels and the speed of semicon- ductor devices increase [ 11. For this reason other metals are being considered for horizontal interconnects in new gener- ations of integrated circuits (IC). Copper may partially replace aluminum alloy because of its advantages of higher melting temperature, lower resistivity, and lower susceptibil- ity to electromigration failure. The main limitation for copper is that to date there is no promising method for etching copper [ 21. An anisotropic etch is needed for patterning to form interconnect lines, while a thermal dry isotropic etch is desir- able for cleaning CVD reactors to remove deposits from the heated sections (such as the wafer holder, chuck, quartz win- dow, and other areas) and cleaning the back-side of the wafers to prevent cross-contamination of the front-end proc- ess wafers when the same lithography and oxide deposition tools are used repeatedly for each metallization layer. Current anisotropic dry etching processes take advantage of both physical and chemical processes to selectively etch 0040-6090/95/$09.50 0 1995 Elsevier Science S.A. All rights reserved SSDIOO40-6090(95)06877-5 material in one direction by imposing directionality by either a plasma or laser irradiation. Anisotropic etching of copper has been achieved by reactive ion etching (RIE) methods using a number of chlorine sources. Copper etch rates of 5 000 A min-’ were reported at 225 “C using Ccl, [ 31. In another report, copper etch rates of 850 A min- at 200 “C were reported with Sic&/N* [4]. Arita [ 51 reported a copper RIE process using Cl,, NH,, SiCl, and N2 mixtures. The addition of NH, resulted in formation of a protective Si,N, layer on the sidewalls which prevented lateral etching. An etch rate of 1000 A min - with high selectivity to SiO, and S&N, was achieved at 280 “C. The laser-induced etching of copper has also been examined. These approaches rely on chlorination of the copper surface followed by desorption of CuCl, species by laser irradiation [ 6-91. Although anisotropic etching profiles can be obtained using plasmas, RIE, or lasers, these methods rely on the use of chlorine ( C12) or chlorocarbons and result in the formation of etch products such as Cu,Cl, which have low vapor pres- sures and require high temperatures for desorption [ IO]. The use of Cl? and other chlorine sources has also raised concerns of copper corrosion during subsequent processing if residual CuCI, is left on the surface and the formation of low-vapor-

Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

Embed Size (px)

Citation preview

Page 1: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

ELSEVIER Thin Solid Films 269 ( 1995) 5 1-56

Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

Ajay Jain a, T.T. Kodas ‘, M.J. Hampden-Smith b a Department of Chemical Engineering, University of New Mexico, Albuquerque, NM 87131, USA

b Department of Chemistry, Universiv of New Mexico, Albuquerque, NM 87131, USA

Received 6 February 1995; accepted 28 June 1995

Abstract

A new thermal dry etch process for copper (Cu) is reported which results in isotropic removal of Cu at high rates, does not involve the use of halogens such as chlorine, and results in formation of a volatile etching product. Applications include cleaning of chemical vapor deposition reactors and the back-side of wafers. The process involves oxidation of copper by hydrogen peroxide ( H,Oz) vapor to form either copper(I) or copper( II) oxide depending on the etch temperature and removal of the copper oxides by reaction with hexafluoroacetylacetone (hfacH) to form volatile copper( bis-hexafluoroacetylacetonate) (Cu( hfac),) and water. Copper was etched at temperatures as low as 150 “C and at rates of up to N 1 pm min- ’ at 190 “C by simultaneous flow of H20, and hfacH over a heated substrate. The etch rate increased with substrate temperature, etchant flow rates, and chamber pressure over the range of the parameters studied. The rate-limiting regime was identified by observing the film color during etching; a dark-brown color suggested fast oxidation with slow removal of copper oxide as the rate limiting step while a copper color suggested fast removal of copper oxide from the surface with oxidation as the rate-limiting step. The partially etched copper films were less reflective and exhibited higher surface roughness compared with the sputter-deposited copper used for etching.

Keywords: Copper; Etching; Metallization; Oxidation

1. Introduction

In semiconductor device manufacturing there is concern

that existing interconnect metallurgy, specifically aluminum alloys, will become unreliable and performance-limiting as the number of integration levels and the speed of semicon- ductor devices increase [ 11. For this reason other metals are being considered for horizontal interconnects in new gener-

ations of integrated circuits (IC). Copper may partially replace aluminum alloy because of its advantages of higher

melting temperature, lower resistivity, and lower susceptibil-

ity to electromigration failure. The main limitation for copper

is that to date there is no promising method for etching copper [ 21. An anisotropic etch is needed for patterning to form

interconnect lines, while a thermal dry isotropic etch is desir- able for cleaning CVD reactors to remove deposits from the heated sections (such as the wafer holder, chuck, quartz win- dow, and other areas) and cleaning the back-side of the wafers to prevent cross-contamination of the front-end proc- ess wafers when the same lithography and oxide deposition tools are used repeatedly for each metallization layer.

Current anisotropic dry etching processes take advantage of both physical and chemical processes to selectively etch

0040-6090/95/$09.50 0 1995 Elsevier Science S.A. All rights reserved SSDIOO40-6090(95)06877-5

material in one direction by imposing directionality by either a plasma or laser irradiation. Anisotropic etching of copper

has been achieved by reactive ion etching (RIE) methods using a number of chlorine sources. Copper etch rates of

5 000 A min-’ were reported at 225 “C using Ccl, [ 31. In another report, copper etch rates of 850 A min- ’ at 200 “C were reported with Sic&/N* [4]. Arita [ 51 reported a copper RIE process using Cl,, NH,, SiCl, and N2 mixtures. The

addition of NH, resulted in formation of a protective Si,N,

layer on the sidewalls which prevented lateral etching. An

etch rate of 1000 A min - ’ with high selectivity to SiO, and

S&N, was achieved at 280 “C. The laser-induced etching of

copper has also been examined. These approaches rely on

chlorination of the copper surface followed by desorption of CuCl, species by laser irradiation [ 6-91.

Although anisotropic etching profiles can be obtained using plasmas, RIE, or lasers, these methods rely on the use of chlorine ( C12) or chlorocarbons and result in the formation of etch products such as Cu,Cl, which have low vapor pres- sures and require high temperatures for desorption [ IO]. The use of Cl? and other chlorine sources has also raised concerns of copper corrosion during subsequent processing if residual CuCI, is left on the surface and the formation of low-vapor-

Page 2: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

52 A. Jain et al. /Thin Solid Films 269 (1995) 51-56

Fig. 1. General strategy for developing dry-etching processes for copper.

The subscript (g) represents species in the gas phase and subscript (s)

represents species on the surface.

pressure reaction products results in particle formation and

deposition on the reactor walls which are at a lower temper- ature than the etch temperature. In addition, development of

chemical mechanical polishing (CMP) of Cu as an alternate patterning method has further reduced interest in anisotropic

copper etching [ 111. However, for the purpose of cleaning CVD reactors and the back-side of the wafers, a low-temper-

ature thermal dry etch is desirable.

Several approaches to thermal dry etching without an addi- tional source of energy such as plasmas or lasers have also

been reported. In one approach copper was oxidized with

chlorine to form CuCl and then reacted with triethylphos- phine ( PEt,) to form the volatile species, ClCu( PEt3)2 [ 121.

Copper films were etched at rates of u 1 p.m min- ’ at 150 “C by reaction with either a sequential or simultaneous feed of Cl* and PEt,. Another route employed comproportiona-

tion, the reverse reaction used for CVD of copper with (/3- diketonate)CuL; in this approach Cu(hfac), and L are reactants and (hfac)CuL is the etching product [ 13,141.

Etching copper at 120 “C with copper( bis-hexafluoroacetyl- acetonate) (Cu(hfac),) and BTMSA (where BTMSA = bis( trimethylsilyl) acetylene) ) vapors gave etch rates of

500 A min-’ [ 141. These approaches have several draw

backs. The copper etch process using Cl, and PEt, again relies on the use of Cl, while the reverse disproportionation method

suffers from low etch rates. As a result, there is a need for a

thermal dry etch process for copper which etches at high rates and low temperatures, and results in the formation of volatile etch products without using chlorine.

When developing strategies for etching metal films a num-

ber of common fundamental steps can be identified. Two key

steps are involved: (i) oxidation of the metal and (ii) reaction

of the oxidized metal-containing material to form a volatile metal-containing product. These steps are illustrated sche- matically in Fig. 1. A reagent is normally added which is capable of oxidizing the metal, identified here as X2 (e.g.

Cl,). This species adsorbs on the metal surface (step 1) , and undergoes a redox reaction resulting in oxidation of the metal (step 2) to form a species such as MX2 (e.g. CuCl,) . Gen-

erally, these species are oligomeric and as a result have a low

vapor pressure. One way in which the vapor pressure of such

species can be increased is to add a second reagent, identified here as L (e.g. PEt,) which adsorbs on the oxidized metal

surface (step 3) and subsequently reacts with M’X, (step 4) to deoligomerize it and form a volatile species such as LM”XP

which desorbs from the surface (step 5) exposing the under- lying metal for continuous etching. This strategy is exempli- fied by the formation of the volatile species ClCu( PEt,) 2 as

described above.

Here we demonstrate a new method for dry isotropic Cu etching using a variation of the above strategy in which cop-

per is oxidized to copper oxides (CuO,) using hydrogen

peroxide (H202) thereby avoiding the use of a chlorine source. Etching or removal of the CuO, is achieved by reac- tion with hexafluoroacetylacetone (hfacH) to form the vol-

atile Cu( hfac) 2 etch species. This method has the advantages over previous dry etching methods for Cu of avoiding the use

of chlorine while providing high etch rates ( N 1 p,m min- ‘) at low temperatures ( < 200 “C) as a direct consequence of

the formation of the volatile Cu(hfac), which is thermally

stable up to 250 “C in the absence of hydrogen. The etch process was studied as a function of substrate temperature, etchant flow rate, and chamber pressure.

2. Experimental procedure

Fig. 2 shows the experimental set-up used for dry etching of copper. The system consisted of a warm-wall quartz reac- tor, turbo-molecularly pumped to obtain a base pressure of 10e5 Torr or lower. Separate source vessels for H,Oz and hfacH were used with needle valves for independent control

of their flow rates. The HzOz and hfacH vapors were carried

BARATRON IONIZATION GAUGE

COLD TRAP

Fig. 2. Schematic diagram of the experimental set-up for dry-etching of copper.

Page 3: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

A. Jain et al. /Thin Solid Films 269 (I 995) 51-56 53

to the reaction chamber without a carrier gas (because of

their high vapor pressures) and mixed before entering the

reaction chamber. The H202 and hfacH vessels were held at 40 “C to prevent variations in the flow rates which can occur due to evaporative cooling. The total chamber pressure was measured by a capacitance manometer and was maintained

by throttling a valve between the reactor and pumping system. The substrate was lamp-heated and the temperature was

measured on the top of the substrate using a thermocouple.

The substrates consisted of sputter deposited copper films approximately N 1.6 p.m thick (film resistivity of - 1.7 pa

cm) on silicon wafers with a thin intermediate layer ( _ 300

A) of sputter-deposited titanium nitride (TIN) acting as

adhesion promoter. The copper was etched by simultaneous

flow of H202 and hfacH over the heated substrate. The etch

rate was calculated from the weight loss as well as by meas-

uring the increase in resistance of the partially etched copper

film and both were in agreement.

3. Results and discussion

3.1. Copper-etch pathway

The copper etching process involves two overall reactions in series, oxidation of copper by H202 to form CuO, and

subsequent removal of CuO, by reaction with hfacH, identi- fied as reagent L in Fig. 1. In order to obtain a better under- standing of the overall process, oxidation and etching were first studied independently. The oxidation process was stud- ied by flowing H,O* vapor over Cu films heated to 200 and 250 “C at a chamber pressure of 5 Torr for 15 min. Up to

_ 1000 A of the copper film was oxidized as estimated from the increase in the resistance of the film after oxidation, sug-

gesting that the oxidation did not stop after just a few mon-

olayers. The oxidized copper surface was analyzed by X-ray

photoelectron spectroscopy (XPS) to determine the oxida-

tion state of the copper.

Fig. 3 shows the X-ray-induced Auger spectra for Cu ( L3M4.5M4.5) for the two oxidized copper surfaces. From the peak locations [ 151 it was determined that the surface of the copper film oxidized at 200 “C was primarily copper( I) oxide

(Cu,O) while the film oxidized at 250 “C was primarily

copper( II) oxide (CuO) . Therefore, increasing substrate

temperature resulted in formation of a higher oxidation-state

copper product. Eqs. ( 1) and (2) are provided as plausible

explanations of the overall oxidation reactions at 200 and 250

“C, respectively.

2Cu(s) +H,O,(g) = >Cu,O(s) +H,O(g) (1)

Cu(s) +H,O,(g) = >CuO(s) +H,O(g) (2)

The second process of the reaction of CuO, with hfacH has

been studied previously where it was shown that the reaction

pathway depended on the oxidation state of the copper

according to Eqs. (3) and (4). In both cases Cu( hfac), was

formed as the copper etch species together with water vapor

[161.

Cu,O( s) + 2hfacH( g) = >

Cu(s) +Cu(hfac),(g) +H,O(g) (3)

CuO(s) +ILhfacH(g) = >Cu(hfac),(g) +H,O(g) (4)

The overall reaction is schematically represented in Fig. 4.

The reaction products, Cu( hfac)* and H20, are compatible

with Cu CVD processes. The Cu (hfac) 2 is a copper precursor

which is volatile and does not decompose below 250 “C while

H,O has also been shown to improve the quality of the Cu

film deposited by CVD [ 171. The process also has potential

for dry etching of other metals (M) that can form M( hfac),

volatile species (where rz = 2, 3).

BIWNG ENERGY. eV

Fig. 3. X-ray-induced Auger spectra for Cu (L,M,.,M,& as a function of decreasing binding energy for copper surfaces oxidized by H,Oz vapor at 200 and 250 “C, and 5 Torr chamber pressure for 15 min.

Page 4: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

54

Table 1

A. Jain ef al. /Thin Solid Films 269 (1995) 51-56

Copper etch rate at 5 Torr chamber pressure as a function of etch temperature and etchant fiow rates

Temperature (“C) hfacH flow rate (seem) H202 flow rate (seem) Etch rate (a mit~-~)

150 17 2 1000

150 17 17 3000

190 17 17 7000

190 28 17 10000

hfacH (g) \

J+,$-’ (s) + cu(hfac):! (g) rrt

hfacH (g) ’

Fig. 4. Schematic diagram of the overall copper etch reaction. The subscript

(g) represents species in the gas phase and subscript (s) represents species

on the surface.

3500

3000

2!m

2000

1500

!. 1. ! ,I, 1

1000 !. 1

4 6 6 10 12 14 16 18 20

hfacH Flow Rate (accm)

Fig. 5. Copper etch rate as a function of hfacH and H,O, flow rates at a

substrate temperature of 220 “C and 2 Torr chamber pressure.

3.2. Copper-etch rate measurements

The etch rate was measured as a function of etchant flow rates, chamber pressure, and substrate temperature. The rea- gents H202 and hfacH were simultaneously and continuously introduced into the chamber for etching. Table 1 gives typ- ical etch rates at a chamber pressure of 5 Torr. The etch rate

increased with both substrate temperature and etchant flow rate. Copper was successfully etched at temperatures as low

as 150 “C at etch rates of - 3000 A min- ’ which increased

to - 1 Frn min- * at 190 “C.

For a given etch temperature, the relative flow rates of the

two etchants determined the rate-limiting step. This was

determined by studying the effect of H202 and hfacH flow

rates on the etch rate. At a given H202 flow rate the overall rate of removal of copper from the surface would be limited

by the oxidation of copper under conditions where the etch rate is nearly independent of hfacH flow rate. In contrast, the

reaction of the copper oxides with hfacH and the desorption

of Cu( hfac), would be rate limiting under conditions where

the etch rate increased with hfacH flow rate. This was sup-

ported by observations of the color of the film during etching.

A dark-brown film color suggested reaction of the copper

oxide with hfacH or removal of Cu(hfac)2 as rate limiting

because of the slow removal of the copper oxide (which is

dark brown in color) from the surface. A copper color during

7cm

6000

5oco

P E

3 4000

E

$

i

z3000

B

s

2oc0

1000

OI 4 6 8 10 12 14 16 16 20

htacH Flow Rate (seem)

Fig. 6. Effect of chamber pressure on etch rate as a function of hfacH flow

rate ( Hz02 flow rate of 11 seem) at a substrate temperature of 220 “C.

Page 5: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

A. Jain et al. /Thin Solid Films 269 (1995) 51-56 55

r?mo

-+- 2 Torr Chamber Pressure

2800 t-i

- 5 Torr Chamber Pressure

- 10 Ton Chamber Pressure

4 6 8 10 12 14 16 18 20

hfacH Flow Rate (seem)

Fig. 7. Effect of chamber pressure on etch rate as a function of hfacH BOW

rate ( H,Oz flow rate of 5 seem) at a substrate temperature of 220 “C.

etching suggested oxidation as rate limiting because of the fast removal of copper oxide from the surface by reaction

with hfacH and desorption of Cu( hfac)z. Fig. 5 shows the etch rate as a function of hfacH flow rate

for two HZ02 flow rates at a substrate temperature of 220 “C and 2 Torr chamber pressure. The etch rate was only weakly

dependent on hfacH flow rate at a H,Oz flow rate of 5 seem suggesting the oxidation of copper as rate controlling, con-

sistent with the copper film color observed during etching.

However, at a higher H202 flow rate of 11 seem the etch rate increased with the hfacH flow rate suggesting the reaction

with hfacH and desorption of Cu( hfac), as rate limiting; this

was consistent with the dark-brown film color observed dur-

ing etching. The etch rate was also measured as a function of hfacH

flow rate for several chamber pressures. Fig. 6 shows the etch rate under conditions where reaction with hfacH or desorption of Cu( hfac)2 was rate limiting ( H202 flow rate of 11 seem) at a substrate temperature of 220 “C. The etch rate increased with chamber pressure for all hfacH flow rates except the highest value of 19 seem. However, under conditions where oxidation was rate limiting (H,O, flow rate of 5 seem and

hfacH flow rate of 8 seem and greater) the etch rate was

independent of the chamber pressure within the experimental

error (Fig. 7).

The etching process had an effect on the surface morphol-

ogy of the copper film. The SEM photographs in Fig. 8 show

the effect of etching on the surface roughness. Fig. 8(a) is

the surface of a sputter-deposited copper film before etching showing smooth and large-grained structures. The surface

roughness increased and voids were formed after oxidation

of copper by H,Oz (Fig. 8(b) ) This is consistent with for- mation of copper oxide (CuO,) which has a lower density

and larger volume compared with copper metal causing voids

and surface roughness. Fig. 8(c) shows the absence of voids on the surface after exposure of the oxidized copper surface

to hfacH vapors. However, the surface roughness increased compared with the sputter-deposited copper film used for

etching. The increased surface roughness was observed under

all conditions studied and is a direct consequence of the for- mation of the lower-density copper oxide. This increase in

surface roughness is not a limitation for the purpose of clean- ing CVD reactors or the back-side of wafer surfaces because copper has to be completely etched in such applications.

4. Summary and conclusions

A new thermal dry etch for copper has been developed for the purpose of cleaning CVD reactors and the back-sides of

Fig. 8. Effect of etching on the surface roughness: (a) sputter-deposited copper film used for etching; (b) after oxidation of copper by H202; and exposure of the oxidized copper surface to hfacH vapors.

(c) after

Page 6: Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetone

56 A. Jain et al. /Thin Solid Films 269 (1995) 51-56

the wafers. The process involves the simultaneous flow of Hz02 and hfacH vapor over a heated copper film. The H202 oxidizes copper to form copper oxides which are removed from the surface by reaction with hfacH and desorption of Cu(hfac),. Simultaneous flow of H202 and hfacH allows continuous copper etching at temperatures as low as 150 “C with an etch rate of - 1 ,um min-’ at 190 “C. The etch rate increased with substrate temperature, etchant flow rate, and chamber pressure. The etching process induced surface roughness as observed on partially etched copper films com- pared to the sputter-deposited copper used for etching. The process has the advantages of eliminating the use of chlorine and results in formation of thermally stable and volatile etch products which are compatible with copper CVD processes.

References

[ I] T.T. Kodas and M.J. Hampden-Smith (eds.), The Chemisrry ofMetal CVD, VCH, Weinheim, 1994, pp. 4-43.

[2] T.T. Kodas and M.J. Hampden-Smith, Mater. Res. Sot. Bull., Special Issue on Copper Interconnects, June (1993) 128.

[3] G.C. Schwartz and P.M. Schaible, J. Elecrrochem. Sot., 130 (1983) 1777; P.M. Schaible and G.C. Schwartz, U.S. Patent No. 4 352 716, 1982.

[4] B.J. Howard, SK Wolterman, W.J. Yoo. B. Gittleman and C.H. Steinbruchel, Mater. Res. Sot. Symp. Proc., 201 ( 1991) 129.

[5] Y. Arita, Proc. SEMlCON/KOREA91,1991, p. B-3, L6] W.D. Grobman, F. Ho, J.E. Hurst, Jr., J.J. Ritsko and Y. Tomkiewicz,

U.S. Parent No. 4 622 095, November 1986. [7] L. Chen, T.J. Chuang and G.S. Mathad, U.S. Parent No. 4 490 210,

December 1984; L. Chen, J.R. Lankard and G.S. Mathad, U.S. Parent

No. 4490211, December 1984. [S] H.F. Winters, J. Vat. Sci. Technol., 83(I) (1985) 9.

[9] W. Sesselmann, E.E. Mariner0 and T.J. Chuang, Appl. Phys. A, 41

( 1986) 209.

[lo] H.F. Winters, J. Vat. Sci. Technol., A3 (1985) 786.

[ 111 R. Jairath, J. Farkas. C.K. Huang, M. Stell and S. MO. Tzeng. Solid

Stare Technol. 7, (1994) 71. [ 121 J. Farkas, K.M. Chi, M.J. Hampden-Smith. T.T. Kodas and L. Dubois

Mafer. Sci. Eng., 817 (1993) 93.

[131 J.A.T. Norman, B.A. Muratore, P.N. Dyer, D.A. Roberts and A.K. Hochberg, J. Phys. IV, 1 ( 1991) C2-271.

[ 141 J. Farkas, K.M. Chi, T.T. Kodas and M.J. Hampden-Smith, Advanced

Metallization For I!/LSI, AT&T Bell Laboratories, Murray Hill, NJ. 1992, p. 445.

[ 151 N.S. McIntyre, S. Sunder, D.W. Shoessmith and F.W. Stanchell, J.

Vat. Sci. Technol., 18(3) (1981).

[ 161 F. Rousseau, A. Jam, T.T. Kodas, M.J. Hampden-Smith, J.D. Farr and R. Muenchausen, J. Mater. Chem., 2 ( 1992) 893.

[ 171 A.V. Gelatos, R. Marsh, M. Kottke and C.J. Mogab, Appl. Phys. Lerr.,

63(20) (1993) 2842.