17
1 June 26 – 28, 2017 Hilton Hotel Dresden, Germany www.EMLC2017.com THE 33 rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE EMLC 2017 PROGRAM

THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

1

June 26 – 28, 2017Hi l ton Hotel Dresden, Germany

www.EMLC2017.com

THE 33 rd EUROPEAN MASK AND L ITHOGRAPHYC O N F E R E N C E

EMLC 2017

PROGRAM

Page 2: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

2 3

Welcome to the EMLC 2017 in Dresden33rd European Mask and Lithography Conference

EMLC 2017 at the Hilton Hotel, Dresden, Germany

June 26th to June 28th 2017

On behalf of VDE/VDI-GMM, the EMLC2017 Sponsors, and the EMLC2017 Organizing and International Program Commit-tee, we would like to welcome you to the 33rd European Mask and Lithography Conference, EMLC2017, at the Hilton Hotel in the City of Dresden, Germany.

The conference has annually brought together scientists, re-searchers, engineers, and technologists from research institu-tes and companies from around the world to present innova-tions at the forefront of mask lithography and mask technology.

The two and a half days conference (starting with a Tutorial on Monday, June 26th at 01:30 pm, ending on Wednesday, June 28th at 5:00 pm) is dedicated to the science, technology, engi-neering and application of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year, the EMLC2017 Program Committee defined the following sessions:

“Wafer Lithography (193i and EUV)”; “Mask Patterning, Metrology & Process”; “Non-IC Applications”; “Nano-Imprint Lithography”; “Mask2Wafer Metrology”; and “Using the Data / Big Data”.

As Welcome Speaker we are pleased to announce Mathias Kamolz from Infineon Technologies Dresden, Germany. He will talk about: ”The Power of Power-Semiconductors”.

As first Keynote Speaker we have invited Jim Wiley from ASML US, Inc., Santa Clara, CA, USA. He will inform about: “The Status and Challenges of the EUV photomask ecosystem”.

The second Keynote Speaker is Bryan Rice from Global-foundries, Dresden, Germany. He will talk about “Breaking the Paradigm: FDX Technology at Globalfoundries”.

The third Keynote Speaker is Paul Ackmann from Global-foundries Inc., Santa Clara, USA. The subject of his pre-sentation is: “Integral Nature of Masks through five Decades”.

Furthermore, we have invited Kurt Ronse from imec, Leuven, Belgium. He will talk about the “Recent EUV developments at IMEC”.

As every year, we have invited the authors of the Best Paper and Best Poster from BACUS 2016, and the Best Paper from PMJ 2017 to present their paper.

All together 47 papers (3 keynotes, 9 invited, 20 orals and 15 posters) will be presented.

The Welcome Get-Together takes place in the Piano Bar of the Hilton Hotel, Dresden, on Monday evening, June 26th, at 07:00 pm.

Following an old tradition, we would like to invite all EMLC2017 Conference Attendees and Exhibitors to join us at the Welcome Get-Together in the Hilton Hotel.

Tutorial

For the first time, we provide Tutorials, scheduled for Monday afternoon, June 26th.

One gives a “General introduction into lithographic imaging by projection optics”, presented by Andreas Erdmann, Fraun-hofer IISB, Erlangen, Germany, whereas the second one, presented by Jo Finders, ASML Netherlands B.V., Veldhoven, treats the “Interaction of mask and scanner in EUV projection optics”.

Technical Exhibition

Parallel to the Conference Presentations, a Technical Exhibition will take place on Tuesday, June 27th (10:00 am to 06:00 pm) and on Wednesday, June 28th (10:00 am to 04:00 pm) where companies (mask suppliers, material suppliers and equipment suppliers) will exhibit their companies and products.

To foster the exchange between the Conference Attendees and the Exhibitors, the Exhibition Area will also be the place for all coffee and lunch breaks.

Conference Dinner Banquet

For Tuesday evening we have organized the Conference Banquet Dinner on the “Brühl’s Terrace” with a fantastic view over the Elbe river and the City of Dresden.

So, please enjoy the Technical Sessions of the EMLC2017 as well as the Technical Exhibition, but also allow yourself to visit the beautiful city of Dresden.

Uwe Behringer EMLC2017 Conference Chairs

© H

ilton

Hot

el, D

resd

en

Brühl’s Terrace, Dresden

Page 3: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

4 5

The EMLC 2017 International Program Committee

Conference Chairs

Behringer, Uwe, UBC Microelectronics, Ammerbuch, Germany

Finders, Jo, ASML, Veldhoven, The Netherlands

Co-Conference Chairs

Connolly, Brid, Toppan Photomasks GmbH, Dresden, Germany

Gale, Chris, Applied Materials, Dresden, Germany

Hayashi, Naoya, DNP, Saitama, Japan

Program Chairs

Stolberg, Ines, Vistec Electron Beam, Jena, Germany

Erdmann, Andreas, Fraunhofer IISB, Erlangen, Germany

Pozo, José, European Photonics Industry Consortium, Brussels, Belgium

Co-Program Chairs

Seltmann, Rolf, Globalfoundries, Dresden, Germany

Sarlette, Daniel, Infineon, Dresden, Germany

List of Contents

Committee Members .................................................... 5, 6

Organizers .......................................................................... 7

Program Overview ............................................................. 8

Monday, June 26th, 2017 ................................................ 8

Tuesday, June 27th , 2017 .............................................. 9

Poster Session ............................................................. 13

Wednesday, June 28th, 2017 ....................................... 17

Conference Information .................................................. 22

Conference Hours ......................................................... 22

Registration Hours ........................................................ 22

Technical Exhibition ....................................................... 22

Information for Authors .................................................. 23

Presentation and CV ..................................................... 23

Best Poster Award ........................................................ 23

Best Paper Award ......................................................... 23

General Information ........................................................ 24

EMLC 2017 Office ........................................................ 24

Conference Fees ........................................................... 24

Conference Registration ................................................ 24

Payment ....................................................................... 25

Cancellation .................................................................. 25

Conference Venue ........................................................ 25

Transport ...................................................................... 26

Parking, Hotel Reservation ............................................ 27

Internet Access ............................................................. 27

Conference Dinner ....................................................... 28

Insurance ...................................................................... 28

Passport and Visa Requirements .................................. 28

About Dresden .............................................................. 29

Cooperating Partners .................................................... 32

Page 4: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

6 7

The EMLC 2017 International Program Committee

Other Members

Blaesing, Carola, Carl Zeiss SMT GmbH, Jena, Germany

Chen, Parkson, Taiwan Mask Corporation, Hsinchu,Taiwan

Ehrmann, Albrecht, Carl Zeiss SMT GmbH, Oberkochen, Germany

Farrar, Dave, Hoya Corporation, London, UK

Jonckheere, Rik, IMEC vzw, Leuven, Belgium

Lauche, Barbara, Photronics MZD GmbH, Dresden, Germany

Le Gratiet, Bertrand, STMicroelectronics, Crolles, France

Lee, Carlos, European Photonics Industry Consortium, Brussels, Belgium

Levinson, Harry, Globalfoundries, Santa Clara, CA, USA

Loeschner, Hans, IMS Nanofabrication AG, Vienna, Austria

Muehlberger, Michael, Profactor GmbH, Steyr-Gleink, Austria

Peters, Jan Hendrik, Carl Zeiss SMT GmbH, Jena, Germany

Progler, Chris, Photronics Inc., San José, CA, USA

Resnick, Douglas J., CNT-Canon, USA

Roeth, Klaus-Dieter, KLA-Tencor MIE, Weilburg, Germany

Scheruebl, Thomas, Carl Zeiss SMT GmbH, Jena, Germany

Schnabel, Ronald, VDE/VDI-GMM, Frankfurt am Main, Germany

Schulze, Steffen, Mentor Graphics Corp., Wilsonville, OR, USA

Tschinkl, Martin, AMTC, Dresden, Germany

Waelpoel, Jacques, ASML, Veldhoven, The Netherlands

Wiley, Jim, ASML US Inc., Santa Clara, CA, USA

Willson, Grant, University of Texas, Austin, TX, USA

Wolf, Hermann, Photronics MZD GmbH, Dresden, Germany

Wurm, Stefan, ATICE LLC, Albany, NY, USA

Zurbrick, Larry, Agilent Technologies, Santa Clara, CA, USA

Organizers

VDE/VDI-Society Microelectronics, Microsystems and Precision Engineering (GMM)

Dr. Ronald SchnabelStresemannallee 15D-60596 Frankfurt am MainPhone: ++49 69-6308-227Fax: ++49 69-6308-9828e-Mail: [email protected]

UBC Microelectronics

Dr. Uwe BehringerAuf den Beeten 5D-72119 Ammerbuch Phone: ++49 171-455-3196Fax: ++49 7073-50216e-Mail: [email protected]

Page 5: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

8 9

■ Monday, June 26th, 2017

13:30-16:45 The EMLC2017 Tutorial Class

13:30-15:00 General introduction into lithographic imaging by projection optics

A. Erdmann, Fraunhofer IISB, Erlangen, Germany

15:00-15:20 Coffee Break

15:20-16:45 Interaction of mask and scanner in EUV projection optics

J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands

19:00 Welcome Get Together at the Piano Bar in the Hilton Hotel, Dresden

■ Tuesday, June 27th, 2017

09:00 Welcome and introduction U. Behringer, UBC Microelectronics, Ammerbuch,

Germany, EMLC2017 Conference Chair J. Finders, ASML Netherlands B.V., EMLC2017

Conference Chair

09:10 Welcome: The power of power-semiconductors (Invited) Mathias Kamolz, Infineon Technologies Dresden,

Dresden, Germany

09:30-10:30

Session 1 – 1st Plenary

Chair: U. Behringer, UBC Microelectronics, Ammerbuch, Germany Co-Chair: H. Loeschner, IMS Nanofabrication AG, Vienna, Austria

09:30 Keynote The status and challenges of the EUV photo-

mask ecosystem James N. Wiley, ASML US, Inc., Santa Clara, CA,

USA

10:00 Best Paper of BACUS 2016 with updates (Invited) MBMW-101 multi-beam mask writing performance E. Platzgummer, IMS Nanofabrication AG, Vienna,

Austria.

10:30 Coffee Break

■ Monday, June 26th, 2017 ■ Tuesday, June 27th, 2017

Program Overview

Page 6: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

10 11

11:00-12:20

Session 2 – 2nd Plenary

Chair: J. Finders, ASML Netherlands B.V., Veldhoven, The NetherlandsCo-Chair: J. N. Wiley, ASML US, Inc., Santa Clara, CA, USA

11:00 Keynote Breaking the paradigm: FDX technology at

GLOBALFOUNDRIES Bryan Rice, Globalfoundries Dresden, Dresden,

Germany

11:30 Best Paper of Photo Mask Japan 2017 (Invited) Electron beam lithographic modeling assisted by

artificial intelligence technology N. Nakayamada, NuFlare Technology, Inc., Yokohama,

Japan 11:55 Best Poster of BACUS 2016 (Invited): 7 nm e-beam resist sensitivity characterization Y. Toda 2, A. Zweber 1, S. Ito 2, T. Faure 1, J. Rankin 1,

S. Nash1, M. Kagawa 2, T. Isogawa 3, R. Wistrom1

1 Globalfoundries Corporation, VT, USA 2 Toppan Photomasks, Inc., VT, USA 3 Toppan Printing Co., Ltd., Saitama, Japan

12:20-13:30 Lunch Break

13:30-15:15

Session 3 – Wafer Lithography (193i and EUV)

Chair: J. Finders, ASML Netherlands B.V., Veldhoven, The NetherlandsCo-Chair: R. Seltmann, Globalfoundries, Dresden, Germany

13:30 Recent EUV developments at IMEC (Invited) K. Ronse, IMEC, Leuven, Belgium

13:55 Latest developments on reticle technologies and EUV illumination facility at TNO

R. Verberk, N. Koster, W. Staring, TNO, The Nether-lands

14:15 ILT optimization of EUV masks for 7nm and 5nm node lithography

K. Hooker 1, B. Küchler 2, A. Kazarian 3, X. Zhou 3, G. Xiao 1, K. Lucas 1

1 Synopsys Inc., Austin, TX, USA 2 Synopsys GmbH, Aschheim, Germany 3 Synopsys Inc., Mountain View, CA, USA

14:35 Improvements in the imaging performance of a high volume manufacturing EUV scanner, with special emphasis on pupil optimization and the improvement limits

B. Bilski, E. Wang, F. Wittebrood, J. Mc Namara, D. Oorschot, M. van de Kerkhof, T. Fliervoet, ASML Netherlands B.V., Veldhoven, The Netherlands

14:55 Latest developments in EUV optics R. Gehrke, Carl Zeiss SMT GmbH, Oberkochen,

Germany; E. van Setten, ASML Netherlands B.V., Veldhoven, The Netherlands

15:15-15:30 Short Break

■ Tuesday, June 27th, 2017 ■ Tuesday, June 27th, 2017

Program Overview

Page 7: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

12 13

15:30-17:30

Session 4 – Mask Patterning, Metrology & Process

Chair: M. Tschinkl, AMTC, Dresden, GermanyCo-Chair: J. H. Peters, bmbg consult, Radebeul, Germany

15:30 Multi-beam mask writer MBM-1000 H. Matsumoto, H. Yamashita, T. Tamura, K. Ohtoshi,

NuFlare Technology, Inc., Japan

15:50 Electron beam lithography using a multi-trigger resist

C. Popescu1,2, A. Mc Clelland 3, G. Dawson 2, J. Roth 4, A.P.G. Robinson 2

¹ Nanoscale Physics, University of Birmingham, UK 2 School of Chemical Engineering, University of

Birmingham, UK 3 Irresistible Materials, Birmingham, UK 4 Nano-C, Westwood, MA, USA

16:10 Update on optical material properties for alternative EUV mask absorber materials

F. Scholze1, C. Laubis1, K. V. Luong 2,3, V. Philipsen 2

1 Physikalisch-Technische Bundesanstalt, Berlin, Germany

2 IMEC, Leuven, Belgium 3 KULeuven, Belgium

16:30 Actinic EUV mask review tool status and perfor-mance update

R. Capelli, D. Hellweg, M. Dietzel, Carl Zeiss SMT GmbH, Oberkochen, Germany

16:50 The Role of model-based MPC in advanced mask manufacturing

I. Bork, P. Buck, Mentor, A Siemens Business, Fremont, CA, USA

17:10 Throughput-driven parallel datapath architecture for multibeam mask writers

N. Chaudhary, S. A. Savari, Texas A&M University, TX, USA

17:30-19:00

Session 5 – Poster Session (including coffee, etc)

Poster: Wafer Lithography

New overlay measurement technique with an i-Line stepper using embedded standard field image alignment marks for wafer bonding applicationsP. Kulse1, K. Sasai 2, K. Schulz 1, M. Wietstruck 11 IHP, Frankfurt (Oder), Germany2 Nikon Precision Europe GmbH, Langen, Germany

Development and traceable calibration of a new EUV reference photomask standardG. Dai 1, A. Ullrich 2, K. Hahm1, H. Bosse1

1 Physikalisch-Technische Bundesanstalt, Braunschweig, Germany2 Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany

A tool for full area mapping of the transmission of thin membranesR. Lebert, RI Research Instruments GmbH, Bergisch Glad-bach, Germany

Limitations of spectral characterization of EUV masks and blanks: Proposition to supplement with inband mappingR. Lebert, C. Phiesel, A. Biermanns-Föth, T. Missalla, C. Pampfer, C. Piel, RI Research Instruments GmbH, Bergisch Gladbach, Germany

■ Tuesday, June 27th, 2017 ■ Tuesday, June 27th, 2017

Program Overview Poster Session

Page 8: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

14 15

Poster: Mask Patterning, Metrology, and Process

Half circle chrome loss by electrochemical effects D. Caspary, S. Jähne, P. Nesladek, M. Kristlib, L. Bahrig, A. Feicke, M. Kaiser, J. Lorbeer, T. Wandel, AMTC, Dresden, Germany

Characterizing electron beam induced damage in met-rology and inspection of advance devices A. Mohtashami 1, V. Navarro1, H. Sadeghian1, I. Englard 2, D. Shemesh 2, N. Singh Malik 2 1 Netherlands Organization for Scientific Applied Research, TNO, Delft, The Netherlands2 Process Diagnostics and Control, Applied Materials, Israel

Aerial image metrology for OPC modeling and mask qualificationA. Chen1, Y. M. Foong1, T. Thaler 2, U. Buttgereit 2, A. Chung 3, A. Burbine 4, J. Sturtevan t4, C. Clifford 5, K. Adam 5, P. De Bisschop 61 Globalfoundries Singapore2 Zeiss, Jena, Germany3 Mentor Graphics, Singapore4 Mentor Graphics, OR, USA5 Mentor Graphics, CA,USA6 IMEC, Leuven, Belgium

Poster: Non-IC Applications

Two photon crosslinking for the fabrication of swollen microstructures D. Schwärzle, O. Prucker, J. Rühe, IMTEK, University of Freiburg, Germany

Experimental verification of sub-wavelength hologra-phic lithography physical concept for single exposure fabrication of complex structures on planar and nonpla-nar surfaces M. V. Borisov , D. A. Chelyubeev, V. V. Chernik, P. A. Miheev, Vadim I. Rakhovskii, A. S. Shamaev, Nanotech SWHL Ltd., Dübendorf, Switzerland

Poster: Nano-Imprint Lithography

High-speed roll-to-roll hot embossing of PET foils using seamless direct laser interference patterning treated sleeves A. Rank 1, V. Lang 1,2, A. F. Lasagni 1,2

1 Technische Universität Dresden, Dresden Germany2 Fraunhofer Institute for Material and Beam Technology, Dresden, Germany

Function follows form: Combining nanoimprint and inkjet printingM. Mühlberger, M. Haslinger, J. Kurzmann, A. Moharana, A. Fuchsbauer, H. Ausserhuber, J. Kastner, D. Fechtig, Profactor GmbH, Steyr-Gleink, Austria

■ Tuesday, June 27th, 2017 ■ Tuesday, June 27th, 2017

Poster Session

Page 9: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

16 17

Poster: Mask2Wafer Metrology

Pattern sampling for etch model calibrationF. Weisbuch, A. Lutich, J. Schatz, Globalfoundries, Dresden, Germany

LMS IPRO: Enabling on-device metrology on SiN-based phase-shift masksH. Steigerwald, R. Han, A. Buettner, K.-D. Roeth, KLA-Tencor MIE GmbH, Germany

Poster: Photonics

Microlenses for CMOS-technologiesB. Pötschick, Infineon Technologies Dresden GmbH, Dresden, Germany

Contact-free polishing and soft-lithography replication process for 3D polymer micro-optics R. Kirchner 1,3, N. Chidambaram1, M. Altana 2, H. Schift 11 Paul Scherrer Institute, LMN, Villigen, Switzerland2 Heptagon Oy, Rueschlikon, Switzerland3 Technische Universtität Dresden, Dresden, Germany

19:15 Conference Dinner on the “Brühl’s Terrace” or, in case of bad weather, inside the Hilton Hotel, Dresden

■ Wednesday, June 28th, 2017

09:00-10:20

Session 6 – 3rd Plenary

Chair: U. Behringer, UBC Microelectronics, Ammerbuch, GermanyCo-Chair: S. Savari, Texas A&M University, College Station, TX, USA

09:00 Announcement: Best Poster EMLC 2017

09:05 Keynote Integral nature of masks through five decades

Paul Ackmann, Globalfoundries Inc., Santa Clara, USA

09:35 Report on the panel discussion of BACUS 2016 (Invited): The impact of full-scale curvilinear ILT OPC on photomask manufacturing

P. Buck, Mentor, A Siemens Business, Wilsonville, Oregon, USA

10:00 Report on the panel discussion of Photo Mask Japan 2017 (Invited): “Race for volume produc-tion” Who is closer to goal: EUVL or NIL?

U. Behringer, UBC Microelectronics, Ammerbuch, Germany

10:20-10:45 Coffee Break

■ Tuesday, June 27th, 2017 ■ Wednesday, June 28th, 2017

Poster Session Program Overview

Page 10: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

18 19

10:45-12:20

Session 7 – Non-IC Applications

Chair: A. Erdmann, Fraunhofer IISB, Erlangen, GermanyCo-Chair: V. Constantoudis, Nanometrisis, Agia Paraskevi, Greece

10:45 Technical trends of large-size photomasks for flat panel displays (Invited)

K. Yoshida, HOYA Corporation, Tokyo, Japan

11:20 Lithography simulation and OPC for next gene-ration display and packaging

N. Ünal, D. Titko, N. Taksatorn, U. Hofmann, GenISys GmbH, Taufkirchen, Germany

11:40 3D grey-tone lithography using multibeam laser direct write

H. Sailer 1, B. Albrecht 1, C. Kaspar 1, S. Martens1, N.Belic 2, S. Aigner 3, D. Colle 3, A. Jehle 3

1 Institut für Mikroelektronik, Stuttgart, Germany 2 GenISys GmbH, Taufkirchen, Germany 3 Heidelberg Instruments Mikrotechnik GmbH, Heidelberg, Germany

12:00 Challenges for scanning electron microscopy and inspection on the nanometre scale for non-IC application

J. Bolten1, K. T. Arat 2, N. Ünal 3, C. Porschatis1, T. Wahlbrink1, M.C. Lemme1,4

1 AMO GmbH, Aachen, Germany 2 Delft University of Technology, Delft, The Netherlands 3 GenISys GmbH, Taufkirchen, Germany 4 RWTH Aachen University, Aachen, Germany

12:20-13:30 Lunch Break

13:30-14:30

Session 8 – Nano-Imprint Lithography

Chair: I. Stolberg, Vistec Electron Beam, Jena, GermanyCo-Chair: M. Mühlberger, Profactor, Steyr, Austria

13:30 Shift and repeat roll-to-plate nanoimprint lithography: A Novel concept for large area nanopatterning

F. Schlachter, J. Bolten, M. C. Lemme, AMO GmbH, Aachen, Germany

13:50 SEM autoanalysis: Enhancing photomask and NIL defect disposition and review

K. Schulz, A. Garetto, G. Tabbone, K. Egodage, C. Ehrlich, Carl Zeiss SMT GmbH, Jena, Germany

14:10 Challenges of nanostructure-integration in Fabry-Pérot Interferometers as alternative to bragg reflectors – an example for match 1:1-, eBeam- and nanoimprint lithography

C. Helke1, K. Hiller 1, J.W. Erben 2, D. Reuter 1,2, M. Meinig 2, S. Kurth 2, C. Nowak 3, H. Kleinjans 3, T. Otto1,2

1 Technische Universität Chemnitz, Chemnitz, Germany 2 Fraunhofer Institute for Electronic Nano Systems (ENAS), Chemnitz, Germany 3 AMO GmbH, Aachen, Germany

■ Wednesday, June 28th, 2017 ■ Wednesday, June 28th, 2017

Program Overview

Page 11: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

20 21

14:30-15:35

Session 9 – Mask2Wafer Metrology

Chair: J. H. Peters, bmbg consult, Radebeul, GermanyCo-Chair: F. Weisbuch, Globalfoundries, Dresden, Germany

14:30 Computational nanometrology of line edge roughness: Recent challenges and advances (Invited)

V. Constantoudis1,2, G. Papavieros1,2, G. Lorusso 3, E. Gogolides1,2

1 Institute of Nanoscience and Nanotechnology, N.C.S.R. Demokritos, Agia Paraskevi, Greece 2 Nanometrisis, Agia Paraskevi, Greece 3 IMEC, Leuven, Belgium

14:55 Advanced metrology by offline SEM data processing

A. Lakcher 1,2, L. Schneider 1,2, B. Le-Gratiet 1, M. Besacier 2

1 STMicroelectronics, Crolles Cedex, France 2 Université de Grenoble Alpes, Grenoble, France 3 CEA LETI, Grenoble, France

15:15 Contour based etch modeling enablement: From pattern selection to final verification

J. Schatz, F. Weisbuch, A. Lutich, Globalfoundries, Dresden, Germany

15:35-16:00 Coffee Break

16:00-16:40

Session 10 – Using the Data / Big Data

Chair: B. Le Gratiet, STMicroelectronics, Crolles, FranceCo-Chair: I. Kapilevich, Applied Materials Inc., USA

16:00 Splendidly blended: A machine learning set up for CDU control

C. Utzny, Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany

16:20 Machine learning for fab automated diagnostics M. Giollo1, A. Lam 2, D. Gkorou1, X. L. Liu 1,

R. van Haren1

1 ASML Netherlands B.V., Veldhoven, The Netherlands 2 STMicroelectronics, Crolles, France

16:40 Thanks to EMLC2017 participants & announcement of EMLC2018

U. Behringer, UBC Microelectronics, Ammerbuch, Germany

16:50 End of EMCL2017 Conference

■ Wednesday, June 28th, 2017 ■ Wednesday, June 28th, 20176

Program Overview

Page 12: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

22 23

Conference Information

Conference Hours

Monday, June 26th, 2017, Tutorial 01:30 pm to 04:45 pmTuesday, June 27th, 2017 09:00 am to 06:30 pmWednesday, June 28th, 2017 09:00 am to 06:00 pm

Registration Hours

Monday, June 26th, 2017 12:30 pm to 06:00 pmTuesday, June 27th, 2017 08:00 am to 05:00 pmWednesday, June 28th, 2017 08:00 am to 11:00 am

Technical Exhibition

Parallel to the conference presentations on Tuesday and Wednesday we offer you to take part in the technical exhibition.

If you intend to participate in the technical exhibition as an exhibitor, please contact

UBC MicroelectronicsDr. Uwe BehringerAuf den Beeten 572119 Ammerbuch, GermanyPhone: ++49 171-4553196Fax: ++49 7073-50216 e-Mail: [email protected]

Information for Authors

Your Presentation and CV

The most convenient way for you and the organizers is to provide your slides in the form of MS-Powerpoint format (ppt) on a USB-stick, as early as possible, but latest during the break before your session. You should download and test them on the presentation computer. Your Session Chair will surely be glad to assist you. You may also use your own laptop compu-ter, but experience has shown this to be less reliable.

Please send in – if not yet done – your short CV to the Conference Chairs and to your Session Chair, so that he may introduce you appropriately to the audience.

Best Poster Award

Members of the EMLC2017 Program Committee will elect the EMLC 2017 Best Poster.

Best Paper Award

All conference attendees will elect the Best Paper of the EMLC 2017. Manuscripts not received until the first day of the confe-rence can not be elected for Best Paper.

The Best Paper will be invited to present at BACUS 2017 in San José, CA, USA, in September 2017 and at PMJ 2018 in Yokohama, Japan, in April 2018.

Page 13: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

24 25

General Information

EMLC 2017 Office

For detailed information please contact:

VDE/VDI-Society Microelectronics Microsystems and Precision Engineering (GMM) Dr. Ronald SchnabelStresemannallee 15, 60596 Frankfurt am Main, GermanyPhone: ++49 69-6308-227Fax: ++49 69-6308-9828e-Mail: [email protected]

During the conference: Phone: ++49 171 4695 118

Conference Fees until after May 24th, 2017 May 24th, 2017

Non-Members € 580.00 € 670.00

VDE, VDI Members* € 550.00 € 650.00

Lecturer € 490.00 € 570.00

Students** € 150.00 € 200.00

* Participants claiming for the membership fee must attach a copy of their membership card to the registration form.

** A photocopy of the student card must be attached.

The conference fee includes admission to all sessions as well as to the daily coffee-breaks, lunches and conference banquet dinner as well as one copy of the CD-ROM-proceedings.

Conference Registration

To register for EMLC 2017, please visit the website of the Conference which is www.EMLC2017.com

You may either register online or via telefax (would you please download the fax form). To benefit from the “early-bird- discount”, VDE Conference Services must receive the form before May 24, 2017.

.

Payment of Conference Fee

Payment for registration, including bank charges and proces-sing fees, must be made in Euro. The conference fee has to be fully paid in advance by credit card. Your registration can only be confirmed if VDE-Conference Services has recorded receipt of your full payment.

Cancellation

In case of cancellation, provided that written notice has been given to VDE-Conference Services before May 24, 2017, the registration fee will be fully refunded less a handling fee of EURO 80.00. After May 24, 2017, no refund will be made. Proceedings and CD-ROM-proceedings will then be sent to the registrant after the conference.

Conference Venue

Hilton DresdenAn der Frauenkirche 501069 Dresden, GermanyPhone: ++49 351/86420, Fax: ++49 351/8642-725http://www.hilton.com/

The Hilton Dresden is located in the heart of the old town, next to the Frauenkirche.

Page 14: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

26 27

Transport

By AirGetting to and from Dresden airport:

Bus Service, typical minimum charge is EURO 8,00Limousine, typical minimum charge is EURO 70,00Taxi, typical minimum is EURO 25,00

By trainFrom Frankfurt in 5 hours; from Berlin in 3 hours.

By carFrom the A14/A4 (coming from Chemnitz/Leipzig), take exit 78 towards Dresden Altstadt. Follow the B6 signs in direction of Zentrum. At the Bremer/Hamburger Strasse junction, turn left into Bremer Strasse. Drive along the Elbe riverbank until you see signs for the Hilton Dresden hotel on your right. The 4-mile journey normally takes around 10 minutes from exit 78 to the hotel forecourt.

Parking

The hotel car park has 136 spaces. Parking costs EURO 21.00 per day. There is a valet service at no extra charge. Please book your parking space when booking your room at the hotel.

Hotel Reservation

A block of rooms has been reserved for the EMLC 2017 parti-cipants at the Hilton Hotel Dresden.

The special hotel room rate is:

Single Hilton Guest Room rates from 134.00 EURTwin Hilton Guest Room rates from 154.00 EUR

per night, including breakfast.

Accomodation is NOT included in the conference fee.

For reservation please contact the Hilton Hotel or go to the conference websit: www.EMLC2017.com

Hilton DresdenAn der Frauenkirche 501069 Dresden, Germany Phone: ++49 351/86420 Fax: ++49 351/8642-725 e-Mail: [email protected]://www.hilton.com/

You should reserve your rooms by May 31, 2017, as after that date our rooms blocked at a group rate might be released by the hotel for general reservations. Please use the following code for booking: “EMLC 2017”.

All payments related to accommodation have to be made in the hotel before departure.

Internet Access

The Hilton Hotel provides an Internet access in the room at € 15,- per 24 hours (per day). There is also a business center where you can use the hotel equipment for free.

Page 15: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

28 29

Conference Dinner on June 27th 07:15 pm – 11:00 pm on the “Bruehl‘s Terrace” or, in case of bad weather, inside the Hilton Hotel, Dresden

Hilton DresdenAn der Frauenkirche 501069 Dresden, Germany Phone: ++49 351/86420 Fax: ++49 351/8642-725 e-Mail: [email protected]://www.hilton.com/

Insurance

The organisers may not be held responsible for any injury to participants or damage, theft and loss of personal belongings.

Passport and Visa Requirements

Foreign visitors entering Germany have to present a valid Identity Card or Passport. Delegates who need a visa should contact the German consular offices or embassies in their home coun-tries. Please note that neither the VDE-Conference Services nor the VDE/VDI-Society Micro-electronics, Microsystems- and Precision Engineering (GMM) or the supporting bodies are able to extend any „Invitation“ for application of visa.

About Dresden

The Elbe and the charming landscape between Saxon Switzerland and Meissen determine the nature in which the city on the Elbe river is embedded. The climate is equally beneficial for the development of the arts and for viniculture. The inhabi-tants are known for their own special charm, Saxon hospitality is proverbial.

At the same time Dresden is a modern city with the flair of the former Saxon residence – simply a place with life style. Many million guests visit Dresden every year.

More information you can find at: http://www.dresden.de

Venue: Hilton Hotel in Dresden©

Hilt

on H

otel

, Dre

sden

© F

rede

rik S

chra

der

Page 16: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

30 31

Notes Notes

Page 17: THE 33rd EUROPEAN MASK AND LITHOGRAPHY CONFERENCE …conference.vde.com/emlc2017/Documents/EMLC 2017 Program.pdf · The second Keynote Speaker is Bryan Rice from Global-foundries,

32

The VDE/GMM and the members of the EMLC2017 Program Committee of the 33rd European Mask and Lithography Conference, EMLC 2017, would like to ex-press their sincere appreciation to all the sponsors and coopering partners mentioned below for their support

Cov

er p

ictu

re: C

ourt

esy

of T

oppa

n P

hoto

mas

ks