39
Oxidation Thin gate oxide ~ 1 nm in MOSFETs Tunnel barriers in flash memory Dielectrics in capacitors Electrical isolation of components Passivation layer to protect the circuits Oxide masks for diffusion and implantation Native oxide ~ 1 nm grows in air in hours but is not good quality Thermal oxide - grows slowly, best quality Deposited oxide - grows quicker, less quality The properties of SiO 2 were more important than Si in making Si the dominant semiconductor material. Institute of Solid State Physics Technische Universität Graz

Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Oxidation

Thin gate oxide ~ 1 nm in MOSFETsTunnel barriers in flash memoryDielectrics in capacitorsElectrical isolation of componentsPassivation layer to protect the circuitsOxide masks for diffusion and implantation

Native oxide ~ 1 nm grows in air in hours but is not good quality

Thermal oxide - grows slowly, best quality

Deposited oxide - grows quicker, less quality

The properties of SiO2 were more important than Si in making Si the dominant semiconductor material.

Institute of Solid State PhysicsTechnische Universität Graz

Page 2: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Dry oxidation

1 hour at 900 C produces 30 nm of thermal oxide.

Used for gate oxide in MOSFETs and tunnel oxide flash memories.

Good layer control. Low defect density at the Si/SiO2 interface.

Si (s) + O2 (g) → SiO2 (s)

Page 3: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Wet oxidation

The water is steam.

1 hour at 900 C produces 130 nm of thermal oxide.

Faster growth than dry oxidation.

Si (s) + 2H2O (g) → SiO2 (s) + 2H2 (g)

http://en.wikipedia.org/wiki/Thermal_oxidation

http

://w

ww.

proc

essp

ecia

lties

.com

/ther

mox

.htm

Page 4: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

CVD oxides

Can be deposited at lower temperature

Rougher surfaces, lower breakdown field, higher etch rate in HF

Page 5: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Deal-Grove oxidation model

2 4( )2

A A Btz t − + +=

00

exp expA A

B B

E EB BB Bk T A A k T

− − = =

Oxide thickness z is first linear then grows like a square root

The constants are thermally activated

http:

//en.

wik

iped

ia.o

rg/w

iki/D

eal%

E2%

80%

93G

rove

_mod

el

Page 6: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Deal-Grove oxidation model

Wet

Dry

Linear dependence: Limited by the reaction rate of Si and O2 to SiO2

Square root behavior:Limited by oxygen diffusion through the oxide.

Page 7: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

http://www.nanolabtechnologies.com/

SiO2 is amorphous

gate oxide

Dit 109–1011/cm2

defects at the interface

1015 atoms/cm2

Post oxidation anneal (N2 then H2) to passivate dangling bonds

Fransila

Page 8: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Oxides are under compressive stress

Typical stress is 300 MPaStress will bow the wafer

densityquartz 2.65 g/cm3

oxide 2.2 g/cm3

Young's modulusquartz 107 GPaoxide 87 GPa

Page 9: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Stoney's formula

2

6 (1 )s s

ff s

E hh

κσν

=−

The stress σf in the film depends on Es Young's modulus in the substratevs Poisson's ratio of the substratehs thickness of the substratehf thickness of the filmκ curvature Only holds for uniform curvature

Page 10: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Local Oxidation of Silicon (LOCOS)

thin pad oxide for stress relief

http

://en

.wik

iped

ia.o

rg/w

iki/L

OC

OS#

/med

ia/F

ile:L

ocos

_%28

mic

rote

chno

logy

%29

_pro

cess

.svg

Page 11: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Controlled removal of Si

1. Thermal oxidation (good control of thickness)

2. Etch Oxide with HF

Period doubling of pattern

Page 12: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Controlled removal of Si

Fransila

Page 13: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Complementary Metal Oxide Semiconductor (CMOS)

The dominant technology for microprocessors

Low power dissipation through the use of n-type and p-type MOSFETs

Institute of Solid State PhysicsTechnische Universität Graz

Page 14: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

CMOS inverter

2dd ddE QV CV= =

Dissipates little power except when it is switching

Page 15: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

CMOS inverter

out

p

p+ p+p+n

n+n+n+

Vdd

in

inverter

Page 16: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

CMOS inverter

out

p

p+ p+p+n

n+n+n+

Vdd

in

Page 17: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Gate delay

Gate delay is limited by CgateVdd/I.

Ring oscillator

Page 18: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Mask oxide LithographyEtching oxideResist strip and cleaningDopant predeposition

(for instance 1013 cm-2

@ 40keV)Drive-in

(500 mi. 1000 C)Oxide etching

Well formation

np

Page 19: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Pad oxide (thermal)Mask nitride (LPCVD)LithographyEtching nitride/oxide/siliconResist strip and cleaningLiner oxide (thermal) CVD oxide depositionCMP planarization of the oxideNitride etchingOxide etching

Shallow Trench Isolation (STI)

http://de.wikipedia.org/wiki/Grabenisolation

Page 20: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

http

://on

linel

ibra

ry.w

iley.

com

/doi

/10.

1002

/ppa

p.20

1100

093/

abst

ract

Shallow Trench Isolation (STI)

http://de.wikipedia.org/wiki/Grabenisolation

Page 21: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si 100 wafer

Self-aligned fabrication

Page 22: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

SiO2 gate oxide

Dry oxidation

Page 23: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

SiO2

polysilicon

TiN (CVD)30–70 μΩ·cm Conductive diffusion barrier

photoresist

CVD: SiH4 @ 580 to 650 °C

Page 24: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

SiO2

polysilicongate

TiN

Implant

Expose resistDevelopEtch poly and TiNStrip resistImplant source and drain

Page 25: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

n+ n+

polysilicongate

Self-aligned fabrication

PredepositionDrive-in

Page 26: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

n+ n+

Spacer

polysilicongate

SiNx

PECVD SiNx

Page 27: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

n+ n+

Spacer

polysilicongate

SiNx

Etch back to leave only sidewalls

Page 28: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

polysilicongate

Implant

n+ n+

Page 29: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

p-Si

polysilicongate

n+ n+

TiSi2 (metal)

Salicide (Self-aligned silicide)

Transition metal (Ti, Co,W) is deposited (CVD). During a high temperature step is reacts to a silicide (TiSi2). Not silicide is formed on nitride or oxide.

Page 30: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

CMOS

Fransila

Page 31: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Gate dielectric

Thinner than 1 nm:electrons tunnel

Large dielectric constant desirableεr(SiO2) ~ 4

εr(Si3N4) ~ 7

Page 32: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

The heat dissipation problem

Microprocessors are hot ~ 100 CHotter operation will cause dopants to diffuse

When more transistors are put on a chip they must dissipate less power.

Power per transistor decreases like L2.

Page 33: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Constant E-field Scaling

L ~ 45 tox

1975 - 1990: "Days of happy scaling"

Gate length L, transistor width Z, oxide thickness tox are scaled down.

Vds, Vgs, and VT are reduced to keep the electric field constant.

Power density remains constant.

Page 34: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Constant E-field scaling

( )oxDm n G T

G ox

dI Zg V VdV L t

εµ= = −

( )2

2ox

sat n G Tox

ZI V VL t

εµ= −

Power per transistor decreases like L2. Power per unit area remains constant. Maximum operating frequency remains constant.

, , , ox ox th thL sL Z sZ t st V sV⇒ ⇒ ⇒ ⇒

sat satI sI⇒

Transconductance stays the same.

Isat gets smaller

Page 35: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

www.iwailab.ep.titech.ac.jp

Page 36: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Equivalent oxide thickness (EOT)

2

2

Si0high-k Si0EOT t t

εε

= +

Scaling can continue using oxides too thick to tunnel if they have a higher dielectric constant.

Page 37: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

http://nano.boisestate.edu/research-areas/gate-oxide-studies/

High-k dielectrics

Page 38: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Subthreshold current

For VG <VT the transistor should switch off but there is a diffusion current. The current is not really off until ~ 0.5 V below the threshold voltage.

( )exp G TD

B

e V VI

k T−

Subthreshold swing: 70-100 mV/decade

Weak inversion

Page 39: Technische Universität Graz Oxidationlampx.tugraz.at/~hadley/memm/lectures15/may28.pdf · 2 4 2 A A Bt zt −+ + = 0 0 exp expA A B B EE BB BB kT A A kT −− = = Oxide thickness

Short channel effects

SOI: silicon on insulator