72
Technical Program www.spie.org/al Location San Jose Marriott and San Jose Convention Center San Jose, California, USA Conferences and Courses 24–28 February 2013 Exhibition 26–27 February 2013

Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

Technical Programwww.spie.org/al

Location San Jose Marriott and San Jose Convention Center San Jose, California, USA

Conferences and Courses 24–28 February 2013

Exhibition 26–27 February 2013

Page 2: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

WelcomeYour attendance at SPIE Advanced Lithography increases your company’s success in the lithography industry through access to the latest research, networking opportunities, and technology on display.

Conferences & Courses24–28 February 2013San Jose Marriott and San Jose Convention CenterSan Jose, California, USA

24 - 28 February 2013EXHIBITION26 - 27 February 2013

Technical Program

Conferences and Courses: 24–28 February 2013 · Exhibition: 26–27 February 2013San Jose Marriott and San Jose Convention Center, San Jose, California, USA

SPIE would like to express its deepestappreciation to the symposium chairs,conference chairs, program committees,and session chairs who have sogenerously given their time and advice tomake this symposium possible.

The symposium, like our other conferencesand activities, would not be possiblewithout the dedicated contribution of ourparticipants and members. This programis based on commitments received up tothe time of publication and is subject tochange without notice.

Page 3: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 1

ContentsWelcome. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

Sponsors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

FloorPlans. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

DailySchedule. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Events,Conferences

PlenaryPresentations . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6–7

SpecialandTechnicalEvents . . . . . . . . . . . . . . . . . . . . . . 6–8

AwardAnnouncements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

ExhibitionInformation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

GeneralInformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . .11–14

CourseSchedule. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

TechnicalConferenceSessionSchedule. . . . . . . . . . . .16–17

IndexofAuthors,Chairs,andCommitteeMembers. . 54–65

ProceedingsofSPIE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

2013Advanced Lithography

Technical ConferencesExtreme Ultraviolet (EUV) Lithography IV (Naulleau,Wood) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-48

Alternative Lithographic Technologies V(Tong,Resnick) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-52

Metrology, Inspection, and Process Control for Microlithography XXVII (Starikov,Cain) . . . . . . . .18-52

Advances in Resist Materials and Processing Technology XXX (Somervell,Wallow). . . . . . . . . . . . 18-43

Optical Microlithography XXVI(Conley,Lai) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-53

Design for Manufacturability through Design-Process Integration VII (Mason,Sturtevant). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-53

Advanced Etch Technology for Nanopatterning II(Zhang,Oehrlein,Lin). . . . . . . . . . .19-31

Sponsored by

Page 4: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

2 SPIEAdvancedLithography2013 · www.spie.org/al

Executive CommitteeJason P. Cain, AdvancedMicroDevices,Inc.Will Conley,Cymer,Inc.Mircea V. Dusa,ASMLUS,Inc.Kafai Lai, IBMCorp.Harry J. Levinson, GLOBALFOUNDRIESInc.Mark E. Mason,TexasInstrumentsInc.Patrick P. Naulleau, LawrenceBerkeleyNationalLab.Gottlieb Oehrlein, Univ.ofMaryland,CollegeParkDouglas J. Resnick,MolecularImprints,Inc.Mark H. Somervell, TokyoElectronAmerica,Inc.Alexander Starikov, I&IConsultingJohn L. Sturtevant, MentorGraphicsCorp.William M. Tong, KLA-TencorCorpThomas I. Wallow, GLOBALFOUNDRIESInc.Obert R. Wood II, GLOBALFOUNDRIESInc.Ying Zhang, TaiwanSemiconductorManufacturingCo.,Ltd.

Advisory CommitteeRobert D. Allen,IBMAlmadenResearchCtr.William H. Arnold, ASMLUS,Inc.Timothy A. Brunner, IBMThomasJ.WatsonResearchCtr.Ralph R. Dammel,AZElectronicMaterialsUSACorp.Roxann L. Engelstad,Univ.ofWisconson,MadisonDonis G. Flagello, NikonResearchCorp.ofAmericaHarry J. Levinson, GLOBALFOUNDRIESInc.Burn Lin,TaiwanSemiconductorManufacturingCo.,Ltd.Chris A. Mack,lithoguru.comWilhelm Maurer,InfineonTechnologiesAGChristopher J. Progler, Photronics,Inc.Michael T. Postek, NationalInstituteofStandardsandTechnologyLuc Van den hove, IMECC. Grant Willson,TheUniv.ofTexasatAustinAnthony Yen, TaiwanSemiconductorManufacturingCo.,Ltd.

Welcome!Today,lithographersarechallengedwithcost-effectivelyextendingimmersionlithographytophysicallimitsusingmulti-exposureandmultiple-etchprocesses,whilestrivingtobringnewertechnologies,suchasEUVlithography,toproductionreadiness.Successwilldemandunprecedentedingenuity,costefficiency,andcommunicationwithinthelithographycommunityandacrossinterdisciplinarylines.

Forthepast37years,SPIEAdvancedLithographyhasplayedakeyroleinbringingthelithographycommunitytogethertosolvechallengesrequiredbythesemiconductorindustry.Symposiumparticipantscomefromanextensivearrayofbackgroundstoshareandlearnaboutstate-of-the-artlithographictools,resists,metrology,materialscharacterization,anddesignandprocessintegration.

SPIEAdvancedLithographyisorganizedintosevenconferences:•AlternativeLithographicTechnologies•ExtremeUltravioletLithography•Metrology,Inspection,andProcessControlforMicrolithography•AdvancesinResistMaterialsandProcessingTechnology•OpticalMicrolithography•DesignforManufacturabilitythroughDesign-ProcessIntegration•AdvancedEtchTechnologyforNanopatterning

Allconferencesareorganizedbycurrentpractitionersoftheart,andnumerouscoursesaretaughtbyrecognizedindustryexperts.Additionalinformationisavailablefromthemanymanufacturers’exhibits,whichallowtoolmakersandmaterialandsoftwaresupplierstoshowcasenewproductswhileinteractingone-on-onewithcustomers.Throughaseriesofthought-provokingpaneldiscussionsandseminars,thesymposiumfurtherprobescurrentissuestobefacedasweextendthesetechnologiesortrytoswitchtoalternativetechnologies.

WewelcomeyoutotheSanJoseConventionCenter,SanJose,California,forSPIEAdvancedLithography’s38thyear!

Harry J. LevinsonGLOBALFOUNDRIESInc.2013SymposiumChair

Mircea V. DusaASMLUS,Inc.2013SymposiumCo-Chair

Page 5: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 3

Thanks to the following sponsors for their generous support of SPIE Advanced Lithography Tuesday Lunch Co-Sponsor

www.dow.com

Advanced Etch Technology for Nanopatterning II Conference

www.lamrc.com

Advances in Resist Materials and Processing Technology XXIV Conference

www.tokamerica.com

Alternative Lithographic Technologies V Conference

www.azem.com

Coffee Break

www.asml.com

www.kla-tencor.com

Tuesday Poster Reception

www.tel.com

Wednesday Poster Reception

eption

www.azem.com

www.tokamerica.com

Internet Pavilion

www.jeolusa.com

Wi-Fi

www.hitachi-hta.com

www.tel.com

Extreme Ultraviolet (EUV) Lithography IV Conference

www.cymer.com

Conference Bag

www.cymer.com

Conference Bag Pen

www.nikonprecision.com

Exhibitor Lounge

www.hitachi-hta.com

Exhibition Bag

www.smt.zeiss.com/sms

Luggage Tags

www.appliedmaterials.com

Hotel Room Key

www.cymer.com

Optical Microlithography XXVI Conference

www.cymer.com

Plenary Session

www.asml.com

Lanyards

www.mentor.com

Meter Board

www.hitachi-hta.com

www.nikonprecision.com

Stairway Strips

www.fujifilm-ffem.com

Banner

www.hitachi-hta.com

www.kla-tencor.com

www.nikonprecision.com

Breakfast Sponsor

www.appliedmaterials.com

General RefreshmentsASML

Hitachi High TechnologiesMicro Lithography Inc.Solid State Technology

TNO Science and Industry

Page 6: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

4 SPIEAdvancedLithography2013 · www.spie.org/al

210

Exhibitor RegistrationAttendee Registration

Third Floor

Hilton Hotel

San Jose Marriott, Second Floor

Speaker Check-in DeskInternet PavilionSPIE Marketplace

Exhibition Hall 1 Hall 2 Hall 3

232231

233

Down to Rooms111–114

213

214

211

212

210

San Jose Convention CenterExhibit and Meeting Room Level

230

MarriottSalons

114

113

112

111

210

Exhibitor RegistrationAttendee Registration

Third Floor

Hilton Hotel

San Jose Marriott, Second Floor

Speaker Check-in DeskInternet PavilionSPIE Marketplace

Exhibition Hall 1 Hall 2 Hall 3

232231

233

Down to Rooms111–114

213

214

211

212

210

San Jose Convention CenterExhibit and Meeting Room Level

230

MarriottSalons

114

113

112

111

San Jose Convention Center and Marriott Hotel

Page 7: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 5

Daily Schedule

SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY

Special Events Welcome and Announcements(Levinson),8:00to8:40am,p.6

Plenary presentation: Contact Printing to EUV: Lessons Learned from the Art of Lithography (Siegle)8:40to9:10am,p.6

Plenary presentation: The Evolution of EDA Alongside Rapid Silicon Technology Innovation(Ko)9:10to9:50am,p.7

Plenary presentation: The New U.S. Patent Law: What You Need to Know and How It Will Affect Your Strategy(Szmanda,Shelnut)9:50to10:30am,p.7

Award Announcements for Conference on Metrology, Inspection, and Process Control for Microlithography,11:00to11:20am,p.9

Award Announcements for Conference on Advances in Resist Materials and Processing Technology, 11:00to11:20am,p.9

Nanotechnology in Microlithography Panel Discussion, Approaching the Limits of 3D Metrology: Are There Any Solutions Beyond 14 nm?(Silver,Barnes,Bunday)6:00to7:30pm,p.8

Panel Discussion on Challenges for Directed Self-Assembly(Cheng,Tong)5:00to7:00pm,p.8

Joint Panel Discussion(JointPanelwithconfs.8679,8680,8682,8683,8684),7:30to9:00pm,p.8

Poster Reception(Conferences8681,8682,8685)6:00to8:00pm,p.8

Panel Discussion on Making a Business Case for Disruptive Metrology Technologies: What Should We Invest In? (Vaid,Bunday,Sendelbach)7:30to9:00pm,p.8

EXHIBITION,p.1010:00amto5:00pm10:00amto4:00pm

Presentation of the Best Student Paper Award in Microlithography, 10:30to10:40am,p.9

2013 Best Student Paper Award in Metrology, 10:30to10:40am,p.9

SeeCourseDailySchedule,page15.

Poster Reception (Conferences8679,8680,8683,8684)6:00to8:00pm,p.8

Conferences Conf.8679 Extreme Ultraviolet (EUV) Lithography IV (Naulleau)p.18-48

Conf.8682 Advances in Resist Materials and Processing Technology XXX (Somervell)p.18-43

Conf.8683Optical Microlithography XXVI(Conley)p.19-53

Conf.8685Advanced Etch Technology for Nanopatterning II(Zhang)p.19-31

Conf.8680Alternative Lithographic Technologies V(Tong)p.18-52

Conf.8681Metrology, Inspection, and Process Control for Microlithography XXVII(Starikov)p.18-52

Conf.8684Design for Manufacturability through Design-Process Integration VII (Mason)p.19-53

Page 8: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

6 SPIEAdvancedLithography2013 · www.spie.org/al

Special EventsPlenary Presentations · Convention Center Hall 3

Welcome and AnnouncementsConvention Center Hall 3

Monday25February. . . . . . . . . . . . . 8:00to8:40amSymposium Chair:Harry J. Levinson, GLOBALFOUNDRIES

Introduction of New SPIE Fellows

10th Frits Zernike Award for Advances in Optical MicrolithographyAward Sponsored by:

8:40 to 9:10 am

Contact Printing to EUV: Lessons Learned from the Art of Lithography

William T. Siegle,IndependantConsultantandASMLAdvisoryBoardMember

Wehaveallhadtheprivilegeofworkinginanelectronicsindustrythathasshownstunningadvances. Over the last 50 years, wehavewatchedaprogressionfromdiscretetransistors and simple ICs, to powerful

computersweallcarryinourpockets.Thisprogresswouldnothavebeenpossiblewithoutequallyremarkableprogressinsemiconductorlithography,theartofcreatingpatternsonsilicontoformthesecircuitpatterns. ThroughmycareeratIBM,AMD,andBoardmembershipsatEtec,DuPontPhotomasks,andASML,Ihavebeenbothobserver,participant,anduseroflithography.TheenormousprogressinboththeICtechnologyandtheaccompanyinglithography techniques has provided many “teachingmoments”.Itismyprivilegetosharesomeofthelessonsgleanedfromthisexperience.Whilesomeoftheselessonsarepeculiartoourarcaneart,othersmaybemorebroadlyapplicable. Thefirsteraoflithographypracticed“1to1”printing.Thatis,maskpatternsandwaferimagesweredimensionedinaone-to-onerelationship.Initiallypracticedthroughcontactprintinginthe60s,movingto1xprojectioninthe70s,thispracticeservedwelluntildemandsonmaskfidelitybecametoo great, and reduction alternatives became available.As wafer sizes grew, and feature sizes shrank, opticalreductiontechniquesand“stepandrepeat”ofsubwaferfield sizes ruled the day. Once robust steppers becamewidelyavailable,theraceturnedtoreducingwavelengthand“wavefrontengineering”todeliversmallerfeatures.Withexposurewavelengthscurrentlylimitedto193nm,exhaustedlithoengineersareresortingtomultiplepatterningtoescapethetraumaofridiculouslylowk1values.

EUV with its 13.5nm wavelength promises relief from193nm limits. While prototype machines are operatingin a number of customer fabs, the productivity requiredforeconomicalmanufacturing insertionhasnotyetbeendemonstrated. Other alternatives, such as e- beam ornanoimprinthaveshownpromisinglaboratoryresults,andshow lotsofactivityat thisconferenceeachyear.Butaviable path to production insertion for these alternativesisnotvisible,andsubstantial industrialization investmentwouldberequired. Never-the-less, the dedication and ingenuity of ourworldwidetalentsalwaysseemstofindaway.Manylessonshavebeenlearned,withinalllikelihood,moretocome. Bill (William T.) SiegleattendedRensselaerPolytechnic,andhereceivedhisPh.D.inElectricalEngineeringin1964.Heenjoyeda25yearcareeratIBMwherehebecametheDirectoroftheAdvancedTechnologyCenterinEastFishkill.HejoinedAdvancedMicroDevicesinSunnyvalein1990asVicePresidentofTechnology,andretiredin2005asSr.VPofTechnologyandManufacturing.Hehasservedon theboardsofSRCandSematech,andthepubliccompaniesEtec,DuPontPhotomasksandcurrentlyASML.Hehasbeeninvolvedwithlithographythroughouthiscareer,asbothanenablerandauser.

Page 9: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 7

Plenary Presentations · Convention Center Hall 3

9:10 to 9:50 am

The Evolution of EDA Alongside Rapid Silicon Technology Innovation

Howard Ko,SeniorVPandGeneralManager,Synopsys’SiliconEngineeringGroup

The ove ra l l p roduc t des ign andmanufacturing flow needed to create anew advanced semiconductor device isone of the most economically successful

andmostcomplexindustrialecosystemsintheworld.Theongoing economic success is especially amazing whenoneconsiderstheenormouschangeswhichoccursinthiscomplex ecosystem every technology generation. SPIEattendeesknowfullwellthemanyimportantdifficultiesandchallengesinvolvedincontinuingtherapidpaceofcost-effectivelithographyandsiliconprocessdevelopment.Justascritical,however,arethechallengeswhichdesignersandEDAsuppliersfacetomodifyandre-architecttheirdesignflowsanddesigntoolstobestincorporatetheoutcomesofthisrapidtechnologyimprovement.Thegoalofthistalkistoprovidehighlevelinsightintothecontinuingevolutionwhich the EDA industry has done and must continue todo inorder tokeeppacewith thehecticpaceofsilicontechnologyinnovation. Dr. Howard Ko joined Synopsys in June 2002 and iscurrentlySeniorVicePresident and GeneralManagerofthe Silicon Engineering Group. He manages productsandsolutionsthataddresssemiconductormanufacturingtechnology needs. These solutions include TCAD, yieldmanagement, failure analysis, mask data preparation,Opticaldesignandanalysis,Photonicsdesign,lithographysimulation,andmaskpatterning.InhispreviousroleasVicePresidentofSales,AsiaPacific,Dr.Kobuiltacontinuouslyincreasingrevenuestream,improvedSynopsys’leadershipposition in the region, and increased collaboration withleadingfoundries.BeforejoiningSynopsys,Dr.Koservedas Avant!’s executive operating officer since July 2001.Previously, he was general manager of Avant!’s mixedtechnologydivisionandheadofthesystemproductline.Dr.KohasalsoheldseniormanagementrolesatAnalogy,Inc.andMentorGraphics.Dr.KoreceivedhisPh.D.inElectricalEngineeringandComputerSciencefromUCBerkeley,andgraduatedwithaB.S.degreeinElectricalEngineeringfromtheNationalTaiwanUniversity.

9:50 to 10:30 am

The New U.S. Patent Law: What You Need to Know and How It Will Affect Your Strategy

Charles R. Szmanda,James G. Shelnut,ThePatentPracticeofSzmanda,Shelnut,LLC

Over the years, the electronic patterningartshavebeencharacterizedbyabusinessenvironment that incorporates featuresofpre-competitive cooperation during theearlyphasesofdevelopmentand intense

competitionduringthelaterphases.Cooperativeactivitiessuchaspre-competitivedevelopment, jointdevelopmentagreements, road-mapping activities, and the sharingof resources are commonplace. Moreover, while theelectronics industry is intensely competitive during laterstagesofdevelopmentandproduction,thewillingnessofmanyindustryplayerstolicenseandcrosslicensepatentedtechnologieshaspromotedrapidtechnologicaldevelopmentfor decades in ways not seen in other industries. Thisbusinessenvironment,andtheoldpatent lawsbasedon“first-to-invent” priority, have formed a basis for manycurrentintellectualpropertystrategies. Inthistalk,wereviewbrieflywhatapatentisandwhatisrequiredtogetone.Wethendescribethemostimportantaspectsofthenewpatentlaw.Theseinclude:(1)the“firstinventor tofile”system,whichtakeseffectonMarch16,2013and replaces the “first to invent”system incurrentlaw; (2) new ways to challenge issued patents such as“PostGrantReview”and“InterPartes”review;(3)priorartsubmissionduringprosecutionofanotherinventor’spatentapplication;(4)prioritizedexaminationofapplications;and(5)prioruserrights.Wegoontodiscusshowthesenewelementsofthelawwillalterthecompetitiveenvironmentbutwillalsopresentanumberofopportunitiesforformulatingasuccessfulpatentstrategywithinthatnewenvironment.

We conclude by offering several suggestions forformulatingapracticalpatentstrategyinviewofthenewlaws. We show how publishing at the wrong time candestroy your right to get a patent but publishing at theproper timecanenhanceyourcompetitiveposition. Wefurther show how you can protect your right to practicetradesecrets thatyouchoosenot topatent. Finally,weshowhowthelawprovidesopportunitiestochallengepatentclaimssubmittedbycompetitorsbothbeforeandafterthepatentisgranted. Charles R. Szmanda isaprincipalpartneratthePatentPracticeofSzmanda&Shelnut,LLC.Hehasbeenapatentagent,forover12yearsandisregisteredtopracticebeforethe United States Patent and Trademark Office and theCanadianIntellectualPropertyOffice. Szmandaspentmanyyearsinresearchanddevelopment,mostrecentlyasaResearchFellowattheDowElectronicMaterials Company, where he worked on electronicapplications of nanotechnology and did research onphotoresist materials. During his career, he designedprocesses for making silicon devices at Bell Labs,did polymer research for micro and nanolithography,helpedfoundastartupcompanycalledAspectSystems,did fundamental research on electron transfer duringmolecularcollisions,practiced thewonderfulartofx-raycrystallographyand generally had a lot of fun.He holds31 U.S. patents and is the author of over 60 scientificpublications. SzmandaholdsaB.S.inchemistryfromLoyolaUniversityandaPh.D. inphysical chemistry from theUniversity ofWisconsin. Inhisoffhours,hecontinuestoblowthehelloutofhisflugelhornwheneverhecanputabandtogether.

Plenary Presentations Sponsored by:

Page 10: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

8 SPIEAdvancedLithography2013 · www.spie.org/al

Technical Events

Nanotechnology in Microlithography Panel DiscussionConvention Center Room 210 B

Monday25February. . . . . . . . . . . . . . . . 6:00to7:30pm

Approaching the Limits of 3D Metrology: Are There Any Solutions Beyond 14 nm?Moderators: Richard M. Silver, Bryan Barnes, NationalInstituteofStandardsandTechnology; Benjamin Bunday, InternationalSEMATECH

Poster ReceptionsConvention Center Hall 2

Tuesday26February. . . . . . . . . . . . . . . . 6:00to8:00pm(Conferences 8679, 8680, 8683, 8684)

Tuesday Poster Reception Sponsor

TheExhibitionwillbeopenduringthePosterReceptiononTuesdayonly.Besuretovisittheexhibitboothsduringthistimeforinsightonwhatisneworcomingsoon!

Convention Center Hall 2

Wednesday27February. . . . . . . . . . . . . 6:00to8:00pm(Conferences 8681, 8682, 8685)

Wednesday Poster Reception Sponsors

All registered attendees are invited to attend the postersessions. Come view the high-quality papers that arepresented inthisalternativeformat,and interactwiththeposterauthorswhowillbeavailablefordiscussion.Enjoylightrefreshmentswhilenetworkingwithcolleaguesinyourfield. Attendees and authors are required to wear theirconferenceregistrationbadgestothepostersessions.

Panel Discussion on Challenges for Directed Self-AssemblyConvention Center Hall 3

Tuesday26February. . . . . . . . . . . . . . . .5:00to7:00pmModerators: William M. Tong,KLA-TencorCorp.and Joy Y. Cheng,IBMAlmadenResearchCtr.

Directedself-assembly(DSA),whichcombineslithography-definedpre-patternswithself-assembledphase-separatedpolymers, has become a promising path to continuethe scaling of semiconductor devices. As a materials-basedresolutionenhancementtechnique,DSAhasbeendemonstratedtoaugmentthepatterningcapabilityof193i,EUVandE-beamlithography,andhasbeguntotransitionfrom research labs to development lines in past twoyears.Whiletherehavebeenimportantadvancesonthematerialsandprocessfronts,toenableDSAasaresolutionenhancement technology, more efforts are required inareas such as DSA-aware design and low defectivity.Thecharacteristic length andnatureof phase-separatedpolymers impose DSA-specific design restrictions anddesign-related defectivities. The integration of compactDSA model into design and computational lithographymayfacilitatetheco-optimizationofdesign,materials,andprocesses.Onthedefectivityfront,moreexperimentaldataoninspection,metrologyandunitprocessmonitoringareneeded to identify the defect sources and intrinsic DSAdefects. Please join experts in design, DFM, metrology,and other critical areas in DSA to discuss these criticalchallengesforDSA.

Joint Panel DiscussionConvention Center Hall 3

Tuesday26February. . . . . . . . . . . . . . . . 7:30to9:00pmJointPanelwithconferences8679,8680,8682,8683,8684

Panel Discussion on Making a Business Case for Disruptive Metrology Technologies: What Should We Invest In?Convention Center Room 230 B

Wednesday27February. . . . . . . . . . . . . 7:30to9:00pmModerators: Alok Vaid, GLOBALFOUNDRIES, Inc.;Benjamin D. Bunday, SEMATECH North; Matthew J. Sendelbach, NovaMeasuringInstruments,Inc.Continuingdecreaseinthedevicedimensions,combinedwith complex disruptive materials and 3D architectureshave placed increasing demands on metrology tools.Over the years, the industry has implemented severalinnovativesolutionstoalleviatethesechallenges,butmostofthemhavebeenincrementalimprovementsratherthanrevolutionary. There seems to be inertia preventing theadoption of revolutionary and disruptive measurementtechniques,someofwhichhavebeen inthe limelightforaboutadecade.Thepanelwillfocusonthreekeydisruptivesolutions which have been identified as potential next-generationmetrologyandinspectiontechnologiesforsometime–CD-SAXS,Multi-ebeam-basedinspection,andHe-ionimaging.OurpanelofexpertswillcomefromamixofICmanufacturers,suppliers,academia,researchconsortiaandventurecapitalistfirms.Panelistswillreviewthetechnical,businessandfinancialaspectsofthesetechnologiesandalso try to form a consensus on whether they are reallyneededtomeetcurrentindustryrequirements.Thepanelwillrecommendwhethertheindustryshouldcontinuetoinvestinthesetechnologies,andifso,thenwhatitwill“actually”taketogetthemimplementedinHVM.

SPIE Advanced Lithography Promotional Partners

Mazik Media

MEMS and Nanotechnology Exchange

Photonics Media

Photonics Online

Solid State Technology

Page 11: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 9

Award Announcements for Conference on Metrology, Inspection, and Process Control for MicrolithographyConvention Center Room 230 B

Monday25February . . . . . . . . . . . . . 11:00to11:20am

Presentation of the 2012 Diana Nyyssonen Memorial Award for Best Paper in Metrology

Award Sponsored by

Presentation of the 2013 Best Student Paper Award in MetrologyConvention Center Room 230 B

Thursday28February. . . . . . . . . . . . . 10:30to10:40am

Award Sponsored by

Award Announcements for Conference on Advances in Resist Materials and Processing TechnologyConvention Center Hall 3

Monday25February. . . . . . . . . . . . . . 11:00to11:20am

Presentation of the 2012 C. Grant Willson Award for Best Paper in Resist

Presentation of the 2012 Hiroshi Ito Memorial Award for the Best Student Paper in ResistThese Awards Sponsored by

Presentation of the 2012 Jeffrey Byers Memorial Best Poster Award in ResistAward Sponsored by

Award Announcement for Conference 8683—Optical MicrolithographyConvention Center Room 210 C

Thursday28February. . . . . . . . . . . . . 10:30to10:40am

Presentation of the 2013 Best Student Award in MicrolithographyAward Sponsored by

Award Announcements

SPIE Apps for iPhone®

and Android™

Conference ProgramAndroid and iPhone®

Createyourschedule—searchandbrowsetheTechnicalProgramandspecialevents,participants,andexhibitors.

Profiles iPhone®

Makevaluablepersonalconnections—findandcontactcolleaguesbasedonSPIEresearchpublications,conferenceinvolvement,andcoursestaught.

Field GuidesAndroid and iPhone®

TakeFieldGuidestoanewlevel—accessanduseequations,linkedterms,bookmarks,andinteractivefigures,andtakenotes.

Try Geometrical Optics Field Guide Lite for Free!

Newsroom Android and iPhone®

Thelatestinnovations,news,andmultimediacoverageofOpticsandPhotonics.

iPhoneandiTunesareregisteredtrademarksofAppleInc.

Page 12: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

10 SPIEAdvancedLithography2013 · www.spie.org/al

Visittheexhibition.Seethelatestlithographyresearchanddevelopment,devices,tools,fabrication,andservices.

ExhibitionConvention Center Hall 1

Tuesday26February10:00amto5:00pm;

Posterreception:6:00to8:00pm

Wednesday27February10:00amto4:00pm

Exhibition TechnologiesLithography: immersion, double patterning, e-beam, EUV, optical/laser, and RET

Metrology, inspection, OPC, and process control

Design and manufacturing software

Materials and chemicals

Imaging equipment

Lasers

Resist materials and processing

Nano-imprint

IC and chip fabrication

Nanoscale imaging

Contact SPIE Sales: Tel:+13606763290·Fax:+13606471445·[email protected]

2013 Exhibiting Company list(Current as of 1/21/2013)

AbeamTechnologiesAmunealManufacturingCorp.ASMLattocubesystemsInc.BenchmarkTechnologiesBrewerScienceInc.BrionTechnologiesCapitolScientificMicrofabrication

MaterialsCarlZeissSMSGmbHDNSElectronics,LLC/SCREEN/

SOKUDOEnergetiqTechnology,Inc.FortrendEngineeringFUJIFILMElectronicMaterialsGenISysGmbHGudengPrecisionIndustrialCo.,Ltd.HalocarbonProductsCorporationHeraeusDaychem

IbssGroup,Inc.InkoIndustrialCorp.InspectrologyLLCJ.A.WoollamCo.,Inc.JSRMicro,Inc.KingIndustries,Inc.MEMS&Nanotechnology

ExchangeMentorGraphicsCorp.MicroLithography,Inc.MicroChemCorp.microresisttechnologyGmbHMireroInc.MitsuiChemicalsAmerica,Inc.MolecularImprints,Inc.NanometricsInc.OMGCyantekOpticalSupport,Inc.OptoDiodeCorp.OwensDesignPallCorp.PhotonicsOnline

PozzettaQoniacGmbHRigakuInnovativeTechnologiesInc.RIONCo.,Ltd.RudolphTechnologies,Inc.SAESPureGasSagemShin-EtsuMicroSiSolidStateTechnology/LaserFocus

WorldStorexTechnologiesSumikaElectronicMaterialsSynopsysInc.TNOTokyoOhkaKogyoAmerica,Inc.TRIOPTICSGmbHVistecElectronBeamGmbHVistecLithography,Inc.XEIScientific,Inc.XyalisZygoCorporation

Page 13: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 11

SanJoseMcEneryConventionCenter,150WestSanCarlos,SanJose,CA95113USA.

RegistrationOnsite Registration and Badge Pick-up Hours Location – San Jose Convention Center Concourse 2 Level

Sunday24February. . . . . . . . . . . . . 7:00amto5:00pm

Monday25February. . . . . . . . . . . . . 7:00amto4:00pm

Tuesday26February. . . . . . . . . . . . . 7:30amto5:00pm

Wednesday27February. . . . . . . . . . 7:30amto4:00pm

Thursday28February. . . . . . . . . . . . 7:30amto4:00pm

Conference Registration Includes admission to all conference sessions, plenaries,panels, andposter sessions, admission to theExhibition,coffeebreaks,SPIEhostedlunchesTuesdayandWednesday,and a choice of proceedings. Student pricing does notincludeproceedings.

SPIEMember,SPIEStudentMember,andStudentPricing•SPIEMembersreceiveconferenceandcourseregistration

discounts.Discountsareappliedatthetimeofregistration.•SPIE Student Members receive a 50% discount on all

courses.•Studentregistrationratesareavailableonlytoundergraduate

andgraduatestudentswhoareenrolledfulltimeandhavenotyetreceivedtheirPh.D.Post-docsmaynotregisterasstudents.AstudentIDnumberorproofofstudentstatusisrequiredwithyourregistration.

Course and Workshop RegistrationCoursesandworkshopsarepricedseparately.Course-onlyregistrationincludesyourselectedcourse(s),coursenotes,coffee breaks, and admittance to the exhibition. Coursepricesincludeapplicabletaxes.Onsite,pleasegotoCourseMaterialsPickupafteryoupickupyourbadge.Multiple facilitiesmaybeused forcourses;allowyourselfenoughtimetoregister,pickupyourmaterialsandpossiblywalktoanearbyfacilitybeforeyourcoursebegins.

General Information

Exhibition RegistrationExhibition-Onlyvisitorregistrationiscomplimentary.

Press RegistrationFor credentialed press and media representatives only.Pleaseemailcontactinformation,title,[email protected]

SPIE CashierRegistration Area

Openduringregistrationhours

Registration Payments Ifyouarepayingbycashorcheckaspartofyouronsiteregistration, wish to add a course, workshop, or specialeventrequiringpayment,orhavequestionsregardingyourregistration,visittheSPIECashier.

Receipts and Certificate of AttendancePreregistered attendees who did not receive a receipt orattendeeswhoneedaCertificateofAttendancemayobtainthosefromtheSPIECashier.

Badge CorrectionsBadgecorrectionscanbemadebytheSPIECashier.Pleasehaveyourbadgeremovedfromthebadgeholderandmarkedwithyourchangesbeforeapproachingthecounter.

Refund InformationThere is a US$40 service charge for processing refunds.Requests for refunds must be received by 15 February,2013; all registration fees will be forfeited after this date.Membershipdues,receptiontickets,andSPIEDigitalLibrarysubscriptionsarenotrefundable.

Author / Presenter Information Speaker Check-In and Preview StationConvention Center Ballroom Concourse

Sunday . . . . . . . . . . . . . . . . . . . . . . . 2:00pmto6:00pm

MondaythroughThursday . . . . . . . ..7:30amto5:00pm

Allconferenceroomshaveacomputerworkstation,projector,screen,lapelmicrophone,andlaserpointer.AllpresentersarerequestedtocometoSpeakerCheck-Inwiththeirmemorydevices or laptops to confirm their presentation displaysettings.

Poster Set-up Instructions Authorsofposterpaperswillbepresentandattheirpostersduringthesesessionstoanswerquestionsandprovidein-depthdiscussionconcerningtheirposters.

Posterauthorsmaysetuptheirpostersafter10:00amonthedayoftheirpostersession.Postersupplies(push-pins)willbeavailable.Posterscanbepreviewedduringthedayuntil one hour before the formal poster sessions begin at6:00pm.

Itistheauthors’responsibilitytoremovetheirpostersandallothermaterialsattheconclusionoftheposterreceptionfor that day. Posters and all material not removed will beconsideredunwantedandwillbediscarded.SPIEassumesno responsibility for posters left up after the end of eachnight’sposterreception.

Attendeesandauthorsarerequiredtoweartheirconferenceregistrationbadgestothepostersessions.

Page 14: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

12 SPIEAdvancedLithography2013 · www.spie.org/al

Onsite Services Internet AccessConvention Center Concourse

Internet Pavilion

Sponsored by

SPIE will have a complimentary Internet Pavilion at theConventionCenter fromSunday throughThursdayduringregistrationhours.AttendeescanuseprovidedworkstationsorhookuptheirlaptoptoanEthernetconnectiontoaccesstheInternet.

Complimentary Internet Wireless AccessSponsored by

SPIEispleasedtoprovidecomplimentarywirelessaccesstotheInternetforallconferenceattendeesbringing802.11bwireless-enabledlaptopsorPDAs.CoveragewillbeavailableSundaythroughThursdayintheConventionCenterBallroomConcourse. Properlysecureyourcomputerbeforeaccessingthepublicwirelessnetwork.Failuretodosomayallowunauthorizedaccesstoyourlaptopaswellaspotentiallyintroducevirusestoyourcomputerand/orpresentation.

SPIE BookstoreConvention Center Concourse 1The SPIE Bookstore is your source for the latest SPIEPressBooks,Proceedings,andEducationandProfessionalDevelopmentmaterials.BecomeanSPIEMember,exploretheDigitalLibrary,takehomeafreeSPIEposter,orbuyasouvenir(tie,t-shirt,educationaltoys,andmore).

SPIE Education ServicesSan Jose Convention Center, Bookstore, Near RegistrationBrowsecourseofferingsandtheothereducationservicesavailable: SPIE courses, videos, and CDs as well ascustomizedin-companycourses.

SPIE Press RoomMarriott Think Tank Room

OpenduringRegistrationhours.ForRegisteredPressonly.The Press Room provides meeting space, refreshments,access to exhibitor press releases, and Internet connec-tions. Press are urged to register before the meeting byemailingname,contactinformation,[email protected] Preregistrationclosesapproximately10daysbeforethestartoftheevent.

Child Care Services BayArea2ndMOMInc.,HotelNannyServiceTollFreePhone:1-888-926-3666,or650-858-2469or650-787-6518Email:[email protected]:www.2ndmom.com

SittersUnlimitedTollFreePhone:(408)452-0225E-mail:[email protected]:www.bayareasittersunlimited.com

SPIEdoesnot implyanendorsementor recommendationofthisservice.Itisprovidedonan“informationonly”basisforyourfurtheranalysisanddecision.Otherservicesmaybeavailable.

Urgent Message LineAnurgentmessagelineisavailableduringregistrationhours:+408-271-6279

Food + Beverage ServicesCoffee Breaks | Breakfast Breads7:30to8:30amMonday–Thursday(BreakfastBreads)

Tuesday breakfast sponsored by

10:00amand3:00pm,Sunday–Thursday(CoffeeBreaks)Convention Center Ballroom Concourse/Exhibition Hall

Sponsored by

Complimentarycoffeewillbeserveddaily,at7:30am,10:00amand3:00pm.Check individualconference listings forexacttimesandlocations.

Food & Refreshments for PurchaseConvention Center Concourse and Exhibition Hall Concession Stand

11:00amto2:00pmHotandcoldsnacks,hotentrees,delisandwiches,salads,and pastries are available for purchase. Cash and creditcardsaccepted.

SPIE-Hosted LunchesExhibition Hall 1HostedluncheswillbeservedintheExhibitionHallatthefollowingtimes:TuesdayandWednesday. . . . . . . . 11:30amto1:00pm

Tuesdaylunchco-sponsoredby

Pleasechecktheindividualtechnicalconferencelistingsforexacttimes. Complimentaryticketsfortheseluncheswillbeincludedwith fullconference registrations.ExhibitorsandstudentsmaypurchaseticketsattheCashierlocatedinConcourse1.

DessertsComplimentary tickets fordessert snacksare included incourseandconferenceattendeeregistrationpackets.

TransportationAirport Shuttles•SuperShuttlewithDiscountforSPIEattendees•South&EastBayAirportShuttle•AirportCommuterLimoService

Taxi from San Jose AirportComplimentaryPark&RideParkingLotsFreeregularPark&Rideparkingislimitedto72hours.

Airport Flyer & Light RailFrom SJC, take the free Airport Flyer #10 toward MetroLightRailand transferatMetro/AirportLightRailStation.GosouthboundonSantaTeresaLineorWinchesterLinetoConventionCenterStation.SanJoseConventionCenterisadjacenttotheConventionCenterStationonSanCarlosStreet.

General Information

Page 15: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 13

FaresDrivingDirections&Parking•DrivingDirections(PDF)•ParkingatSanJoseMcEneryConventionCenter•AlternateParkingNearbyConventionCenter•ParkingLotMap(PDF)•ContractedHotels’parking rates range from$21 -$26

perdayforovernightguests.Non-guestscanpayhourly.Rates subject to change. Parking at the Ramada iscomplimentary.

Car RentalHertzCarRentalhasbeenselectedastheofficialcarrentalagencyforthisSymposium.Toreserveacar,identifyyourselfasanAdvancedLithographyConferenceattendeeusingtheHertzMeetingCodeCV#029B0018.Discountratesapplytoroundtriprentalsuptooneweekpriorthroughoneweekaftertheconferencedates.Note:WhenbookingfromInternationalHertzlocations,theCV#mustbeenteredwiththelettersCVbeforethenumber,i.e.CV029B0018.•IntheUnitedStatescall1-800-654-2240.• In Canada call 1-800-263-0600, or 1-416-620-9620 in

Toronto.• In Europe and Asia call the nearest Hertz Reservation

Centerortravelagent.•Outsideoftheseareascall1-405-749-4434.•BookOnlineatHertzInformation:visittheTeamSanJosewebsite.

Policies

Acceptance of Policies and Registration ConditionsThe following Policies and Conditions apply to all SPIEEvents.Asaconditionofregistration,youwillberequiredtoacknowledgeandaccepttheSPIERegistrationPoliciesandConditionscontainedherein.

Granting Attendee Registration and AdmissionSPIE, or their officially designated event management, intheirsolediscretion,reservestherighttoacceptordeclinean individual’s registration for anevent.Further,SPIE,oreventmanagement, reservestheright toprohibitentryorremove any individual whether registered or not, be theyattendees,exhibitors, representatives,orvendors,who intheirsoleopinionarenot,orwhoseconductisnot,inkeeping

withthecharacterandpurposeoftheevent.Withoutlimitingthe foregoing, SPIE and event management reserve therighttoremoveorrefuseentrytoanyattendee,exhibitor,representative, or vendor who has registered or gainedaccessunder falsepretenses,provided false information,orforanyotherreasonwhatsoeverthattheydeemiscauseunderthecircumstances.

Misconduct PolicySPIEisaprofessional,not-for-profitsocietycommittedtoprovidingvaluableconferenceandexhibitionexperiences.SPIEisdedicatedtoequalopportunityandtreatmentforallitsmembersandmeetingattendees.Attendeesareexpectedtoberespectfultootherattendees,SPIEstaff,andcontractors.Harassment and other misconduct will not be tolerated;violatorswillbeaskedtoleavetheevent.

Capture and Use of a Person’s ImageByregisteringforthisevent,IgrantfullpermissiontoSPIEtocapture,store,use,and/orreproducemyimageorlikenessbyanyaudioand/orvisual recording technique (includingelectronic/digital photographs or videos), and createderivativeworksoftheseimagesandrecordingsinanySPIEmedianowknownorlaterdeveloped,foranylegitimateSPIEmarketingorpromotionalpurpose. Byregisteringforthisevent,Iwaiveanyrighttoinspectorapprovetheuseoftheimagesorrecordingsorofanywrittencopy.Ialsowaiveanyrighttoroyaltiesorothercompensationarisingfromorrelatedtotheuseoftheimages,recordings,ormaterials.Byregistering,Irelease,defend,indemnifyandholdharmlessSPIEfromandagainstanyclaims,damagesorliabilityarisingfromorrelatedtotheuseoftheimages,recordingsormaterials,includingbutnotlimitedtoclaimsofdefamation,invasionofprivacy,orrightsofpublicityorcopyright infringement,oranymisuse,distortion,blurring,alteration, optical illusion or use in composite form thatmayoccurorbeproducedintaking,processing,reductionor production of the finished product, its publication ordistribution.

Audio, Video, Digital Recording PolicyConferences,courses,andpostersessions:Forcopyrightreasons,recordingsofanykindareprohibitedwithoutpriorwrittenconsentofthepresenter.Attendeesmaynotcapturenorusethematerialspresentedinanymeetingroomwithoutwrittenpermission.ConsentformsareavailableatSpeakerCheck-In.Individualsnotcomplyingwiththispolicywillbeaskedtoleaveagivensessionandaskedtosurrendertheirrecordingmedia.

Exhibition Hall: For security and courtesy reasons,recordingsofanykindareprohibitedunlessonehasexplicitpermissionfromon-sitecompanyrepresentatives.Individualsnotcomplyingwiththispolicywillbeaskedtosurrendertheirrecordingmediaandtoleavetheexhibitionhall. Your registration signifies your agreement to bephotographed or videotaped by SPIE in the course ofnormalbusiness.Suchphotosandvideomaybeused inSPIEmarketingmaterialsorotherSPIEpromotionalitems.

Laser Pointer Safety Information/PolicySPIE supplies tested and safety-approved laser pointersforallconferencemeetingrooms.Forsafetyreasons,SPIErequeststhatpresentersuseprovidedlaserpointers. Use of a personal laser pointer represents user’sacceptanceofliabilityforuseofanon-SPIE-suppliedlaserpointer.Ifyouchoosetouseyourownlaserpointer,itmustbe tested toensure<5mWpoweroutput.LaserpointersinClassIIandIIIa(<5mW)areeyesafeifpoweroutputiscorrect,butoutputmustbeverifiedbecausemanufacturerlabeling may not match actual output. Come to SpeakerCheck-Inandtestyourlaserpointeronourpowermeter.YouarerequiredtosignawaiverreleasingSPIEofanyliabilityforuseofpotentiallynon-safe,personallaserpointers.Misuseofanylaserpointercanleadtoeyedamage.

Underage Persons on Exhibition Floor PolicyForsafetyandinsurancereasons,nooneundertheageof16willbeallowedintheexhibitionareaduringmove-inandmove-out.Duringopenexhibitionhours,onlychildrenovertheageof12accompaniedbyanadultwillbeallowedintheexhibitionarea.

Unauthorized Solicitation PolicyUnauthorizedsolicitationintheExhibitionHallisprohibited.Anynon-exhibitingmanufacturerorsupplierobservedtobedistributinginformationorsolicitingbusinessintheaisles,or in another company’s booth, will be asked to leaveimmediately.

Unsecured Items Policy Personalbelongingsshouldnotbeleftunattendedinmeetingrooms or public areas. Unattended items are subject toremovalbysecurity.SPIE isnotresponsible for items leftunattended.

General Information

http://www.sanjose.org/plan-a-visit/communityThecityofSanJoseisaCommunityofindividualsthatcreateanecosystemoftechnologist,artistsandentrepreneurs.Eachwiththeirownoutlooksonlife,workandplayinSanJoseandthegreaterBayArea.

Page 16: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

14 SPIEAdvancedLithography2013 · www.spie.org/al

South Hall

General Information

Wireless Internet Service Policy At SPIE events where wireless is included with yourregistration, SPIE provides wireless access for attendeesduringtheconferenceandexhibitionbutcannotguaranteefullcoverageinalllocations,allofthetime.Pleaseberespectfulof your time and usage so that all attendees are able toaccesstheinternet. Excessiveusage(e.g.,streamingvideo,gaming,multipledevices) reduces bandwidth and increases cost for allattendees. No routers may be attached to the network.Properlysecureyourcomputerbeforeaccessingthepublicwirelessnetwork.Failuretodosomayallowunauthorizedaccesstoyourlaptopaswellaspotentiallyintroducevirusestoyourcomputerand/orpresentation.SPIEisnotresponsibleforcomputervirusesorothercomputerdamage.

Mobile Phones and Related Devices PolicyMobile phones, tablets, laptops, pagers, and any similarelectronic devices should be silenced during conferencesessions.Pleaseexittheconferenceroombeforeansweringorbeginningaphoneconversation.

SmokingForthehealthandconsiderationofallattendees,smokingis not permitted at any event elements, such as but notlimited to: plenaries, conferences, workshops, courses,postersessions,hostedmealfunctions,receptions,andintheexhibithall.Mostfacilitiesalsoprohibitsmokinginallorspecificareas.Attendeesshouldobeyanysignspreventingorauthorizingsmokinginspecifiedlocations.

Hold HarmlessAttendeeagreestoreleaseandholdharmlessSPIEfromanyandallclaims,demands,andcausesofactionarisingoutoforrelatingtoyourparticipationintheeventyouareregisteringtoparticipateinanduseofanyassociatedfacilitiesorhotels.

SPIEInternationalHeadquarters POBox10Bellingham,WA98227-0010USATel:+13606763290Fax:[email protected]

SPIEEuropeOffices2AlexandraGateFforddPengam,Cardiff,CF242SAUKTel:+442920894747Fax:[email protected]

Page 17: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 15

Money-back GuaranteeWeareconfidentthatonceyouexperienceanSPIEcourseforyourselfyouwilllooktousforyourfutureeducationneeds.However,ifforanyreasonyouaredissatisfied,wewillgladlyrefundyourmoney.Wejustaskthatyoutelluswhatyoudidnotlike;suggestionsforimprovementarealwayswelcome.

Continuing Education UnitsSPIEhasbeenapprovedasanauthorizedproviderofCEUsbyIACET,TheInternationalAssociationforContinuingEducationandTraining(Provider#1002091).Inobtainingthisapproval,SPIEhasdemonstratedthatitcomplieswiththeANSI/IACETStandardswhicharewidelyrecognizedasstandardsofgoodpractice.

SPIE reserves the right to cancel a course due to insufficient advance registration.

Get Smart with Courses at SPIE Advanced LithographyRelevanttraining|Proveninstructors|Educationyouneedtostaycompetitiveintoday’sjobmarket

· Choosefrom14coursesandlearncurrentapproachesinEUVlithography,DSA,opticallithography,FEOL/MOL/BEOLlithography,andmore

· SPIEStudentMembersreceive50%offcourseregistration

· Newcoursesin2013includeScatterometryandApplicationsinCD,OVandFocusControl;ChemistryandLithography;UnderstandingDesign-PatternInteractions

· EarnCEUstofulfillcontinuingprofessionaleducationrequirements

www.spie.org/educationSUNDAY MONDAY TUESDAY

SC1099Chemistry and Lithography(Okoroanyanwu)8:30amto5:30pm,$670/$780

SC1009Electron Beam Inspection - Principles and Applications in IC and Mask Manufacturing(Xiao)8:30amto12:30pm,$350/$405

SC888EUV Lithography (Bakshi,Ahn,Naulleau)8:30amto5:30pm,$715/$825

SC101 Introduction to Microlithography: Theory, Materials, and Processing(Willson,Bowden)8:30amto5:30pm,$575/$685

SC116Lithographic Optimization: A Theoretical Approach (Mack)8:30amto5:30pm,$655/$765

SC992 Lithography Integration for Semiconductor FEOL & BEOL Fabrication (Lin,Zhang)8:30amto5:30pm,$575/$685

SC1066Practical Modeling and Computational Lithography(Lai)8:30amto5:30pm,$575/$685

SC885Principles and Practical Implementation of Multiple Patterning(Dusa,Hsu)8:30amto5:30pm,$575/$685

SC1067Directed Self Assembly and its Application to Nanoscale Fabrication(dePablo,Nealey,Ruiz)1:30pmto5:30pm,$350/$405

SC1100Scatterometry and Applications in CD, OV and Focus Control (Cramer,Turovets)1:30pmto5:30pm,$350/$405

SC1030Interaction of Physical Design and Lithography(Yuan)1:30pmto5:30pm,$350/$405

THURSDAY

SC1101Understanding Design-Patterning Interactions(Gupta,Mallik,Torres)1:30pmto5:30pm,$350/$405

SC103 Chemically Amplified Resists(Willson)8:30amto5:30pm,$575/$685

SC616Practical Photoresist Processing(Dammel)8:30amto12:30pm,$350/$405

Page 18: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

16 SPIEAdvancedLithography2013 · www.spie.org/al

Technical Conference Session Schedule

To come

jill

Monday 25 February

Tuesday 26 February

Wednesday 27 February

Thursday 28 February

Conference8679Extreme Ultraviolet (EUV) Lithography IV

Session 1 · Mon 1:30 to 3:30 pmInvited I

Session 2 · Mon 4:00 to 6:00 pmEUV Resists: Joint Session with Conferences 8679 and 8682

Session 3 · Tue 8:00 to 9:40 amSources

Session 4 · Tue 10:30 am to 12:10 pmMask I

Session 5 · Tue 1:40 to 3:20 pmResist Outgassing

Session 6 · Tue 3:50 to 5:30 pmOptics and Metrology

Session 7 · Wed 8:00 to 9:40 amOPC and Modeling

Session 8 · Wed 10:10 am to 12:10 pmEUV Resist

Session 9 · Wed 1:40 to 3:20 pmHigh NA and Magnification

Session 10 · Wed 3:50 to 5:50 pmMask II

Session 11 · Thu 8:30 am to 12:00 pmInvited II

Conference8680Alternative Lithographic Technologies V

Opening Remarks and Introduction · 3:30 to 3:35 pm

Session 1 · Mon 3:35 to 5:35 pmKeynote Session

Session 2 · Tue 8:20 to 10:10 amDSA Materials and Applications

Session 3 · Tue 10:30 am to 12:00 pmUV Imprint Lithography

Session 4 · Tue 1:20 to 3:10 pmDSA Materials and Processing: Joint Session with Conference 8680 and 8682

Session 5 · Tue 3:30 to 5:00 pmE-Beam Direct-Write for High-Volume Manufacturing I

Session 6 · Wed 8:00 to 9:50 amDSA Metrology and Inspection: Joint Session with Conferences 8680 and 8681

Session 7 · Wed 10:30 am to 12:00 pmE-Beam Direct-Write for High-Volume Manufacturing II

Session 8 · Wed 1:20 to 3:10 pmNanoimprint Applications

Session 9 · Wed 3:30 to 5:20 pmDesign for Manufacturability for DSA: Joint Session with Conferences 8680 and 8684

Session 10 · Thu 8:00 to 10:10 amDSA Vias

Session 11 · Thu 10:40 am to 11:50 amNanoprobe Array Direct-Write Technologies

Session 12 · Thu 1:20 to 3:10 pmE-Beam Direct-Write for High-Volume Manufacturing III

Session 13 · Thu 3:30 to 5:00 pmDSA Lines-Spaces

Conference8681Metrology, Inspection, and Process Control for Microlithography XXVII

Opening Remarks and Award Presentations · 11:00 to 11:20 am

Session 1 · Mon 11:20 am to 12:00 pmKeynote Session

Session 2 · Mon 1:30 to 3:20 pmMetrology for Process Control

Session 3 · Mon 3:50 to 5:40 pmDesign-based Metrology and Process Control

Session 4 · Tue 8:00 to 10:10 amInspection

Session 5 · Tue 10:40 am to 12:00 pmAccelerated Development of Materials and Processes: Joint Session with Conference 8681 and 8682

Session 6 · Tue 1:30 to 3:10 pmNew Horizons

Session 7 · Tue 3:40 to 5:40 pmScatterometry

Session 8 · Wed 8:00 to 9:50 amDSA Metrology and Inspection: Joint Session with Conferences 8680 and 8681

Session 9 · Wed 10:40 am to 12:00 pmOptical Extensions

Session 10 · Wed 1:20 to 3:10 pmLER/LWR

Session 11 · Wed 3:40 to 5:40 pmOverlay

Session 12 · Thu 8:00 to 9:50 amSEM, AFM, SPM

Awards · Thu 10:30 to 10:40 am

Session 13 · Thu 10:40 to 12:10 pmCross-technology Comparisons, Hybrids, and Accuracy

Session 14 · Thu 1:40 to 3:20 pmProcess Control

Session 15 · Thu 3:50 to 5:00 pmLate Breaking News

Page 19: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 17

Technical Conference Session Schedule

Conference8682Advances in Resist Materials and Processing Technology XXX

Opening Remarks and Award Presentations · 11:00 to 11:20 am

Session 1 · Mon 11:20 am to 12:30 pmKeynote Session

Session 2 · Mon 2:00 to 3:40 pmNovel Processing of Patterning Materials

Session 3 · Mon 4:00 to 6:00 pmEUV Resists: Joint Session with Conferences 8679 and 8682

Session 4 · Tue 8:00 to 10:00 amOptical Extensions

Session 5 · Tue 10:40 am to 12:00 pmAccelerated Development of Materials and Processes: Joint Session with Conference 8681 and 8682

Session 6 · Tue 1:20 to 3:10 pmDSA Materials and Processing: Joint Session with Conference 8680 and 8682

Session 7 · Tue 3:40 to 5:40 pmNegative Tone Patterning

Session 8 · Wed 8:00 to 10:00 amNovel Patterning Materials

Session 9 · Wed 10:30 am to 12:10 pmE-Beam Patterning Materials

Session 10 · Wed 1:30 to 2:50 pmEUV Materials, Processing, and Analysis

Session 11 · Wed 3:30 to 5:10 pmFundamental Studies of RLS Behavior

Conference8683Optical Microlithography XXVI

Opening Remarks · 8:20 to 8:40 am

Session 1 · Tue 8:40 to 10:00 amKeynote Session

Session 2 · Tue 10:30 am to 12:10 pm14nm and Beyond

Session 3 · Tue 1:40 to 3:20 pmSource and Mask Optimization (SMO) I

Session 4 · Tue 3:50 to 5:30 pmRET

Session 5 · Wed 8:00 to 10:00 amSource and Mask Optimization (SMO) II

Session 6 · Wed 10:30 to 11:50 amProcess Technology I

Session 7 · Wed 1:20 to 3:00 pmModeling

Session 8 · Wed 3:30 to 5:30 pmProcess Technology II

Session 9 · Thu 8:00 to 10:00 amOptical and DFM I: Joint Session with Conferences 8683 and 8684

Session 10 · Thu 10:40 am to 12:00 pmOptical and DFM II: Joint Session with Conferences 8683 and 8684

Session 11 · Thu 1:20 to 3:00 pmSimulation

Session 12 · Thu 3:30 to 5:30 pmTooling

Conference8684Design for Manufacturability through Design-Process Integration VI

Opening Remarks · 8:10 to 8:20 am

Session 1 · Wed 8:20 to 10:05 amKeynote Session

Session 2 · Wed 10:40 to 11:40 amDFDP: Design for Multipatterning

Session 3 · Wed 1:40 to 3:00 pmDesign Rules and Routing

Session 4 · Wed 3:30 to 5:20 pmDesign for Manufacturability for DSA: Joint Session with Conferences 8680 and 8684

Session 5 · Thu 8:00 to 10:00 amOptical and DFM I: Joint Session with Conferences 8683 and 8684

Session 6 · Thu 10:40 am to 12:00 pmOptical and DFM II: Joint Session with Conferences 8683 and 8684

Session 7 · Thu 1:20 to 3:00 pmDesign Implications and Variability

Session 8 · Thu 3:30 to 4:30 pmAlgorithms for DFM

Conference8685Advanced Etch Technology for Nanopatterning II

Session 1 · Mon 1:30 to 3:10 pmLitho and Plasma Etching Interaction

Session 2 · Mon 3:40 to 5:40 pmPlasma/Resist Interaction and LER

Session 3 · Tue 8:30 to 10:10 amPlasma Etching for Advanced Technology Nodes

Session 4 · Tue 10:40 am to 12:00 pmMemory Patterning

Session 5 · Tue 1:30 to 3:10 pmNew Plasma Sources and New Etching Technologies

Session 6 · Tue 3:40 to 5:10 pmEmerging Patterning Technology

Page 20: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

18 SPIEAdvancedLithography2013 · www.spie.org/al

Conference 8679Mon.–Thur.25–28February2013ProceedingsofSPIEVol.8679

Extreme Ultraviolet (EUV) Lithography IVConference Chair: Patrick P. Naulleau, LawrenceBerkeleyNationalLab.(UnitedStates)

Conference Co-Chair: Obert R. Wood II, GLOBALFOUNDRIESInc.(UnitedStates)

Program Committee: Markus Bender, AdvancedMaskTechnologyCtr.GmbHCo.KG(Germany);Jos P. Benschop, ASMLNetherlandsB.V.(Netherlands);Robert L. Brainard, CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates);Li-Jui Chen, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Daniel A. Corliss, IBMCorp.(UnitedStates);Emily E. Gallagher, IBMCorp.(UnitedStates);Michael Goldstein, SEMATECHNorth(UnitedStates);Frank Goodwin, SEMATECHNorth(UnitedStates);Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan);Soichi Inoue, EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);Bryan S. Kasprowicz, Photronics,Inc.(UnitedStates);Seong-Sue Kim, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Bruno La Fontaine, Cymer,Inc.(UnitedStates);Michael J. Lercel, SEMATECHNorth(UnitedStates);Ted Liang, IntelCorp.(UnitedStates);Akira Miyake, CanonInc.(Japan);Hiroaki Morimoto, ToppanPrintingCo.,Ltd.(Japan);Katsuhiko Murakami, NikonCorp.(Japan);Chris Ngai, AppliedMaterials,Inc.(UnitedStates);Shinji Okazaki, GigaphotonInc.(Japan);Uzodinma Okoroanyanwu, GLOBALFOUNDRIESInc.(Germany);Eric M. Panning, IntelCorp.(UnitedStates);Jan Hendrik Peters, CarlZeissSMSGmbH(Germany);Jorge J. Rocca, ColoradoStateUniv.(UnitedStates);Kurt G. Ronse, IMEC(Belgium);Tsutomu Shoki, HOYACorp.(Japan);Stanley E. Stokowski, KLA-TencorCorp.(UnitedStates);Anna V. Tchikoulaeva, LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);Thomas I. Wallow, GLOBALFOUNDRIESInc.(UnitedStates);Jeong-Ho Yeo, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Masaki Yoshioka, XTREMEtechnologiesGmbH(Germany)

Conference Sponsor

Conference 8680Mon.–Thur.25–28February2013ProceedingsofSPIEVol.8680

Alternative Lithographic Technologies VConference Chair: William M. Tong, KLA-TencorCorp.(UnitedStates)

Conference Co-Chair: Douglas J. Resnick, MolecularImprints,Inc.(UnitedStates)

Program Committee: Christopher Bencher, AppliedMaterials,Inc.(UnitedStates);Joy Y. Cheng, IBMAlmadenResearchCtr.(UnitedStates);Juan J. de Pablo, Univ.ofWisconsin-Madison(UnitedStates);Elizabeth A. Dobisz, HitachiGlobalStorageTechnologies,Inc.(UnitedStates);Timothy R. Groves, Univ.atAlbany(UnitedStates);Cynthia Hanson, SpaceandNavalWarfareSystemsCtr.Pacific(UnitedStates);Daniel J. C. Herr, TheUniv.ofNorthCarolinaatGreensboro(UnitedStates);Tatsuhiko Higashiki, ToshibaCorp.(Japan);James A. Liddle, NationalInstituteofStandardsandTechnology(UnitedStates);Shy-Jay Lin, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Lloyd C. Litt, SEMATECHNorth(UnitedStates),GLOBALFOUNDRIESInc.{UnitedStates);Hans Loeschner, IMSNanofabricationAG(Austria);John G. Maltabes, Hewlett-PackardLabs.(UnitedStates);Laurent Pain, CEA-LETI(France);Ivo W. Rangelow, TechnischeUniv.Ilmenau(Germany);Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(UnitedStates);Ricardo Ruiz, HitachiGlobalStorageTechnologies,Inc.(UnitedStates);Frank M. Schellenberg, Consultant(UnitedStates);Ines A. Stolberg, VistecElectronBeamLithographyGroup(Germany);Kevin T. Turner, Univ.ofPennsylvania(UnitedStates);James J. Watkins, Univ.ofMassachusettsAmherst(UnitedStates);Marco Wieland, MAPPERLithography(Netherlands);Wei Wu, Univ.ofSouthernCalifornia(UnitedStates)

Conference Sponsor

Conference 8681Mon.–Thur.25–28February2013ProceedingsofSPIEVol.8681

Metrology, Inspection, and Process Control for Microlithography XXVIIConference Chair: Alexander Starikov, I&IConsulting(UnitedStates)

Conference Co-Chair: Jason P. Cain, AdvancedMicroDevices,Inc.(UnitedStates)

Program Committee: Ofer Adan, AppliedMaterials(Israel);John A. Allgair, GLOBALFOUNDRIESInc.(UnitedStates);Masafumi Asano, ToshibaCorp.(Japan);Benjamin D. Bunday, SEMATECHNorth(UnitedStates);Alek C. Chen, ASMLTaiwanLtd.(Taiwan);Shaunee Y. Cheng, IMEC(Belgium);Timothy F. Crimmins, IntelCorp.(UnitedStates);Daniel J. C. Herr, TheUniv.ofNorthCarolinaatGreensboro(UnitedStates);Chih-Ming Ke, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.(Japan);Yi-sha Ku, IndustrialTechnologyResearchInstitute(Taiwan);Byoung-Ho Lee, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Christopher J. Raymond, NanometricsInc.(UnitedStates);John C. Robinson, KLA-TencorCorp.(UnitedStates);Martha I. Sanchez, IBMAlmadenResearchCtr.(UnitedStates);Matthew J. Sendelbach, NovaMeasuringInstruments,Inc.(UnitedStates);Richard M. Silver, NationalInstituteofStandardsandTechnology(UnitedStates);Costas J. Spanos, Univ.ofCalifornia,Berkeley(UnitedStates);Vladimir A. Ukraintsev, NanometrologyInternational,Inc.(UnitedStates);Alok Vaid, GLOBALFOUNDRIESInc.(UnitedStates)

Conference Sponsor

Conference 8682Mon.–Wed.25–27February2013ProceedingsofSPIEVol.8682

Advances in Resist Materials and Processing Technology XXXConference Chair: Mark H. Somervell, TokyoElectronAmerica,Inc.(UnitedStates)

Conference Co-Chair: Thomas I. Wallow, GLOBALFOUNDRIESInc.(UnitedStates)

Program Committee: Robert Allen, IBMAlmadenResearchCtr.(UnitedStates);Ramakrishnan Ayothi, JSRMicro,Inc.(UnitedStates);George G. Barclay, DowAdvancedMaterials(UnitedStates);Luisa D. Bozano, IBMAlmadenResearchCtr.(UnitedStates);Sean D. Burns, IBMCorp.(UnitedStates);Ralph R. Dammel, AZElectronicMaterialsUSACorp.(UnitedStates);Roel Gronheid, IMEC(Belgium);Douglas Guerrero, BrewerScience,Inc.(UnitedStates);Clifford L. Henderson, GeorgiaInstituteofTechnology(UnitedStates);Christoph K. Hohle, Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);Scott W. Jessen, TexasInstrumentsInc.(UnitedStates);Yoshio Kawai, Shin-EtsuChemicalCo.,Ltd.(Japan);Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.(UnitedStates);Nobuyuki N. Matsuzawa, SonyCorp.(Japan);Dah-Chung Owe-Yang, Shin-EtsuMicroSi,Inc.(UnitedStates);Daniel P. Sanders, IBMAlmadenResearchCtr.(UnitedStates);Plamen Tzviatkov, FUJIFILMElectronicMaterialsU.S.A.,Inc.(UnitedStates);Todd R. Younkin, IntelCorp.(Belgium)

Conference Sponsor

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8680

Alternative Lithographic

Conference 8681

Metrology, Inspection, and Process Control for Microlithography

Conference 8682

Advances in Resist Materials

Conference 8683

Optical Microlithography XXVI

Page 21: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 19

Conference 8683Tue.–Thur.26–28February2013ProceedingsofSPIEVol.8683

Optical Microlithography XXVIConference Chair: Will Conley, Cymer,Inc.(UnitedStates)

Conference Co-Chair: Kafai Lai, IBMCorp.(UnitedStates)

Program Committee: Pary Baluswamy, MicronTechnology,Inc.(UnitedStates);Peter D. Brooker, Synopsys,Inc.(UnitedStates);Peter D. Buck, ToppanPhotomasks,Inc.(UnitedStates);Andreas Erdmann, Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany);Nigel R. Farrar, Cymer,Inc.(UnitedStates);Jo Finders, ASMLNetherlandsB.V.(Netherlands);Carlos Fonseca, TokyoElectronAmerica,Inc.(UnitedStates);Tsai-Sheng Gau, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Bernd Geh, CarlZeissSMTInc.(UnitedStates);Yuri Granik, MentorGraphicsCorp.(UnitedStates);Sachiko Kobayashi, ToshibaCorp.(Japan);Jongwook Kye, GLOBALFOUNDRIESInc.(UnitedStates);Sukjoo Lee, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Wilhelm Maurer, InfineonTechnologiesAG(Germany);Soichi Owa, NikonCorp.(Japan);Xuelong Shi, SemiconductorManufacturingInternationalCorp.(China);Sam Sivakumar, IntelCorp.(UnitedStates);Bruce W. Smith, RochesterInstituteofTechnology(UnitedStates);Kazuhiro Takahashi, CanonInc.(Japan);Geert Vandenberghe, IMEC(Belgium)

Conference Sponsor

Conference 8684Wed.–Thur.27–28February2013ProceedingsofSPIEVol.8684

Design for Manufacturability through Design-Process Integration VIIConference Chair: Mark E. Mason, TexasInstrumentsInc.(UnitedStates)

Conference Co-Chair: John L. Sturtevant, MentorGraphicsCorp.(UnitedStates)

Program Committee: Robert Aitken, ARMInc.(UnitedStates);Jason P. Cain, AdvancedMicroDevices,Inc.(UnitedStates);Luigi Capodieci, GLOBALFOUNDRIESInc.(UnitedStates);Juan-Antonio Carballo, BroadcomCorp.(UnitedStates);Lars W. Liebmann, IBMCorp.(UnitedStates);Andrew R. Neureuther, Univ.ofCalifornia,Berkeley(UnitedStates);David Z. Pan, TheUniv.ofTexasatAustin(UnitedStates);Chul-Hong Park, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Michael L. Rieger, Synopsys,Inc.(UnitedStates);Vivek K. Singh, IntelCorp.(UnitedStates);Chi-Min Yuan, FreescaleSemiconductor,Inc.(UnitedStates)

Conference 8685Mon.–Tue.25–26February2013ProceedingsofSPIEVol.8685

Advanced Etch Technology for Nanopatterning IIConference Chair: Ying Zhang, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan)

Conference Co-Chairs: Gottlieb S. Oehrlein, Univ.ofMaryland,CollegePark(UnitedStates);Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.(UnitedStates)

Program Committee: Julie Bannister, TokyoElectronAmerica,Inc.(UnitedStates);Maxime Darnon, LTMCNRS(France);Sebastian U. Engelmann, IBMThomasJ.WatsonResearchCtr.(UnitedStates);Eric A. Hudson, LamResearchCorp.(UnitedStates);Catherine B. Labelle, GLOBALFOUNDRIESInc.(UnitedStates);Nae-Eung Lee, SungkyunkwanUniv.(Korea,Republicof);Seiji Samukawa, TohokuUniv.(Japan);Denis Shamiryan, GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);Jeff Xu, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Anthony Yen, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan)

Conference Sponsor

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability

Conference 8685

Advanced Etch Technology for

Page 22: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

20 SPIEAdvancedLithography2013 · www.spie.org/al

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Monday 25 February

Room: Conv. Ctr. 230 B 11:00 am to 11:20 am

Opening Remarks and Award Presentation

SessionChairs:Alexander Starikov, I&IConsulting(UnitedStates);Jason P. Cain,

AdvancedMicroDevices,Inc.(UnitedStates)

PresentationoftheDiana Nyyssonen Memorial Award forBestPaperinMetrology

Award Sponsored by

Session 1Room: Conv. Ctr. 230 B

Mon 11:20 am to 12:00 pm

Keynote SessionSessionChairs:Alexander Starikov, I&I

Consulting(UnitedStates);Jason P. Cain, AdvancedMicroDevices,Inc.(UnitedStates)

11:20am:Metrology in times of shrinking budgets (Keynote Presentation),WilliamH.Arnold,ASMLUS,Inc.(UnitedStates) . . . . . . . . . . . . . . . . . . . [8681-1]

LunchBreak . . . . . . . . . .Mon12:00pmto1:30pm

Room: Conv. Ctr. Hall 3 11:00 am to 11:20 am

Opening RemarksSessionChairs:Mark H. Somervell, Tokyo

ElectronAmerica,Inc.(UnitedStates);Thomas I. Wallow, GLOBALFOUNDRIESInc.(UnitedStates)

Conference Business

Award Presentations

Presentationofthe2012 C. Grant Willson AwardforBestPaperinResist

Presentationofthe2012 Hiroshi Ito Memorial AwardfortheBestStudentPaperinResist

These Two Awards Sponsored by

Presentationofthe2012 Jeffrey Byers Memorial Best Poster Award in Resist

Award Sponsored by

Session 1Room: Conv. Ctr. Hall 3

Mon 11:20 am to 12:30 pm

Keynote SessionSessionChairs:Mark H. Somervell, Tokyo

ElectronAmerica,Inc.(UnitedStates);Thomas I. Wallow, GLOBALFOUNDRIESInc.(UnitedStates)

11:20am:The evolving complexity of patterning materials (Keynote Presentation),TsutomuShimokawa,JSRCorp.(Japan);YoshiHishiro,JSRMicro,Inc.(UnitedStates);YoshikazuYamaguchi,MotoyukiShima,TooruKimura,YoshioTakimoto,TomokiNagai,JSRCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-1]

11:55am:Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU (Keynote Presentation),MarkD.Smith,JohnJ.Biafore,TreyGraves,StewartA.Robertson,KLA-TencorTexas(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . [8682-2]

LunchBreak . . . . . . . . . . . . .Mon12:30pmto2:00pm

Page 23: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 21

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Monday 25 February

Session 1Room: Conv. Ctr. 210 B

Mon 1:30 pm to 3:30 pm

Invited ISessionChairs:Jos P. Benschop, ASML

NetherlandsB.V.(Netherlands);Shinji Okazaki, GigaphotonInc.(Japan)

1:30pm:EUV in HVM: prospects and challenges (Invited Paper),SamSivakumar,IntelCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-1]

2:00pm:Effect of blank quality on EUVL imaging for 2X node (Invited Paper),Su-YoungLee,Tae-GeunKim,Sang-HyunKim,Hwan-SeokSeo,Seong-SueKim,Chan-UkJeon,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . [8679-2]

2:30pm:Investigation of EUV pellicle feasibility (Invited Paper),LuigiScaccabarozzi,DanSmith,PedroRizoDiago,ASMLNetherlandsB.V.(Netherlands);EricCasimiri,ASMLNetherlandsBV(Netherlands);NinaV.Dziomkina,HenkMeijer,ASMLNetherlandsB.V.(Netherlands) . . . . [8679-3]

3:00pm:EUV resist materials design for 15nm half pitch and below (Invited Paper),HideakiTsubaki,ShinjiTarutani,NaokiInoue,HirooTakizawa,TakahiroGoto,FUJIFILMCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-4]

CoffeeBreak. . . . . . . . . . .Mon3:30pmto4:00pm

Session 1Room: Conv. Ctr. 211 B

Mon 1:30 pm to 3:10 pm

Litho and Plasma Etching Interaction

SessionChairs:Catherine B. Labelle, GLOBALFOUNDRIESInc.(UnitedStates);

Rich Wise, IBMCorp.(UnitedStates)

1:30pm:Why Moore’s Law is counting on etch! (Keynote Presentation),VivekK.Singh,IntelCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . [8685-1]

2:10pm:Extension of patterning technologies down to sub-10nm half pitch (Invited Paper),ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-2]

2:40pm:Etch correction, and OPC: A look at the current state and future etch correction (Invited Paper),IanStobert,DerrenDunn,IBMCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-3]

CoffeeBreak. . . . . . . . . . .Mon3:10pmto3:40pm

Session 2Room: Marriott San Jose Ballroom Salon III

Mon 2:00 pm to 3:40 pm

Novel Processing of Patterning Materials

SessionChairs:Dah-Chung Owe-Yang, Shin-EtsuMicroSi,Inc.(UnitedStates);Nobuyuki

N. Matsuzawa, SonyCorp.(Japan)

2:00pm:Sustainable scaling technique on double-patterning process,HidetamiYaegashi,KenichiOyama,TokyoElectronLtd.(Japan);ShoheiYamauchi,ArisaHara,SakurakoNatori,TokyoElectronATLtd.(Japan);MasatoshiYamato,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . [8682-3]

2:20pm:Dry development rinse process (DDRP) and material (DDRM) for novel pattern collapse free process,RikimaruSakamoto,YasushiSakaida,NissanChemicalIndustries,Ltd.(Japan);Bang-ChingHo,NissanChemicalIndustries,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-4]

2:40pm:Post-litho line-width roughness smoothing by ion implantations,TristanY.Ma,PengXie,LudovicGodet,PatrickM.Martin,ChrisCampbell,JunXue,LiyanMiao,YongmeiChen,HuixiongDai,ChristopherBencher,ChrisS.Ngai,AppliedMaterials,Inc.(UnitedStates) . . . . [8682-5]

3:00pm:Precuring implant photoresists for shrink and patterning control,GustafLarsWinroth,ErikRosseel,ChristieDelvaux,EfrainAltamirano-Sánchez,MoniqueErcken,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-6]

3:20pm:Application specific ratings for lithography process filters,ToruUmeda,ShuichiTsuzuki,NihonPallLtd.(Japan) . . . . . . . . . [8682-7]

CoffeeBreak. . . . . . . . . . .Mon3:40pmto4:00pm

Session 2Room: Conv. Ctr. 230 B

Mon 1:30 pm to 3:20 pm

Metrology for Process ControlSessionChairs:Masafumi Asano, Toshiba

Corp.(Japan);John C. Robinson, KLA-TencorCorp.(UnitedStates)

1:30pm:Implementation of hybrid metrology at HVM fab for 20nm and beyond (Invited Paper),AlokVaid,LokeshSubramany,LipingCui,CarlFord,JohnA.Allgair,GauravAgrawal,JohnTaylor,GLOBALFOUNDRIESInc.(UnitedStates);CarstenHartig,PeterEbersbach,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);PaulK.Isbester,CharlesKang,HyangKyunH.Kim,CornelBozdog,NovaMeasuringInstrumentsInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . [8681-2]

2:00pm:On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections,KaustuveBhattacharyya,ASMLNetherlandsB.V.(Netherlands);Chih-MingKe,TaiwanSemiconductorManufacturingCo.,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-3]

2:20pm:Toward 7nm target on product overlay for 28nm FDSOI technology,BertrandLeGratiet,MaximeGatefait,Pierre-JeromeGoirand,STMicroelectronics(France);RichardJ.F.VanHaren,XingLanLiu,MayaDoytcheva,ASMLNetherlandsB.V.(Netherlands);AnnePastol,ASMLMontbonnot(France);JanBeltman,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . [8681-4]

2:40pm:Introduction of next-generation 3D AFM for advanced process control,JohannFoucher,RomainThérèse,CEA-LETI(France);YongHaPaulLee,Sang-ilPark,Sang-JoonCho,ParkSystemsCorp.(Korea,Republicof). . . . . . . . . . . . . . [8681-5]

3:00pm:Automated high-volume process monitoring of FEOL 22nm FinFET structures using a TEM,RogerAlvis,MichaelStrauss,DavidHorspool,OzanUgurlu,PavelPlachinda,HuikaiCheng,CoreySenowitz,JeffBlackwood,DavidFoord,FEICo.(UnitedStates) . . . . . . . . . . [8681-6]

CoffeeBreak. . . . . . . . . . .Mon3:20pmto3:50pm

Conference 8685

Advanced Etch Technology for Nanopatterning II

Page 24: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

22 SPIEAdvancedLithography2013 · www.spie.org/al

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Room: Conv. Ctr. Hall 3 3:30 pm to 3:35 pm

Opening Remarks and IntroductionSessionChairs:William M. Tong, KLA-Tencor

Corp.(UnitedStates);Douglas J. Resnick, MolecularImprints,Inc.(UnitedStates)

Session 1Room: Conv. Ctr. Hall 3

Mon 3:35 pm to 5:35 pm

Keynote Session3:35pm:Directed self-assembly of block copolymers for pattern generation (Keynote Presentation),CarolineA.Ross,MassachusettsInstituteofTechnology(UnitedStates). . . . [8680-1]

4:15pm:NIL Template : progress and challenges (Keynote Presentation),NaoyaHayashi,DaiNipponPrintingCo.,Ltd.(Japan). . . . . . . . . . . . . . . [8680-2]

4:55pm:Electron multibeam technology for mask and wafer writing (Keynote Presentation),ElmarPlatzgummer,IMSNanofabricationAG(Austria). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-3]

Session 2Room: Conv. Ctr. 210 B

Mon 4:00 pm to 6:00 pm

EUV Resists: Joint Session with Conferences 8679 and 8682

SessionChairs:Robert L. Brainard, CollegeofNanoscaleScience&Engineering,UnivatAlbany(United

States);George G. Barclay, DowAdvancedMaterials(UnitedStates)

4:00pm:Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning,MarieE.Krysak,MarkosTrikeriotis,ChristineY.Ouyang,SouvikChakrabarty,EmmanuelP.Giannelis,ChristopherK.Ober,CornellUniv.(UnitedStates). . .[8679-5]

4:20pm:Novel EUV resist materials and process for 20nm half-pitch and beyond,KenMaruyama,RamakrishnanAyothi,YoshiHishiro,JSRMicro,Inc.(UnitedStates);MotohiroShiratani,TooruKimura,JSRCorp.(Japan). . . . . . .[8682-38]

4:40pm:Effect of leaving group design on EUV lithography performance,OwendiOngayi,VipulJain,SuzanneM.Coley,MikeD.Wagner,JamesF.Cameron,JamesW.Thackeray,DowElectronicMaterials(UnitedStates). . . . . . . . . .[8679-6]

5:00pm:The novel solution for negative impact of out-of-band and outgassing by top coat materials in EUVL,NoriakiFujitani,RikimaruSakamoto,TakafumiEndo,NissanChemicalIndustries,Ltd.(Japan);RyujiOnishi,NissanChemicalIndustries,Ltd.(Japan)andEUVLInfrastructureDevelopmentCtr.,Inc.(Japan);HiroakiYaguchi,NissanChemicalIndustries,Ltd.(Japan)andIMEC(Belgium);Bang-ChingHo,NissanChemicalIndustries,Ltd.(Taiwan) . . . . . . . . . . . . . . .[8682-9]

5:20pm:Secondary electrons in EUV lithography,IrinaBocharova,SanjanaDas,RyanDelRey,YudhishthirP.Kandel,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates);AngelaPaolucci,CollegeofNanoscaleScience&Engineering,Univ.ofAlbany(UnitedStates);LeonidasE.Ocola,ArgonneNationalLab.(UnitedStates);CarlVentrice,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates);RobertA.Bartynski,Rutgers,TheStateUniv.ofNewJersey(UnitedStates);GregoryDenbeaux,RobertL.Brainard,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . .[8679-7]

5:40pm:Rectification of EUV-patterned contact holes using directed self assembly,RoelGronheid,IMEC(Belgium);ToddR.Younkin,IntelCorp.(UnitedStates);ArjunSingh,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);PaulinaA.RinconDelgadillo,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium)andTheUniv.ofChicago(UnitedStates);PaulF.Nealey,TheUniv.ofChicago(UnitedStates);KathleenNafus,AinhoaRomo-Negreira,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . .[8682-10]

Session 3Room: Conv. Ctr. 230 B

Mon 3:50 pm to 5:40 pm

Design-based Metrology and Process Control

SessionChairs:Jason P. Cain, AdvancedMicroDevices,Inc.(UnitedStates);Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.

(Japan)

3:50pm:Material contrast-based inline metrology: process verification and control using back scattered electron imaging on CD-SEM (Invited Paper),OferAdan,AppliedMaterials(Israel);CarstenHartig,DanielFischer,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);AlokVaid,AbnerBello,GLOBALFOUNDRIESInc.(UnitedStates);ShimonLevi,AppliedMaterials(Israel);AdamGe,JessicaZhou,AppliedMaterials,Inc.(UnitedStates);MaayanBar-Zvi,AppliedMaterials(Israel). . . [8681-7]

4:20pm:When things go pear shaped: contour variations of contacts,ClemensS.Utzny,AdvancedMaskTechnologyCtr.GmbHCo.KG(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-8]

4:40pm:Measurement technology to quantify 2D pattern shape in sub-2xnm advanced lithography,DaisukeFuchimoto,HitachiHigh-TechnologiesCorp.(Japan);PeterDeBisschop,JeroenVandeKerkhove,IMEC(Belgium);HitoshiSugahara,HiroyukiShindo,HideoSakai,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-9]

5:00pm:Defect window analysis by using SEM-contour-based shape quantifying method for sub-20nm node production,J.F.Lin,JiaruiHu,Chih-MingKe,TaiwanSemiconductorManufacturingCo.,Ltd.(Taiwan);DaisukeHibino,HitachiHigh-TechnologiesCorp(Japan);Ming-yiHsu,HitachiHigh-TechnologiesCorp.(Taiwan);HiroyukiShindo,YuujiEnomoto,HitachiHigh-TechnologiesCorp.(Japan). . . [8681-10]

5:20pm:A framework for exploring the interaction between design rules and overlay control,RaniS.Ghaida,MukulGupta,PuneetGupta,Univ.ofCalifornia,LosAngeles(UnitedStates). . . . . [8681-11]

Monday 25 February

Page 25: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 23

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8685

Advanced Etch Technology for Nanopatterning II

Session 3Room: Conv. Ctr. 210 B

Mon 4:00 pm to 6:00 pm

EUV Resists: Joint Session with Conferences 8679 and 8682

SessionChairs:Robert L. Brainard, CollegeofNanoscaleScience&Engineering,UnivatAlbany(UnitedStates);George G. Barclay, DowAdvancedMaterials(United

States)

4:00pm:Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning,MarieE.Krysak,MarkosTrikeriotis,ChristineY.Ouyang,SouvikChakrabarty,EmmanuelP.Giannelis,ChristopherK.Ober,CornellUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-5]

4:20pm:Novel EUV resist materials and process for 20nm half-pitch and beyond,KenMaruyama,RamakrishnanAyothi,YoshiHishiro,JSRMicro,Inc.(UnitedStates);MotohiroShiratani,TooruKimura,JSRCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . [8682-38]

4:40pm:Effect of leaving group design on EUV lithography performance,OwendiOngayi,VipulJain,SuzanneM.Coley,MikeD.Wagner,JamesF.Cameron,JamesW.Thackeray,DowElectronicMaterials(UnitedStates). . . . . . . . . . . . . . . . . . . [8679-6]

5:00pm:The novel solution for negative impact of out-of-band and outgassing by top coat materials in EUVL,NoriakiFujitani,RikimaruSakamoto,TakafumiEndo,NissanChemicalIndustries,Ltd.(Japan);RyujiOnishi,NissanChemicalIndustries,Ltd.(Japan)andEUVLInfrastructureDevelopmentCtr.,Inc.(Japan);HiroakiYaguchi,NissanChemicalIndustries,Ltd.(Japan)andIMEC(Belgium);Bang-ChingHo,NissanChemicalIndustries,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-9]

5:20pm:Secondary electrons in EUV lithography,IrinaBocharova,SanjanaDas,RyanDelRey,YudhishthirP.Kandel,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates);AngelaPaolucci,CollegeofNanoscaleScience&Engineering,Univ.ofAlbany(UnitedStates);LeonidasE.Ocola,ArgonneNationalLab.(UnitedStates);CarlVentrice,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates);RobertA.Bartynski,Rutgers,TheStateUniv.ofNewJersey(UnitedStates);GregoryDenbeaux,RobertL.Brainard,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-7]

5:40pm:Rectification of EUV-patterned contact holes using directed self assembly,RoelGronheid,IMEC(Belgium);ToddR.Younkin,IntelCorp.(UnitedStates);ArjunSingh,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);PaulinaA.RinconDelgadillo,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium)andTheUniv.ofChicago(UnitedStates);PaulF.Nealey,TheUniv.ofChicago(UnitedStates);KathleenNafus,AinhoaRomo-Negreira,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-10]

Monday 25 February

Session 2Room: Conv. Ctr. 211 B

Mon 3:40 pm to 5:40 pm

Plasma/Resist Interaction and LERSessionChairs:Gottlieb S. Oehrlein, Univ.ofMaryland,CollegePark(UnitedStates);

Erwine Pargon, LTMCNRS(France)

3:40pm:Toward an integrated line-edge roughness understanding: metrology, characterization, and plasma etching transfer (Invited Paper),EvangelosGogolides,VassiliosConstantoudis,GeorgeKokkoris,NationalCtr.forScientificResearchDemokritos(Greece). . [8685-4]

4:10pm:Plasma influence on the attenuation of line-width roughness of EUV photoresist lines ranging from 40 to 22nm half pitch (Invited Paper),EfrainAltamirano-Sánchez,PeterDeSchepper,TerjeHansen,WernerBoullart,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-5]

4:40pm:Ar and He plasma pretreatments of model organic masking materials for performance improvement during plasma pattern transfer,DominikMetzler,FlorianWeilnboeck,NickFox-Lyon,GottliebS.Oehrlein,Univ.ofMaryland,CollegePark(UnitedStates);SebastianU.Engelmann,RobertL.Bruce,IBMThomasJ.WatsonResearchCtr.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-6]

5:00pm:Line-edge and width roughness mitigation at 22nm half pitch: the effect of H2/Ar plasma,PeterDeSchepper,AlessandroVaglioPret,TerjeHansen,EfrainAltamirano-Sánchez,WernerBoullart,StefanDeGendt,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-7]

5:20pm:Characterization methodology to support process development of advanced patterning structures,ShailendraMishra,AlokVaid,ChangH.Maeng,Dae-HanChoi,HyunchulJung,LipingCui,MengLuo,YongjunShi,Thaung-TunOo,WenPinPeng,YueHu,GLOBALFOUNDRIESInc.(UnitedStates);CornelBozdog,PaulK.Isbester,NovaMeasuringInstrumentsInc.(UnitedStates);OdedCohen,NovaMeasuringInstrumentsLtd.(Israel) . . . . . [8685-22]

Page 26: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

24 SPIEAdvancedLithography2013 · www.spie.org/al

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Session 3Room: Conv. Ctr. 210 B Tue 8:00 am to 9:40 am

SourcesSessionChairs:Li-Jui Chen, Taiwan

SemiconductorManufacturingCo.Ltd.(Taiwan);Michael J. Lercel, SEMATECH

North(UnitedStates)

8:00am:EUVL: A reality in the making,MasakiYoshioka,RolfApetz,JeroenJonkers,YusukeTeramoto,XTREMEtechnologiesGmbH(Germany);FelixKuepper,Fraunhofer-InstitutfürLasertechnik(Germany);OlivierR.Semprez,XTREMEtechnologiesGmbH(Germany). . . . . . . . . . [8679-8]

8:20am:High CE technology EUV source for HVM,HakaruMizoguchi,GigaphotonInc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-9]

8:40am:Advances in computer simulations of LPP sources for EUV lithography,AhmedHassanein,TatyanaSizyuk,PurdueUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-10]

9:00am:Lifetime and refurbishment of multilayer LPP collector mirrors,TorstenFeigl,MarcoPerske,HagenPauer,TobiasFiedler,SergiyYulin,NorbertKaiser,AndreasTünnermann,Fraunhofer-InstitutfürAngewandteOptikundFeinmechanik(Germany);NorbertR.Bowering,AlexI.Ershov,SilviaDeDea,KayHoffmann,BrunoLaFontaine,IgorV.Fomenkov,DavidC.Brandt,Cymer,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8679-12]

9:20am:Contamination concerns at the intermediate focus of an extreme-ultraviolet light source,DavidN.Ruzic,JohnSporre,DanElg,DavideCurreli,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . [8679-13]

CoffeeBreak. . . . . . . . . . Tue9:40amto10:30am

Session 2Room: Conv. Ctr. Hall 3

Tue 8:20 am to 10:10 am

DSA Materials and ApplicationsSessionChairs:Ricardo Ruiz, HGST(United

States);Christopher Bencher, AppliedMaterials,Inc.(UnitedStates)

8:20am:Sequential infiltration synthesis in lithography (Invited Paper),JeffreyW.Elam,SethB.Darling,Yu-ChihTseng,QingPeng,JosephA.Libera,AnilMane,LeonidasE.Ocola,ArgonneNationalLab.(UnitedStates). . . . . . . . . . . . [8680-4]

8:50am:Scaling-down lithographic dimensions with block-copolymer materials: 10nm-sized features with PS-b-PMMA,XavierChevalier,ArkemaS.A.(France)andCEA-LETI-Minatec(France);CéliaNicolet,ArkemaS.A.(France)andLCPO(France);RalucaTiron,JonathanPradelles,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);MichaelDelalande,GillesCunge,LTM-CNRS(France);GuillaumeFleury,GeorgesHadziioannou,LCPO(France);ChristopheNavarro,ArkemaS.A.(France). . . . . . . . . . . [8680-5]

9:10am:Block copolymer orientation control using a top-coat surface treatment,TakehiroSeshimo,TokyoOhkaKogyoAmerica,Inc.(UnitedStates);CarltonGrantWillson,TheUniv.ofTexasatAustin(UnitedStates);HiroshiJinnai,KyushuUniv.(Japan);ChristopherJ.Ellison,ChristopherM.Bates,MichaelJ.Maher,WilliamJ.Durand,JuliaD.Cushen,LeonM.Dean,GregoryBlachut,TheUniv.ofTexasatAustin(UnitedStates) . . . . . . . . [8680-6]

9:30am:Modeling the rate of morphology evolution during annealing of block copolymer thin films,JeffreyD.Weinhold,PhillipD.Hustad,TheDowChemicalCo.(UnitedStates);PeterTrefonasIII,DowElectronicMaterials(UnitedStates). . . [8680-7]

9:50am:Healing LER using directed self assembly: treatment of an EUVL resist with aqueous solutions of block copolymers,IdrissBlakey,Ya-MiChuang,Han-HaoElliotCheng,KevinS.Jack,AndrewK.Whittaker,TheUniv.ofQueensland(Australia) . . . . . . . . . . . . . . . . . [8680-8]

CoffeeBreak. . . . . . . . . . .Tue10:10amto10:30am

Session 4Room: Conv. Ctr. 230 B

Tue 8:00 am to 10:10 am

InspectionSessionChairs:Benjamin D. Bunday,

SEMATECHNorth(UnitedStates);Timothy F. Crimmins, IntelCorp.(UnitedStates)

8:00am:Inline e-beam metrology: the end of an era for image-based critical dimensional metrology? new life for defect metrology (Invited Paper),EricSolecky,AndrewStamper,SrinivasanRangarajan,ArunSrivatsa,DanielS.Fischer,OliverD.Patterson,ErinMcLellan,IBMCorp.(UnitedStates);AlokVaid,GLOBALFOUNDRIESInc.(UnitedStates);CarstenHartig,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);BenjaminD.Bunday,AbrahamArceo,SEMATECHNorth(UnitedStates);RalfBuengener,DanicaSmith,GLOBALFOUNDRIESInc.(UnitedStates)....................... [8681-12]

8:30am:Enhancing 9nm node dense patterned defect optical inspection using polarization, angle, and focus,BryanM.Barnes,Yeung-JoonSohn,HuiZhou,FrancoisGoasmat,RichardM.Silver,NationalInstituteofStandardsandTechnology(UnitedStates);AbrahamArceo,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-13]

8:50am:Capturing buried defects in metal interconnections with electron-beam inspection system,HongXiao,XimanJiang,MikeVanRiet,DavidTrease,ShishirRamprasad,PierreLefebvre,AnadiBhatia,ChrisA.Maher,OlivierMoreau,DavidBastard,PaulMacDonald,CeceliaCampochiaro,KLA-TencorCorp.(UnitedStates) . . . . . . . . . . . . . . . . . [8681-14]

9:10am:22nm node wafer inspection using diffraction phase microcopy and image post processing,RenjieZhou,LynfordGoddard,GabrielPopescu,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-15]

9:30am:Application of DBM tool for detection of EUV mask defect,GyunYoo,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . [8681-16]

9:50am:Tabletop coherent diffraction imaging EUV microscope for EUV lithography inspection,BoshengZhang,MatthewD.Seaberg,DanielE.Adams,DennisF.Gardener,MargaretM.Murnane,HenryC.Kapteyn,Univ.ofColoradoatBoulder(UnitedStates)[8681-17]

CoffeeBreak. . . . . . . . . . .Tue10:10amto10:40am

tuesday 26 February

Page 27: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 25

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8685

Advanced Etch Technology for Nanopatterning II

Session 3Room: Conv. Ctr. 211 B

Tue 8:30 am to 10:10 am

Plasma Etching for Advanced Technology Nodes

SessionChairs:Sebastian U. Engelmann, IBMThomasJ.WatsonResearchCtr.(UnitedStates);Maxime Darnon, LTMCNRS(France)

8:30am:Advanced plasma etch for the 10nm node and beyond (Invited Paper),EricA.Joseph,IBMThomasJ.WatsonResearchCtr.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-8]

9:00am:A new method based on AFM for the study of photoresist sidewall smoothening and LER transfer during gate patterning for advanced technological nodes (Invited Paper),MarcFouchier,ErwinePargon,LaurentM.Azarnouche,MelisaBrihoum,BenjaminBardet,LTMCNRS(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-9]

9:30am:15nm HP patterning with EUV and SADP: key contributors for improvement of LWR, LER, and CDU,KaidongXu,LaurentSouriau,IMEC(Belgium);DavidHellin,LamResearchCorp.(Belgium);JankoVersluijs,PatrickWong,DizianaVangoidsenhoven,NadiaVandenbroeck,HaroldDekkers,XiaopingShi,JohanAlbert,ChiLimTan,IMEC(Belgium);JohanVertommen,LamResearchCorp.(Belgium);IsabelleOrain,YoshieKimura,LamResearchCorp.(UnitedStates);VincentWiaux,WernerBoullart,IMEC(Belgium). . . . . . . . [8685-10]

9:50am:Tall FIN formation for FINFET devices of 20nm and beyond using multi-cycles of passivation and etch processes,Dae-HanChoi,DaeGeunYang,PuneetKhanna,ChangHoMaeng,OwenHu,HongliangShen,AndyWei,SungKim,GLOBALFOUNDRIESInc.(UnitedStates) [8685-11]

CoffeeBreak. . . . . . . . . Tue10:10amto10:40am

Session 4Room: Marriott San Jose Ballroom Salon III

Tue 8:00 am to 10:00 am

Optical ExtensionsSessionChairs:Christoph K. Hohle, Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);Robert Allen, IBMAlmadenResearchCtr.(UnitedStates)

8:00am:Process variability of self-aligned multiple patterning,KenichiOyama,ArisaHara,SakurakoNatori,ShoheiYamauchi,MasatoshiYamato,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-11]

8:20am:20nm VIA BEOL patterning challenges,Chien-HsienS.Lee,XiangHu,WontaeHwang,HuiHusanTsai,MatthewT.Herrick,YayiWei,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-13]

8:40am:Feasibility study of resist slimming for Mx SIT,NicoleSaulnier,Chiew-SengKoay,MatthewColburn,IBMCorp.(UnitedStates);DavidR.Hetzer,TELTechnologyCtr.,America,LLC(UnitedStates);MichaelJ.Cicoria,TokyoElectronAmerica,Inc.(UnitedStates);JonathanLudwicki,TELTechnologyCtr.,America,LLC(UnitedStates);MasayoshiTagami,RenesasElectronicsCorp.(Japan). . . . . . . . [8682-14]

9:00am:Bottom up/top down high-resolution, high-throughput lithography using vertically assembled block brush polymers,KarenL.Wooley,GuorongSun,SanghoCho,CorrieClark,MichaelHeller,AngLi,AdrianaPavía-Jiménez,EmileA.Schweikert,TexasA&MUniv.(UnitedStates);PeterTrefonasIII,JamesW.Thackeray,DowElectronicMaterials(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-37]

9:20am:Robust photoresist prepatterns for directed self assembly,TakehikoNaruoka,YoshiHishiro,JSRMicro,Inc.(UnitedStates);YuusukeAnno,JSRMicroN.V.(Belgium);Shin-yaMinegishi,YujiNamie,TomokiNagai,YoshikazuYamaguchi,JSREngineeringCo.,Ltd.(Japan);MeliaTijo,HoaTruong,JoyY.Cheng,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-15]

9:40am:Combining physical resist modeling and self-consistent field theory for pattern simulation in directed self assembly,MichaelReilly,DowAdvancedMaterials(UnitedStates);ValeriyV.Ginzburg,TheDowChemicalCo.(UnitedStates);MarkD.Smith,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-16]

CoffeeBreak. . . . . . . . . . . . . . . . . . . Tue10:00amto10:40am

Room: Conv. Ctr. 210 C 8:20 am to 8:40 am

Opening RemarksSessionChairs:Will Conley, Cymer,Inc.

(UnitedStates);Kafai Lai, IBMCorp.(UnitedStates)

Session 1Room: Conv. Ctr. 210 C

Tue 8:40 am to 10:00 am

Keynote SessionSessionChairs:Will Conley, Cymer,Inc.

(UnitedStates);Kafai Lai, IBMCorp.(UnitedStates)

8:40am:The increasing pain of scaling with 193i: Where does it hurt? How much more can we endure? (Keynote Presentation),LarsW.Liebmann,IBMCorp.(UnitedStates). . . . . . . . . . . . . . [8683-1]

9:20am:The advent of 3D system-on-chip integration (Keynote Presentation),EricBeyne,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . [8683-2]

CoffeeBreak. . . . . . . . . Tue10:00amto10:30am

tuesday 26 February

Page 28: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

26 SPIEAdvancedLithography2013 · www.spie.org/al

tuesday 26 February

Session 4Room: Conv. Ctr. 210 B

Tue 10:30 am to 12:10 pm

Mask ISessionChairs:Frank Goodwin, SEMATECH

North(UnitedStates);Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan)

10:30am:Dressed-photon nanopolishing for EUV mask substrate defect mitigation,RanganathTeki,ArunJ.Kadaksham,FrankGoodwin,SEMATECHNorth(UnitedStates);TakashiYatsui,MotoichiOhtsu,TheUniv.ofTokyo(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-14]

10:50am:EUV mask defect analysis from mask to wafer printing,YoonsukHyun,SKHynix,Inc.(Korea,Republicof);JongsuLee,HynixSemiconductorInc.(Korea,Republicof) . [8679-15]

11:10am:Investigation of native phase defect printability and comparisons of actinic printing and advanced simulation for 22nm HP EUV Masks,IlYongJang,JenahHarris-Jones,RanganathTeki,VibhuJindal,FrankGoodwin,SEMATECHNorth(UnitedStates) . . . . . . [8679-16]

11:30am:EUV actinic blank inspection: from prototype to production,AnnaV.Tchikoulaeva,LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);HirokiMiyai,KiwamuTakehisa,TomohiroSuzuki,HaruhikoKusunose,LasertecCorp.(Japan);HidehiroWatanabe,IchiroMori,SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . [8679-17]

11:50am:E-beam defect inspection of EUV reticles and wafers,ScottD.Halle,IBMCorp.(UnitedStates);FeiWang,Hermes-MicrovisionInc.,USA(UnitedStates);RaviBonam,IBMCorp.(UnitedStates);Hung-YuTien,Hermes-MicrovisionInc.,USA(UnitedStates);KarenD.Badger,ZhengqingJ.Qi,EmilyE.Gallagher,DanielA.Corliss,IBMCorp.(UnitedStates);ChiyanKuan,WeiFang,JackY.Jau,Hermes-MicrovisionInc.,USA(UnitedStates). . . . . . . . . . . . . . . . . . [8679-18]

Lunch/ExhibitionBreak. . Tue12:10pmto1:40pm

Session 3Room: Conv. Ctr. Hall 3

Tue 10:30 am to 12:00 pm

UV Imprint LithographySessionChairs:Douglas J. Resnick,

MolecularImprints,Inc.(UnitedStates);Tatsuhiko Higashiki, ToshibaCorp.(Japan)

10:30am:Thermally-modulated, multi-site alignment control for nanoimprinting,EuclidE.Moon,MassachusettsInstituteofTechnology(UnitedStates);SaurabhA.Chandorkar,IntelCorp.(UnitedStates);RogerW.Pease,StanfordUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . [8680-9]

11:00am:Single-digit nanofabrication by UV step-and-repeat nanoimprint lithography,ChristophePeroz,GiuseppeCalafiore,abeamTechnologies,Inc.(UnitedStates);ScottD.Dhuey,NereaAlayo,DavidGosselin,TheMolecularFoundry(UnitedStates);MarkoVolger,microresisttechnologyGmbH(Germany);DeidreL.Olynick,StefanoCabrini,TheMolecularFoundry(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-10]

11:20am:Defect reduction for semiconductor memory applications using jet and flash imprint lithography,ZhengmaoYang,KangLuo,XiaomingLu,BrianFletcher,WeijunLiu,FrankY.Xu,DwayneL.LaBrake,DouglasJ.Resnick,S.V.Sreenivasan,MolecularImprints,Inc.(UnitedStates) . . [8680-11]

11:40am:Novel fluorinated compounds for releasing material in nanoimprint lithography,TsuneoYamashita,HisashiMitsuhashi,MasamichiMorita,DaikinIndustries,Ltd.(Japan). . . . [8680-12]

Lunch/ExhibitionBreak. . Tue12:00pmto1:20pm

Session 5Room: Conv. Ctr. 230 B

Tue 10:40 am to 12:00 pm

Accelerated Development of Materials and Processes: Joint Session with Conference 8681

and 8682SessionChairs:Clifford L. Henderson, GeorgiaInstituteofTechnology(United

States);Martha I. Sanchez, IBMAlmadenResearchCtr.(UnitedStates)

10:40am:In situ dissolution analysis of half-pitch line and space patterns at various resist platforms using high-speed atomic force microscopy,JuliusJosephS.Santillan,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-17]

11:00am:Mechanisms of resist pattern size shrinkage caused by electron beam,SeiichiTagawa,OsakaUniv.(Japan)andJST-CREST(Japan);CongQueDinh,SatoshiEnomoto,AkihiroOshima,JapanScienceandTechnologyAgency(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-18]

11:20am:Photoresist shrinkage effects in 16nm node EUV photoresist targets,BenjaminD.Bunday,CeciliaMontgomery,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8681-18]

11:40am:Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage,TakeyoshiOhashi,TomokoSekiguchi,AtsukoYamaguchi,JunichiTanaka,Hitachi,Ltd.(Japan);HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [8681-19]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Conference 8680

Alternative Lithographic Technologies V

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 29: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 27

tuesday 26 February

Session 5Room: Conv. Ctr. 230 B

Tue 10:40 am to 12:00 pm

Accelerated Development of Materials and Processes: Joint Session with Conference 8681

and 8682SessionChairs:Clifford L. Henderson, GeorgiaInstituteofTechnology(United

States);Martha I. Sanchez, IBMAlmadenResearchCtr.(UnitedStates)

10:40am:In situ dissolution analysis of half-pitch line and space patterns at various resist platforms using high-speed atomic force microscopy,JuliusJosephS.Santillan,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-17]

11:00am:Mechanisms of resist pattern size shrinkage caused by electron beam,SeiichiTagawa,OsakaUniv.(Japan)andJST-CREST(Japan);CongQueDinh,SatoshiEnomoto,AkihiroOshima,JapanScienceandTechnologyAgency(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-18]

11:20am:Photoresist shrinkage effects in 16nm node EUV photoresist targets,BenjaminD.Bunday,CeciliaMontgomery,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8681-18]

11:40am:Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage,TakeyoshiOhashi,TomokoSekiguchi,AtsukoYamaguchi,JunichiTanaka,Hitachi,Ltd.(Japan);HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [8681-19]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Session 2Room: Conv. Ctr. 210 C

Tue 10:30 am to 12:10 pm

14nm and BeyondSessionChairs:Jongwook Kye,

GLOBALFOUNDRIESInc.(UnitedStates);Tsai-Sheng Gau, TaiwanSemiconductorManufacturing

Co.Ltd.(Taiwan)

10:30am:Computational aspects of optical lithography extension by directed self assembly,KafaiLai,Chi-ChunLiu,IBMCorp.(UnitedStates);JedW.Pitera,JoyY.Cheng,CharlesT.Rettner,IBMAlmadenResearchCtr.(UnitedStates);DanielDechene,IBMCorp.(UnitedStates);GregoryS.Doerk,MoutazFakhry,IBMAlmadenResearchCtr.(UnitedStates);JassemAbdallah,NealV.Lafferty,IBMCorp.(UnitedStates);HsinyuTsai,IBMThomasJ.WatsonResearchCtr.(UnitedStates);MichaelA.Guillorn,IBMCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-3]

10:50am:Sub-12nm logic optical lithography with 4x pitch division and SMO-lite,MichaelC.Smayling,TelaInnovations,Inc.(UnitedStates);KoichiroTsujita,CanonInc.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan);ValeryAxelrad,SequoiaDesignSystems,Inc.(UnitedStates);TadashiArai,CanonInc.(Japan);KenichiOyama,ArisaHara,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . [8683-4]

11:10am:Impact of process decisions on overlay budget for the 14nm node,DavidLaidler,KoenD’havé,PhilippeJ.Leray,JanV.Hermans,JuergenBoemmels,ShauneeY.Cheng,IMEC(Belgium);HuixiongDai,YongmeiChen,ChrisS.Ngai,AppliedMaterials,Inc.(UnitedStates) . . . . [8683-5]

11:30am:The impact of 14nm photomask uncertainties on computational lithography solutions,JohnL.Sturtevant,EditaTejnil,TimothyLin,SteffenSchultze,MentorGraphicsCorp.(UnitedStates);FranklinD.Kalk,PeterD.Buck,KenNakamura,ToppanPhotomasks,Inc.(UnitedStates);GuoXiangNing,PaulW.Ackmann,GLOBALFOUNDRIESInc.(UnitedStates);ChristianBuergel,FritzGans,AdvancedMaskTechnologyCtr.GmbHCo.KG(Germany). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-6]

11:50am:Triple patterning with polygon stitching: scalability and compliance for metal 1 at the 14nm node,ChristopherM.Cork,SynopsysSARL(France);AlexanderMiloslavsky,YongLi,KevinLucas,Synopsys,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-7]

Lunch/ExhibitionBreak. . . . . . . Tue12:10pmto1:40pm

Session 4Room: Conv. Ctr. 211 B

Tue 10:40 am to 12:00 pm

Memory PatterningSessionChairs:Julie Bannister, Tokyo

ElectronAmerica,Inc.(UnitedStates);Nae-Eung Lee, SungkyunkwanUniv.(Korea,

Republicof)

10:40am:Patterning and etch challenges for future DRAM and other high-aspect ratio memory device fabrication (Invited Paper),NealR.Rueger,A.J.Schrinsky,F.Good,A.McGinnis,M.Kiehlbauch,MicronTechnology,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-12]

11:10am:STTMRAM patterning challenges (Invited Paper),WernerBoullart,DunjaRadisic,IMEC(Belgium);VasileParaschiv,EtchTechSolutions(Romania);KoichiYatsuda,TokyoElectronLtd.(Japan);EiichiNishimura,TokyoElectronATLtd.(Japan);TetsuyaOhishi,TokyoElectronMiyagiLtd.(Japan);ShigeruTahara,TokyoElectronMiyagi(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-13]

11:40am:An experimental study of VUV plasma damage on porous organo-silicon glass materials,Jean-FrancoisG.N.deMarneffe,IMEC(Belgium);MikolajLukaszewicz,IMEC(Belgium)andWroclawUniv.(Poland);LipingZhang,MarkusHeyne,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);MikhailR.Baklanov,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . [8685-14]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Conference 8685

Advanced Etch Technology for Nanopatterning II

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8685

Advanced Etch Technology for Nanopatterning II

Page 30: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

28 SPIEAdvancedLithography2013 · www.spie.org/al

tuesday 26 February

Session 5Room: Conv. Ctr. 210 B Tue 1:40 pm to 3:20 pm

Resist OutgassingSessionChairs:Soichi Inoue, EUVL

InfrastructureDevelopmentCtr.,Inc.(Japan);Kurt G. Ronse, IMEC(Belgium)

1:40pm:Relationship between resist outgassing and witness sample contamination in the NXE outgas qualification using electrons and EUV,IvanPollentier,RagavaLokasani,RoelGronheid,IMEC(Belgium);ShannonB.Hill,CharlesTarrio,ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(UnitedStates) . . . . . . . . . . . . [8679-19]

2:00pm:Resist outgassing contamination growth comparison using photon and electron-resist exposure,AlexanderFriz,IBMAlmadenResearchCtr.(UnitedStates)andSEMATECHInc.(UnitedStates);KarenE.Petrillo,JaewoongSohn,SEMATECHNorth(UnitedStates). . . . . . . [8679-20]

2:20pm:Study of EUV outgassing spatial distribution toward witness plate in the EUV outgas tester,YukikoKikuchi,HiroyukiTanaka,ToshiyaTakahashi,KazuhiroKatayama,IsamuTakagi,NorihikoSugie,EishiShiobara,SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-21]

2:40pm:Correlation of electron- and EUV-induced optics contamination from resist outgas species other than C: composition and efficacy of atomic-H cleaning,ShannonB.Hill,NationalInstituteofStandardsandTechnology(UnitedStates);NadirS.Faradzhev,HowardFairbrother,MichaelBarclay,JohnsHopkinsUniv.(UnitedStates);RobertF.Berg,CharlesTarrio,ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(UnitedStates) . . . . . . . . . . . . [8679-22]

3:00pm:Balancing lithographic performance and outgassing in EUV photoresists,Shu-HaoChang,TSMCTaiwan(Taiwan);Shu-FangChen,Ying-YuChen,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Ming-ChinChien,NationalChiaoTungUniv.(Taiwan);Shang-ChiehChien,TSMCTaiwan(Taiwan);Jui-ChingWu,Tzu-LihLee,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);JackJ.H.Chen,AnthonyYen,TSMCTaiwan(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-23]

CofeeBreak . . . . . . . . . . . . Tue3:20pmto3:50pm

Session 6Room: Conv. Ctr. 230 B Tue 1:30 pm to 3:10 pm

New HorizonsSessionChairs:Richard M. Silver, National

InstituteofStandardsandTechnology(UnitedStates);Costas J. Spanos, Univ.ofCalifornia,

Berkeley(UnitedStates)

1:30pm:Critical dimension small-angle x-ray scattering measurements of FinFET and 3D memory structures,CharlesSettens,CollegeofNanoscaleScience&Engineering(UnitedStates);BenjaminD.Bunday,SEMATECHNorth(UnitedStates);R.JosephKline,DanielF.Sunday,ChengqingWang,Wen-liWu,NationalInstituteofStandardsandTechnology(UnitedStates);RichardMatyi,Univ.atAlbany(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8681-20]

1:50pm:Mueller-based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties,GangadharaRajaMuthinti,ManasaMedikonda,Univ.atAlbany(UnitedStates);JodyFronheiser,VimalK.Kamineni,GLOBALFOUNDRIESInc.(UnitedStates);BrennanL.Peterson,JosephRace,NanometricsInc.(UnitedStates);AlainC.Diebold,Univ.atAlbany(UnitedStates). . . . . . . . . . . . . . . . . .[8681-21]

2:10pm:Probing limits of acoustic nanometrology using coherent extreme-ultraviolet light,DamianoNardi,KathleenHoogeboom-Pot,Univ.ofColoradoatBoulder(UnitedStates);JorgeN.Hernandez-Charpak,Univ.ofColorado(UnitedStates);MarieK.Tripp,SeanW.King,IntelCorp.(UnitedStates);ErikH.Anderson,LawrenceBerkeleyNationalLab.(UnitedStates);MargaretM.Murnane,HenryC.Kapteyn,Univ.ofColoradoatBoulder(UnitedStates). . . . . . . . .[8681-22]

2:30pm:Nanoscale modulus and surface chemistry characterization for collapse free resists,PrashantK.Kulshreshtha,LawrenceBerkeleyNationalLab.(UnitedStates);KenMaruyama,JSRMicro,Inc.(UnitedStates);SaraKiani,LawrenceBerkeleyNationalLab.(UnitedStates);JamesM.Blackwell,IntelCorp.(UnitedStates);DeidreL.Olynick,PaulD.Ashby,LawrenceBerkeleyNationalLab.(UnitedStates)...............[8681-23]

2:50pm:Photoluminescence metrology for LED characterization in high-volume manufacturing,ZhiqiangLi,ChristopherJ.Raymond,NanometricsInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . .[8681-24]

CoffeeBreak. . . . . . . . . . . . . . . Tue3:10pmto3:40pm

Session 4Room: Conv. Ctr. Hall 3 Tue 1:20 pm to 3:10 pm

DSA Materials and Processing: Joint Session with Conference 8680 and 8682

SessionChairs:Joy Y. Cheng, IBMAlmadenResearchCtr.(UnitedStates);Ralph R. Dammel, AZElectronic

MaterialsUSACorp.(UnitedStates)

1:20pm:Advances in directed self-assembly integration and manufacturability at 300mm (Invited Paper),BenjamenM.Rathsack,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates);MakatoMuramatsu,KeijiTanouchi,TakahiroKitano,TokyoElectronKyushuLtd.(Japan);EiichiNishimura,TokyoElectronMiyagiLtd.(Japan);KoichiYatsuda,SeijiNagahara,HiroyukiIwaki,KeijiAkai,MarikoOzawa,AinhoaRomo-Negreira,ShigeruTahara,TokyoElectronLtd.(Japan);KathleenNafus,TokyoElectronAmerica,Inc.(Japan). . . . . . . . . . . . . . . [8682-19]

1:50pm:Chemical epitaxy of strongly segregating block copolymers with top-coats for assembling perpendicularly oriented lamella with sub-10nm dimensions,HiroshiYoshida,Hitachi,Ltd.(Japan);JeongInLee,Univ.ofWisconsin-Madison(UnitedStates);HyoSeonSuh,Univ.ofChicago(UnitedStates);YoshihitoIshida,LeiWan,AbelardoR.Hernandez,Univ.ofWisconsin-Madison(UnitedStates);KouheiAida,YasuhikoTada,Hitachi,Ltd.(Japan);JuanJ.dePablo,PaulF.Nealey,Univ.ofChicago(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . [8680-13]

2:10pm:New materials in DSA processing with reduced line-edge roughness,RahulSharma,JessicaP.Evans,TheDowChemicalCo.(UnitedStates);Shih-WeiChang,DowElectronicMaterials(UnitedStates);JohnW.Kramer,PhillipD.Hustad,ValeriyV.Ginzburg,JeffreyD.Weinhold,DanielJ.Murray,TheDowChemicalCo.(UnitedStates);PeterTrefonasIII,DowElectronicMaterials(UnitedStates) . . . . . . . . . . . . . . . . [8680-14]

2:30pm:Progress in directed self-assembly hole shrink applications,ToddR.Younkin,IntelCorp.(Belgium);RoelGronheid,PaulinaRinconDelgadillo,BoonTeikChan,IMEC(Belgium);AinhoaRomo-Negreira,TokyoElectronEuropeLtd.(Netherlands);KathleenNafus,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates) . . . . . . . . . . . . . . . . . . . . . [8682-20]

2:50pm:Materials and processes enabling block copolymers for lithographic applications,GuanyangLin,YiCao,HengpengWu,JianYin,SungEunHong,MargaretaPaunescu,JaneWan,OrestPolishchuk,AZElectronicMaterialsUSACorp.(UnitedStates);AnkitVora,MeliaTjio,AnindarupaChunder,JoyY.Cheng,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-15]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Tue3:10pmto3:30pm

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 31: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 29

tuesday 26 February

Session 6Room: Conv. Ctr. Hall 3 Tue 1:20 pm to 3:10 pm

DSA Materials and Processing: Joint Session with Conference 8680 and 8682

SessionChairs:Joy Y. Cheng, IBMAlmadenResearchCtr.(UnitedStates);Ralph R. Dammel, AZElectronic

MaterialsUSACorp.(UnitedStates)

1:20pm:Advances in directed self-assembly integration and manufacturability at 300mm (Invited Paper),BenjamenM.Rathsack,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates);MakatoMuramatsu,KeijiTanouchi,TakahiroKitano,TokyoElectronKyushuLtd.(Japan);EiichiNishimura,TokyoElectronMiyagiLtd.(Japan);KoichiYatsuda,SeijiNagahara,HiroyukiIwaki,KeijiAkai,MarikoOzawa,AinhoaRomo-Negreira,ShigeruTahara,TokyoElectronLtd.(Japan);KathleenNafus,TokyoElectronAmerica,Inc.(Japan) [8682-19]

1:50pm:Chemical epitaxy of strongly segregating block copolymers with top-coats for assembling perpendicularly oriented lamella with sub-10nm dimensions,HiroshiYoshida,Hitachi,Ltd.(Japan);JeongInLee,Univ.ofWisconsin-Madison(UnitedStates);HyoSeonSuh,Univ.ofChicago(UnitedStates);YoshihitoIshida,LeiWan,AbelardoR.Hernandez,Univ.ofWisconsin-Madison(UnitedStates);KouheiAida,YasuhikoTada,Hitachi,Ltd.(Japan);JuanJ.dePablo,PaulF.Nealey,Univ.ofChicago(UnitedStates) . . . . . . . . . . . . . . . . . [8680-13]

2:10pm:New materials in DSA processing with reduced line-edge roughness,RahulSharma,JessicaP.Evans,TheDowChemicalCo.(UnitedStates);Shih-WeiChang,DowElectronicMaterials(UnitedStates);JohnW.Kramer,PhillipD.Hustad,ValeriyV.Ginzburg,JeffreyD.Weinhold,DanielJ.Murray,TheDowChemicalCo.(UnitedStates);PeterTrefonasIII,DowElectronicMaterials(UnitedStates). . . . . . . . . . . . . . . [8680-14]

2:30pm:Progress in directed self-assembly hole shrink applications,ToddR.Younkin,IntelCorp.(Belgium);RoelGronheid,PaulinaRinconDelgadillo,BoonTeikChan,IMEC(Belgium);AinhoaRomo-Negreira,TokyoElectronEuropeLtd.(Netherlands);KathleenNafus,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates). . . . . . . . . . . . . [8682-20]

2:50pm:Materials and processes enabling block copolymers for lithographic applications,GuanyangLin,YiCao,HengpengWu,JianYin,SungEunHong,MargaretaPaunescu,JaneWan,OrestPolishchuk,AZElectronicMaterialsUSACorp.(UnitedStates);AnkitVora,MeliaTjio,AnindarupaChunder,JoyY.Cheng,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates). . . . . . . . . . . . . . . . . . . . [8680-15]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . .Tue3:10pmto3:40pm

Session 3Room: Conv. Ctr. 210 C Tue 1:40 pm to 3:20 pm

Source and Mask Optimization (SMO) I

SessionChairs:Andreas Erdmann, Fraunhofer-InstitutfürIntegrierteSysteme

undBauelementetechnologieIISB(Germany);Bruce W. Smith, RochesterInstituteof

Technology(UnitedStates)

1:40pm:Robust SMO methodology for exposure tool and mask variations in high-volume production,TakakiHashimoto,YasunobuKai,KazuyukiMasukawa,ShigekiNojima,ToshiyaKotani,ToshibaCorp.(Japan). . . . . . . . . . . [8683-8]

2:00pm:Imaging application tools for extremely-low-k1 ArF immersion lithography,ShinichiMori,TomoharuFujiwara,HajimeAoyama,JunjiIkeda,TaroOgata,RyotaMatsui,HisashiNishinaga,ShintaroKudo,TomoyukiMatsuyama,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-9]

2:20pm:Study of recent CFD-based scheme for analyzing 3D mask effects,MasanoriTakahashi,KatsuyoshiKodera,MasayaMotokubota,ToshibaCorp.(Japan);YuichiKawabata,ToshibaInformationSystems(Japan)Corp.(Japan);ShimonMaeda,ShigekiNojima,SatoshiTanaka,ShojiMimotogi,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . [8683-10]

2:40pm:The effect of mask and source complexity on source-mask optimization,Seung-HuneYang,Seong-WoonChoi,JungdalChoi,Ho-KyuKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [8683-11]

3:00pm:Illumination pupilgram control using intelligent illuminator,NoriyukiHirayanagi,YasushiMizuno,MasakazuMori,NaonoriKita,RyotaMatsui,TomoyukiMatsuyama,NikonCorp.(Japan)[8683-12]

CoffeeBreak. . . . . . . . . . . Tue3:20pmto3:50pm

Session 5Room: Conv. Ctr. 211 B Tue 1:30 pm to 3:10 pm

New Plasma Sources and New Etching Technologies

SessionChairs:Seiji Samukawa, TohokuUniv.(Japan);Ying Zhang, Taiwan

SemiconductorManufacturingCo.Ltd.(Taiwan)

1:30pm:Properties of RLSATM microwave surface wave plasma and its applications to finFET fabrication (Invited Paper),LeeChen,TokyoElectronAmerica,Inc.(UnitedStates).... [8685-15]

2:00pm:Challenging of 2xnm node MRAM MTJ patterning technology (Invited Paper),KenTokashiki,JongChulPark,HyungJoonKwon,SangminLee,GwangHyunBaek,JaehunSeo,SangSupJeong,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . [8685-16]

2:30pm:Characterization of silicon etching in synchronized pulsed plasma,MaximeDarnon,MoritzHaass,GillesCunge,OlivierP.Joubert,LTMCNRS(France);SamerBanna,AppliedMaterials,Inc.(UnitedStates) . . . . . . . . . . . . . . . . . . [8685-17]

2:50pm:Analysis of cut-mask overlay in self-aligned multiple patterning and a misalignment correction technique based on dry etching,YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-18]

CoffeeBreak. . . . . . . . . . . Tue3:10pmto3:40pm

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8685

Advanced Etch Technology for Nanopatterning II

Page 32: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

30 SPIEAdvancedLithography2013 · www.spie.org/al

tuesday 26 February

Session 6Room: Conv. Ctr. 210 B Tue 3:50 pm to 5:30 pm

Optics and MetrologySessionChairs:Katsuhiko Murakami, Nikon

Corp.(Japan);Michael Goldstein, SEMATECHNorth(UnitedStates)

3:50pm:EUVL resist-based aberration metrology,GermainL.Fenger,BruceW.Smith,RochesterInstituteofTechnology(UnitedStates);SudharshananRaghunathan,LeiSun,ThomasI.Wallow,DenizCivay,GLOBALFOUNDRIESInc.(UnitedStates);KennethA.Goldberg,IacopoMochic,LawrenceBerkeleyNationalLab.(UnitedStates);ObertR.WoodII,GLOBALFOUNDRIESInc.(UnitedStates). . . [8679-24]

4:10pm:In situ optical testing of exposure tools via localized wavefront curvature sensing,RyanH.Miyakawa,ChristopherN.Anderson,PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-25]

4:30pm:Alignment performance of fiducial mark on EUV blanks,HamamotoKazuhiro,TsutomuShoki,TakahiroOnoue,ToshihikoOrihara,OsamuMaruyama,JunichiHorikawa,HOYACorp.(Japan). . . . . [8679-26]

4:50pm:Three-dimensional nanoscale defect inspection of photomasks using the TSOM method,RavikiranAttota,HaesungPark,NationalInstituteofStandardsandTechnology(UnitedStates);LisaBendall,BrighamYoungUniv.(UnitedStates)[8679-27]

5:10pm:Application of phase shift focus monitor in EUVL process control,LeiSun,SudharshananRaghunathan,GLOBALFOUNDRIESInc.(UnitedStates);VibhuJindal,SEMATECHNorth(UnitedStates);EricM.Gullikson,LawrenceBerkeleyNationalLab.(UnitedStates);PawitterJ.Mangat,GLOBALFOUNDRIESInc.(UnitedStates);IacopoMochi,KennethA.Goldberg,LawrenceBerkeleyNationalLab.(UnitedStates);OlegKritsun,ThomasI.Wallow,DenizCivay,ObertR.WoodII,GLOBALFOUNDRIESInc.(UnitedStates). . . [8679-28]

Room: Conv. Ctr. Hall 3 7:30 pm to 9:00 pm

Joint Panel DiscussionJointPanelwithconferences8679,8680,

8682,8683,8684

Session 5Room: Conv. Ctr. Hall 3 Tue 3:30 pm to 5:00 pm

E-Beam Direct-Write for High-Volume Manufacturing I

SessionChairs:Cynthia Hanson, SpaceandNavalWarfareSystemsCtr.Pacific(United

States);Marco Wieland, MAPPERLithography(Netherlands)

3:30pm:Reflective electron-beam lithography: lithography results using CMOS controlled digital pattern generator chip,ThomasGubiotti,ReginaFreed,JeffF.Sun,FrancoiseKidwingira,JasonYang,ChrisF.Bevis,AllenCarroll,AlanD.Brodie,WilliamM.Tong,KLA-TencorCorp.(UnitedStates);Shy-JayLin,Wen-ChuanWang,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-16]

4:00pm:Development of maskless electron-beam lithography using nc-Si electron-emitter array,AkiraKojima,HideyukiOhyi,CrestecCorp.(Japan);NaokatsuIkegami,NobuyoshiKoshida,TokyoUniv.ofAgricultureandTechnology(Japan);TakashiYoshida,MasayoshiEsashi,TohokuUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-17]

4:20pm:Matching of beams on the MAPPER MATRIX tool: a simulation study,JérômeBelledent,CEA-LETI(France);MariBerglund,MAPPERLithography(Netherlands);SebastienBerard-Bergery,LaurentPain,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-18]

4:40pm:50 keV electron multibeam mask writing with 0.1nm address grid,ChristofKlein,HansLoeschner,ElmarPlatzgummer,IMSNanofabricationAG(Austria). . . . . . . . . . . [8680-19]

Session 7Room: Conv. Ctr. 230 B Tue 3:40 pm to 5:40 pm

ScatterometrySessionChairs:Richard M. Silver, National

InstituteofStandardsandTechnology(UnitedStates);Alok Vaid, GLOBALFOUNDRIESInc.

(UnitedStates)

3:40pm:Inter-comparison between optical and x-ray scatterometry measurements of FinFET structures,PaulLemaillet,ThomasA.Germer,R.JosephKline,DanielF.Sunday,ChengqingWang,Wen-liWu,NationalInstituteofStandardsandTechnology(UnitedStates). . . . . . . . . . . . [8681-25]

4:00pm:28nm FDSOI metal gate profile optimization, CD, and undercut monitoring using scatterometry measurement,BertrandLeGratiet,RégisBouyssou,PascalGouraud,STMicroelectronics(France);LatifaDesvoivres,CEA-LETI(France);BenjaminDumont,STMicroelectronics(France);GuillaumeBriend,IBMCorp.(France). . . . . . [8681-26]

4:20pm:Evaluating scatterometry 3D capabilities for EUV,JieLi,NanometricsInc.(UnitedStates);OlegKritsun,GLOBALFOUNDRIESInc.(UnitedStates);PrasadDasari,NanometricsInc.(UnitedStates);CatherineR.Volkman,GLOBALFOUNDRIESInc.(UnitedStates);JiangtaoHu,NanometricsInc.(UnitedStates) . . . . [8681-27]

4:40pm:Scatterometry evaluation of focus dose effects of EUVL structures,PrasadDasari,NanometricsInc.(UnitedStates);OlegKritsun,GLOBALFOUNDRIESInc.(UnitedStates);JieLi,NanometricsInc.(UnitedStates);CatherineR.Volkman,GLOBALFOUNDRIESInc.(UnitedStates);JiangtaoHu,ZhuanLiu,NanometricsInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-28]

5:00pm:Direct-scatterometry-enabled optical-proximity-correction-model calibration,Chih-YuChen,Yu-TianShen,Kuen-YuTsai,Jia-HanLi,NationalTaiwanUniv.(Taiwan);JasonJ.Shieh,AlekC.Chen,ASMLTaiwanLtd.(Taiwan). . . [8681-115]

5:20pm:Enhancing scatterometry CD signal-to-noise ratio for 1x logic and memory challenges, DerrickShaughnessy,ShankarKrishnan,KLA-TencorCorp.(UnitedStates);LanhuaWei,KLA-TencorCalifornia(UnitedStates);AndreiV.Shchegrov,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . [8681-122]

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Room: Conv. Ctr. Hall 3 5:00 pm to 7:00 pm

Panel Discussion on Challenges for Directed Self-Assembly

SessionChairs:William M. Tong, KLA-TencorCorp.(UnitedStates);Joy Y. Cheng, IBM

AlmadenResearchCtr.(UnitedStates)

Moderators: Joy Y. Cheng,IBMAlmadenResearchCtr.andWilliam M. Tong,

KLA-TencorCorp.

Directedself-assembly(DSA),whichcombineslithography-definedpre-patternswithself-assembledphase-separatedpolymers,hasbecomeapromisingpathtocontinuethescalingofsemiconductordevices.Asamaterials-basedresolutionenhancementtechnique,DSAhasbeendemonstratedtoaugmentthepatterningcapabilityof193i,EUVandE-beamlithography,andhasbeguntotransitionfromresearchlabstodevelopmentlinesinpasttwoyears.Whiletherehavebeenimportantadvancesonthematerialsandprocessfronts,toenableDSAasaresolutionenhancementtechnology,moreeffortsarerequiredinareassuchasDSA-awaredesignandlowdefectivity.Thecharacteristiclengthandnatureofphase-separatedpolymersimposeDSA-specificdesignrestrictionsanddesign-relateddefectivities.TheintegrationofcompactDSAmodelintodesignandcomputationallithographymayfacilitatetheco-optimizationofdesign,materials,andprocesses.Onthedefectivityfront,moreexperimentaldataoninspection,metrologyandunitprocessmonitoringareneededtoidentifythedefectsourcesandintrinsicDSAdefects.Pleasejoinexpertsindesign,DFM,metrology,andothercriticalareasinDSAtodiscussthesecriticalchallengesforDSA.

Room: Conv. Ctr. Hall 3 7:30 pm to 9:00 pm

Joint Panel DiscussionJointPanelwithconferences8679,8680,

8682,8683,8684

Page 33: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 31

tuesday 26 February

Session 7Room: Marriott San Jose Ballroom Salon III

Tue 3:40 pm to 5:40 pm

Negative Tone PatterningSessionChairs:Plamen Tzviatkov, FUJIFILMElectronicMaterialsU.S.A.,Inc.(UnitedStates);Douglas Guerrero,

BrewerScience,Inc.(UnitedStates)

3:40pm:Process development of the EUVL negative-tone imaging at EIDEC,ToshiyaTakahashi,RyujiOnishi,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan) . . . . . [8682-21]4:00pm:Sub-20nm lithography negative-tone chemically-amplified resists using cross-linker additives,PrashantK.Kulshreshtha,LawrenceBerkeleyNationalLab.(UnitedStates);KenMaruyama,JSRMicro,Inc.(UnitedStates);SaraKiani,LawrenceBerkeleyNationalLab.(UnitedStates);JamesM.Blackwell,IntelCorp.(UnitedStates);PaulD.Ashby,DeidreL.Olynick,LawrenceBerkeleyNationalLab.(UnitedStates). . . . . . . . . . . . . . . . [8682-22]4:20pm:Investigation of trench and contact hole shrink mechanism in the negative-tone develop process,SohanS.Mehta,CraigD.Higgins,ShyamPal,HuipengKoh,LokeshSubramany,SalmanIqbal,BumhwanJeon,PedroMorrison,ChristosKaranikas,YayiWei,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-23]4:40pm:Negative-tone imaging (NTI) with KrF exposure at the 20nm node: extension of 248nm IIP lithography to under 20nm logic device,Tae-HwanOh,Tae-SunKim,YuraKim,JaheeKim,SujeongHeo,BumjoonYoun,JaekyungSeo,Kwang-sunYoon,ByoungIlChoi,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-24]5:00pm:EUV lithography performance of negative-tone chemically amplified fullerene resist,AlexP.Robinson,AndreasFrommhold,TheUniv.ofBirmingham(UnitedKingdom);AlexandraL.McClelland,IrresistibleMaterials(UnitedKingdom);DongXuYang,TheUniv.ofBirmingham(UnitedKingdom);XiangXue,Nano-C,Inc.(UnitedStates);RichardE.Palmer,TheUniv.ofBirmingham(UnitedKingdom) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-25]5:20pm:Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next-generation lithography,ChristineY.Ouyang,YeonSookChung,MarkosTrikeriotis,MarieE.Krysak,EmmanuelP.Giannelis,ChristopherK.Ober,CornellUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . [8682-26]

Room: Conv. Ctr. Hall 3 7:30 pm to 9:00 pm

Joint Panel DiscussionJointPanelwithconferences8679,8680,

8682,8683,8684

Session 4Room: Conv. Ctr. 210 C Tue 3:50 pm to 5:30 pm

RETSessionChairs:Carlos Fonseca, TokyoElectron

America,Inc.(UnitedStates);Sachiko Kobayashi, ToshibaMaterialsCo.,Ltd.(Japan)

3:50pm:Inverse lithography technique (ILT) for advanced CMOS nodes,AlexandreVillaret,STMicroelectronics(France);AlexanderTritchkov,MentorGraphicsCorp.(UnitedStates);JorgeEntradas,MentorGraphics(Ireland)Ltd.(France);EmekYesilada,STMicroelectronics(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-14]

4:10pm:Mask compensation for process flare in 193nm very low-K1 lithography,JeonkyuLee,TaehyeongLee,ChunsooKang,JungchanKim,JaeseungChoi,Chan-HaPark,Hyun-JoYang,DongGyuYim,SKHynix,Inc.(Korea,Republicof);Jung-HoeChoi,SynopsysKoreaInc.(Korea,Republicof);IreneSu,SynopsysTaiwanLtd.(Taiwan);HuaSong,Synopsys,Inc.(UnitedStates);Mun-hoiDo,SynopsysKoreaInc.(Korea,Republicof);YongfaFan,AnthonyC.Wang,Synopsys,Inc.(UnitedStates);Sung-WooLee,SynopsysKoreaInc.(Korea,Republicof);KevinLucas,Synopsys,Inc.(UnitedStates). . . . . . . . . . . . . . . . [8683-15]

4:30pm:Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography,MonicaKempsellSears,BruceW.Smith,RochesterInstituteofTechnology(UnitedStates) . . . . . . . . . . . . . . . . [8683-16]

4:50pm:Effective model-based SRAF placement for full-chip 2D layouts,SrividyaJayaram,PatJ.Lacour,AlexanderTritchkov,MentorGraphicsCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-17]

5:10pm:Wafer topography modeling for ionic implantation mask correction dedicated to 2xnm nodes on FDSOI substrate,Jean-ChristopheMichel,Jean-ChristopheLeDenmat,ElodieSungauer,FredericRobert,EmekYesilada,STMicroelectronics(France);AnaMariaArmeanu,JorgeEntradas,MentorGraphics(France);JohnL.Sturtevant,ThuyDo,YuriGranik,MentorGraphicsCorp.(UnitedStates)............................. [8683-18]

Room: Conv. Ctr. Hall 3 7:30 pm to 9:00 pm

Joint Panel DiscussionJointPanelwithconferences8679,8680,

8682,8683,8684

Session 6Room: Conv. Ctr. 211 B Tue 3:40 pm to 5:10 pm

Emerging Patterning Technology

SessionChairs:Charles N. Black, BrookhavenNationalLab.(United

States);Ricardo Ruiz, HGST(UnitedStates)

3:40pm:Pattern transfer of directed self-assembly patterns for CMOS device applications (Invited Paper),HsinyuTsai,HiroyukiMiyazoe,SebastianU.Engelmann,SarunyaBangsaruntip,IsaacLauer,JamesJ.Bucchignano,DavidP.Klaus,LynneM.Gignac,EricA.Joseph,IBMThomasJ.WatsonResearchCtr.(UnitedStates);JoyY.Cheng,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates);MichaelA.Guillorn,IBMThomasJWatsonResearchCtr(UnitedStates). . . . . . . . . . . [8685-19]

4:10pm:Novel approaches on double-patterning process toward sub-15nm (Invited Paper),HidetamiYaegashi,TokyoElectronLtd.(Japan);KenichiOyama,ShoheiYamauchi,ArisaHara,SakurakoNatori,MasatoshiYamato,TokyoElectronATLtd.(Japan) . . . . . . . . . . . . . [8685-20]

4:40pm:Generation and transfer of large-area lithographic patterns in the ~10nm feature size regime (Invited Paper),ThomasR.Albrecht,ChristianBonhôte,Yves-AndreChapuis,ElizabethA.Dobisz,HeH.Gao,DanS.Kercher,JeffreyLille,KanaiyalalC.Patel,RicardoRuiz,JovitaTjahjadi,LeiWan,Tsai-WeiWu,HGST(UnitedStates). . . . . . . . . . . . . . [8685-21]

ConferenceEnd.

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8685

Advanced Etch Technology for Nanopatterning II

Room: Conv. Ctr. Hall 3 7:30 pm to 9:00 pm

Joint Panel DiscussionJointPanelwithconferences8679,8680,8682,8683,8684

Conference 8684

Design for Manufactura- bility through Design-Process Integration VII

Page 34: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

32 SPIEAdvancedLithography2013 · www.spie.org/al

tuesday 26 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

The exhibition will be open during the Poster Session on Tuesday only. Be sure to visit the exhibit booths during this time for insight on what is new and coming soon.The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

In-chip overlay metrology method using review-SEM images,JaehyoungOh,GwangminKwon,DaiyoungMun,HyungwonYoo,SungsuKim,TaehuiKim,SKHynix,Inc.(Korea,Republicof);MinoruHarada,YoheiMinekawa,Hitachi,Ltd.(Japan);FumihikoFukunaga,MariNozoe,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . [8681-64]

Control of inspection for EUV substrates and mask blanks,MiltonC.Godwin,RanganathTeki,AndyMa,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-65]

Joint calibration with CDSEM and 3D resist image,Chih-ShiangChou,Yan-YingHe,Ya-TingChang,Yu-PoTang,Wen-ChunHuang,Ru-GunLiu,Tsai-ShengGau,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-66]

Line-edge roughness measurement of finger print BCP,MikiIsawa,KeiSakai,HitachiHigh-TechnologiesCorp.(Japan);RoelGronheid,IMEC(Belgium);HiroshiYoshida,HitachiLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-67]

Nanoscale pitch standards sample fabricated using atom lithography,YanMa,ShengWeiXiao,TongbaoLi,TongjiUniv.(China). . . . . . . . [8681-71]

Increased particle inspection sensitivity by reduction of background scatter variance,PetervanderWalle,PragatiKumar,DmitryItyaksov,RichardVersluis,DiederikJ.Maas,OlafKievit,JochemJanssen,JacquesC.J.vanderDonck,TNO(Netherlands). . . . . . . . . . . . . . . . . . . [8681-72]

Overlay improvement through lot-based feed-forward: applications to various 28nm node lithography operations,BastienOrlando,MaximeGatefait,Pierre-JeromeGoirand,STMicroelectronics(France). . . . . . . . . . . [8681-73]

Scatterometry-based dose and focus decorrelation: applications to 28nm contact hole patterning intrafield focus investigations,BastienOrlando,NicolasSpaziani,NellySocquet,MaximeGatefait,RégisBouyssous,Pierre-JeromeGoirand,STMicroelectronics(France). . . . . . . . . . . [8681-74]

Fast simulation method for parameter reconstruction in optical metrology,SvenBurger,JCMwaveGmbH(Germany)andZuseInstituteBerlin(Germany);JanPomplun,LinZschiedrich,JCMwaveGmbH(Germany);FrankSchmidt,JCMwaveGmbH(Germany)andZuseInstituteBerlin(Germany);BerndBodermann,Physikalisch-TechnischeBundesanstalt(Germany). . . . [8681-75]

DSA hole defectivity analysis using advanced optical inspection tool,RyotaHarukawa,KLA-TencorJapan(Japan);MasamiAoki,KLA-TencorCorp.(UnitedStates);AndrewJ.Cross,KLA-TencorUK(UnitedKingdom);VenkatR.Nagaswami,KLA-TencorCorp.(UnitedStates);TadayukiTomita,TokyoElectronKyushuLtd.(Japan);SeijiNagahara,TokyoElectronLtd.(Japan);MakotoMuramatsu,ShinichiroKawakami,HitoshiKosugi,TokyoElectronKyushuLtd.(Japan);BenjamenRathsack,TokyoElectronAmerica,Inc.(UnitedStates);TakahiroKitano,TokyoElectronKyushuLtd.(Japan);JasonSweis,AliMokhberi,CadenceDesignSystems,Inc.(UnitedStates) . . . . [8681-76]

Quantitative CDSEM resist line CD shrinkage study and its application to accure CDSEM tools matching, WenhuLi,Y.Shin,A.Lin,SiyuanF.Yana,BoxiuS.Cai,YiHuang,SemiconductorManufacturingInternationalCorp.(China) [8681-77]

Scatterometry simulator development using parallel RCWA/optimization on GPU,HirokimiShirasaki,TamagawaUniv.(Japan) . . . . . [8681-78]

Inline high-k/metal gate monitoring using picosecond ultrasonics,Chun-WeiHsu,UnitedMicroelectronicsCorp.(Taiwan);JayChen,RudolphTechnologiesTaiwan(Taiwan);RenPengHuang,UnitedMicroelectronicsCorp.(Taiwan);WelchLin,UnitedMicroelectronicsCorp.(Taiwan)andRudolphTechnologies(UnitedStates);Y.LawrenceHsieh,WeiCheTsao,AnchorC.H.Chen,YuMinLin,ChihHsunLin,H.K.S.Hsu,KentLiu,ClimbingHuang,J.Y.Wu,UnitedMicroelectronicsCorp.(Taiwan);JohnTan,RudolphTechnologiesTaiwan(Taiwan);JohnnyDai,PriyaMukundhan,RudolphTechnologies,Inc.(UnitedStates)....... [8681-79]

Advanced overlay stability control with correction per exposure on immersion scanners,JinkyuHan,Jin-SeokHeo,ChanHwang,JeonghoYeo,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [8681-80]

Optical analysis on the wafer defect inspection for yield enhancement,YongheePark,MireroInc.(Korea,Republicof). . . . . . . . . . . . . . . . . . [8681-81]

Exploration of the DBO/uDBO marks performance for advanced lithography node C020nm and C028 overlay process control,JeromeDepre,ASMLNetherlandsB.V.(Netherlands);YoannBlancquaert,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-83]

Overlay accuracy calibration,EranAmit,DanaKlein,GuyCohen,NurielAmir,KLA-TencorIsrael(Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-84]

A novel focus-dose monitoring technique using iso-dense overlay mark,ShuxinLi,JianruiCheng,AnatolyY.Bourov,GangSun,ShanghaiMicroElectronicsEquipmentCo.,Ltd.(China). . [8681-85]

Manufacturing and advanced characterization of sub-25nm diameter CD-AFM probes with sub-10nm tip edges radius,JohannFoucher,CEA-LETI(France);PavelFilippov,ChristianPenzkofer,BerndIrmer,SebastianW.Schmidt,nanotoolsGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [8681-86]

Quality metric for accurate overlay control in <20nm nodes,DanaKlein,EranAmit,GuyCohen,NurielAmir,KLA-TencorIsrael(Israel);Chin-ChouK.Huang,RamkumarKarur-Shanmugam,BillPierson,KLA-TencorUSA(UnitedStates) . . . . . . . [8681-87]

SEM-contour shape analysis method for advanced semiconductor devices,YasutakaToyoda,Hitachi,Ltd.(Japan);HiroyukiShindo,YoshihiroOta,RyoichiMatsuoka,YutakaHojo,HideoSakai,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-88]

In-die mask registration measurement on 28nm node and beyond,Hsien-HungChen,Yung-FengCheng,Ming-JuiChen,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . [8681-89]

Sensitivity improvement of angle-resolved scatterometer by illumination optimization,HailiangLu,FanWang,LifengDuan,YonghuiChen,ShanghaiMicroElectronicsEquipmentCo.,Ltd.(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-90]

Integrated focus and overlay monitoring and control solution for ASML scanner NXT:1950i system,ShawnH.Lee,ASMLNetherlandsB.V.(Netherlands);Jin-SeokHeo,JinkyuHan,ChanHwang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);TjitteNooitgedagt,MarcKea,HannahWei,EmilP.Schmitt-Weaver,WolfgangHenke,HansKattouw,PaulLuehrmann,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . [8681-91]

Tuesday Poster Reception Sponsor

Conf. 8681 Metrology, Inspection, and Process Control for Microlithography XXVII

SessionChairs:John C. Robinson, KLA-TencorCorp.(UnitedStates);Matthew J.

Sendelbach, NovaMeasuringInstrumentsInc.(UnitedStates)

Modeling ion-induced secondary electron emission in scanning ion microscopes,KaoruOhya,TakuyaYamanaka,Univ.ofTokushima(Japan);JunKawata,KagawaNationalCollegeofTechnology(Japan). . . . . . . . . . . . . . . . . . [8681-58]

The correlation between ArF resist dispense volume and surface tension,Tung-ChangKuo,UnitedMicroelectronicsCorp.(Taiwan) . . [8681-59]

Enhanced photomask quality control by 2D structures monitoring using auto image-to-layout method on advanced 28nm technology node or beyond,ChingyunHsiang,AnchorSemiconductor,Inc.(UnitedStates);EricG.Guo,IreneShi,EricM.Tian,SemiconductorManufacturingInternationalCorp.(China);GuojieCheng,LiLing,KeZhou,AnchorSemiconductor,Inc.(China);YeChen,JoanneWu,Ke-ChihWu,AnchorSemiconductor,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-60]

Signal characteristics of high-aspect ratio contact hole analyzed by simulator CHARMs,ToshiyukiYokosuka,ChahnLee,Hitachi,Ltd.(Japan);HideyukiKazumi,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [8681-61]

Process window OPC verification with 3D resist profile calibration,ShaoWenGao,YoungKiKim,GLOBALFOUNDRIESInc.(UnitedStates) [8681-62]

High-order wafer alignment for 20nm node,BumhwanJeon,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-63]

Page 35: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 33

tuesday 26 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

The exhibition will be open during the Poster Session on Tuesday only. Be sure to visit the exhibit booths during this time for insight on what is new and coming soon.The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

Study of overlay in EUV/ArF mix and match lithography,Chin-ChouK.Huang,GinoMarcuccilli,KyungbaeHwang,AntonioMani,KLA-TencorCorp.(UnitedStates);ChuaLin,KLA-TencorSingapore(Singapore);DongsubChoi,DavidC.Tien,KLA-TencorCorp.(UnitedStates);BillPierson,KLA-TencorTexas(UnitedStates);RamkumarKarur-Shanmugam,JohnC.Robinson,KLA-TencorCorp.(UnitedStates);Byoung-HoonLee,InhwanLee,HynixSemiconductorInc.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-92]

Lithography focus/exposure control and corrections to improve CDU,YoungKiKim,GLOBALFOUNDRIESInc.(UnitedStates) [8681-93]

Inspection of high-aspect ratio layers at sub-20nm node,KuanLin,AbhishekVikram,GLOBALFOUNDRIESInc.(UnitedStates);JanayCamp,SumanthKini,KLA-TencorNewYork(UnitedStates);FrankJin,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-94]

Characterization of photochemical filtration membranes in organic solvents by using sub-10nm fluorescent Cd-based QDs,SuwenLiu,HaizhengZhang,Entegris,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-95]

Scatterometry accuracy improvement using 3D shapes,ShahinZangooie,SatyaMyneni,PeterJ.Wilkens,HGST(UnitedStates);NickKeller,T.P.Sarathy,MiladTabet,NanometricsInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-96]

Advanced gate CDU control in sub-28nm node using poly slot process by scatterometry metrology,LannyMihardja,KLA-TencorCorp.(UnitedStates);Wei-JheTzai,HowardChen,Jun-JinLin,Yu-HaoHuang,ChunChiYu,UnitedMicroelectronicsCorp.(Taiwan);Ching-HungBertLin,SungchulYoo,Chien-JenE.Huang,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . [8681-97]

Discrimination of concave defects (pits) on Electrofill® copper wafers using dark field surface scanning inspection systems,NatalieTran,BjornSkyberg,DonaldSchlosser,LamResearchCorp.(UnitedStates);SteveA.McGarvey,HitachiHighTechnologiesAmerica,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-98]

The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line,SteveA.McGarvey,HitachiHighTechnologiesAmerica,Inc.(UnitedStates);JeffreyLee,Global450Consortium(G450C)(UnitedStates). . . . . [8681-99]

Inter-tool data feed-forward for improved optical CD and film metrology in a fab-wide implementation,LannyMihardja,MingDi,QiangZhao,ZhengquanTan,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-101]

Accurate real-time optical modeling of 3D masks and nanostructures,JanPomplun,SvenBurger,LinZschiedrich,FrankSchmidt,JCMwaveGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . [8681-102]

Micro-bubble removal method for water-based materials,TomohideKatayama,AZElectronicMaterials(Japan)K.K.(Japan). . . . . . . . . [8681-103]

Sub-40nm high-volume manufacturing overlay non-correctable error characterization,ParyBaluswamy,BryanJ.Orf,RanjanKhurana,WolfgangKeller,SoujanyaVuppala,MicronTechnology,Inc.(UnitedStates). . . . . . . [8681-106]

Key points to measure LER accurately by CD-SEM using ultra-low LER line feature,HirokiKawada,ToruIkegami,NorioHasegawa,HitachiHigh-TechnologiesCorp.(Japan);KenichiOyama,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan) . . . . . . . . . . [8681-108]

Computational defect review for actinic mask inspections,PaulMorgan,MPMaskTechnologyCtr.,LLC(UnitedStates);NoelCorcoran,LuminescentTechnologies(UnitedStates);DanielL.Rost,MPMaskTechnologyCtr.,LLC(UnitedStates);MasakiSatake,PeterHu,JingZheng,DeanYonenaga,VikramL.Tolani,LuminescentTechnologies(UnitedStates) . . . . . . . . . [8681-109]

Design-based metrology for development and manufacturing applications,PeterD.Brooker,Synopsys,Inc.(UnitedStates);ShimonLevi,AppliedMaterials(Israel);SylvainBerthiaume,Synopsys,Inc.(Canada);WilliamA.Stanton,TravisBrist,Synopsys,Inc.(UnitedStates). . . . [8681-110]

Design, characterization, and printability analysis of a new 28nm reticle haze test mask,AnthonyD.Vacca,LuminescentTechnologies(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-111]

Performance evaluation of reticle inspection equipment for high-volume manufacturing fabs,YuYuChen,ToddShih,VicYin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);AnnaV.Tchikoulaeva,LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);KoichiMoriizumi,KazuhitoYamamoto,LasertecCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-112]

Productivity improvement through automated operation of reticle defect inspection tools in a wafer fab environment,ChristianHolfeld,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);HeikoWagner,GLOBALFOUNDRIESDresdenModuleOneLLC&Co.KG(Germany);AnnaV.Tchikoulaeva,LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);SteffenLoebeth,StephanMelzig,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);YulinZhang,LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);ShinichiTanabe,TakenoriKatoh,KoichiMoriizumi,LasertecCorp.(Japan) . . . . . . . . . . . . . . [8681-113]

Introduction of a high-throughput SPM for defect inspection and process control,HamedSadeghian,NorbertB.Koster,TeunC.vandenDool,TNO(Netherlands). . . . . . . . . . . . . [8681-121]

Poster Session: Student Posters Phase extraction from random phase-shifted shadow moiré fringe patterns using stereovision technique,FeifeiGu,DuHubing,HongZhao,BingLi,Xi’anJiaotongUniv.(China). . . . . . . . [8681-114]

Fast phase-shifting shadow moiré by utilizing multiple light sources,DuHubing,HongZhao,BingLi,Xi’anJiaotongUniv.(China). . . . [8681-117]

Measurement configuration optimization for grating reconstruction by Mueller matrix polarimetry,XiuguoChen,ShiyuanLiu,ChuanweiZhang,HuazhongUniv.ofScienceandTechnology(China);HaoJiang,TheUniv.ofTexasatArlington(UnitedStates). . . . . . . . . . . . . . . . . . . . . [8681-119]

Conf. 8682 Advances in Resist Materials and Processing Technology XXX

Spacer DevelopmentPattern wiggling investigation of self-aligned double-patterning for 2x-nm node NAND Flash and beyond,YouYuLin,PowerchipTechnologyCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . [8682-48]

Extendibility of self-aligned type multiple patterning for further scaling,ShoheiYamauchi,ArisaHara,MasatoshiYamato,KenichiOyama,SakurakoNatori,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-49]

Resist slimming process for advanced multipatterning,YuheiKuwahara,SatoruShimura,KousukeYoshihara,TokyoElectronKyushuLtd.(Japan);TakashiSaito,DavidR.Hetzer,TELTechnologyCtr.,America,LLC(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-50]

Process requirements of self-aligned multiple patterning,SakurakoNatori,ArisaHara,ShoheiYamauchi,MasatoshiYamato,KenichiOyama,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan) . . . . . . . . . . . [8682-51]

FundamentalsCapability study and challenges to sub-2xnm node contact hole patterning,Wan-LinKuo,PowerchipTechnologyCorp.(Taiwan);Ya-TingChan,PowerchipSemiconductorCorp.(Taiwan);Meng-FengTsai,Yi-ShiangChang,Chia-ChiLin,Ming-ChienChiu,Chun-HsunChen,Hung-MingWu,Mao-HsingChiu,PowerchipTechnologyCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-12]

Photoresist film analysis to investigate LWR generation mechanism,ShinichiNakamura,TooruKimura,KenjiMochida,JSRCorp.(Japan);KanaNakanishi,NaohikoKawasaki,NaokiMan,TorayResearchCtr.,Inc.(Japan). . . . . . . . . . . . [8682-52]

Theoretical study of deprotonation of polymer radical cation for EUV Resist,MasayukiEndo,SeiichiTagawa,OsakaUniv.(Japan)andJST-CREST(Japan) . . . . . . . . . . . . . . . . . . . . . [8682-53]

Analysis of the generating action of the acid from PAG using acid sensitive dyes for EUV resist,AtsushiSekiguchi,LithoTechJapanCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . [8682-54]

Page 36: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

34 SPIEAdvancedLithography2013 · www.spie.org/al

Calculating development parameters for chemically-amplified resists by the film-reducing method,AtsushiSekiguchi,LithoTechJapanCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . [8682-55]

Study of swelling behavior in ArF resist during development by the QCM method, III,AtsushiSekiguchi,LithoTechJapanCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-56]

Light scattering by organic crosslinking material using nanomorphology of polymer blends,SatoshiTakei,KazukiMaekawa,TakumiIchikawa,ToyamaPrefecturalUniv.(Japan);YoshiyukiYokoyama,ToyamaIndustrialTechnologyCtr.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-57]

Activation energy studies of deprotection and diffusion during millisecond post-exposure bake,JingJiang,ByungkiJung,MichaelO.Thompson,ChristopherK.Ober,CornellUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-58]

Use of high-speed separation for multidimensional chromatographic characterization of photoresist polymers,MichaelJ.O’Leary,WatersCorp.(UnitedStates) . [8682-59]

PEB to development delay influence on contact patterning by negative-tone development process,ChangKaiChen,ChiaHuaLin,Chih-HaoHuang,ElvisYang,Ta-HungYang,ChangKaiChen,Chih-YuanLu,MacronixInternationalCo.,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-60]

What exactly does ‘neutrality’ mean for directed self-assembly neutral layers,JeffreyT.Smith,ShahidShaikh,BettyTang,AppliedMaterials,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8682-61]

Novel MaterialsNovel ArF resist polymer to suppress the roughness formation in plasma etching processes,KeisukeKato,AtsushiYasuda,Shin-ichiMaeda,MitsubishiRayonCo.,Ltd.(Japan);TakujiUesugi,TakeruOkada,AkiraWada,SeijiSamukawa,TohokuUniv.(Japan). . . . . . . [8682-62]

Novel inorganic-organic hybrid polymer resists with a positive-tone behavior,DanielaTroetschel,GerhardDomann,Fraunhofer-InstitutfürSilicatforschung(Germany). . . . . . . . . . . . [8682-63]

tuesday 26 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

The exhibition will be open during the Poster Session on Tuesday only. Be sure to visit the exhibit booths during this time for insight on what is new and coming soon.The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

EUV lithography using water-developable resist material derived from biomass,SatoshiTakei,ToyamaPrefecturalUniv.(Japan);AkihiroOshima,OsakaUniv.(Japan);TakumiIchikawa,AtsushiSekiguchi,ToyamaPrefecturalUniv.(Japan);MikiKashiwakura,OsakaUniv.(Japan);TomokoG.Oyama,JapanAtomicEnergyAgency(Japan);TakahiroKozawa,SeiichiTagawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-64]

Polarization selective photoresist based on liquid crystals doped with a dichroic photoinitiator,M.P.Van,CeesW.M.Bastiaansen,DickJ.Broer,TechnischeUniv.Eindhoven(Netherlands)[8682-65]

Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL,MasatoshiEchigo,MasakoYamakawa,YumiOchiai,YuOkada,TakashiMakinoshima,MasaakiTakasuka,MitsubishiGasChemicalCo.,Inc.(Japan) . . . . . . . . . . . . [8682-66]

Preparation and properties of novel polymeric sulfonium photoacid generator,JuanLiu,LiyuanWang,BeijingNormalUniv.(China) . . . . . [8682-67]

High-scan speed EBL containing contact hole resists with low defectivity,DeyanWang,DowElectronicMaterials(UnitedStates);Tsung-JuYeh,Kai-LinChuang,ChiaMinChen,LianCongLiu,ChiaHungLin,ChunChiYu,UnitedMicroelectronicsCorp.(Taiwan);MingqiLi,ChunfengGuo,RickHardy,TomEstelle,Cheng-BaiXu,GeorgeG.Barclay,PeterTrefonasIII,KathleenM.O’Connell,DowElectronicMaterials(UnitedStates). [8682-68]

Electron dose reduction through improved adhesion by cationic organic material with HSQ resist on an InGaAs multilayer system on GaAs substrate,WilfriedErfurth,Max-Planck-InstitutfürMikrostrukturphysik(Germany);AndrewThompson,DisChem,Inc.(UnitedStates). . . . . . . . . . [8682-77

New negative resist design with novel photobase generator,Wen-YunWang,TaiwanSemiconductorManufacturingCo.,LTD(Taiwan);StevenWu,Yi-ChenSu,Chen-HaoWu,Ya-HuiChang,Ching-YuChang,Yao-ChingKu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . [8682-78]

Directly-photodefinable guiding layers: an update of simplified processes for lithographic patterning using directed self-assembly,JingCheng,RichardA.Lawson,Wei-MingYeh,NathanD.Jarnagin,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-81]

Postiive tone resists for sub-20nm patterning based on network deploymerization,AmenehCheshmehkani,RichardA.Lawson,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . [8682-82]

A universal scheme for direct thermal nanoimprint lithography of oxides,SamanSafariDinachali,A*STARInstituteofMaterialsResearchandEngineering(Singapore)andNationalUniv.ofSingapore(Singapore);MohammadS.M.Saifullah,A*STARInstituteofMaterialsResearchandEngineering(Singapore);RamakrishnanGanesan,BirliInstituteofTechnologyandScience,Pilani(India);ChaobinHe,A*STARInstituteofMaterialsResearchandEngineering(Singapore)andNationalUniv.ofSingapore(Singapore). . . . . . . . . [8682-83]

Novel ProcessingSelective laser ablation in resists and block copolymers for high-resolution lithographic patterning,DeidreL.Olynick,PradeepN.Perera,AdamM.Schwartzberg,StefanoCabrini,LawrenceBerkeleyNationalLab.(UnitedStates);NathanD.Jarnagin,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . [8682-69]

Fabrication of optical film derived from biomass using eco-friendly nanoimprint lithography,SatoshiTakei,ToyamaPrefecturalUniv.(Japan);GakuMurakami,RichellCorp.(Japan);AtsushiSekiguchi,ToyamaPrefecturalUniv.(Japan);TsutomuObata,YoshiyukiYokoyama,WataruMizuno,JunjiSumioka,ToyamaIndustrialTechnologyCtr.(Japan);YujiHorita,RichellCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-70]

High chi polymer development for DSA applications using RAFT technology,MichaelT.Sheehan,DuPont(UnitedStates);WilliamB.Farnham,DuPontElectronicPolymers(UnitedStates);HoangV.Tran,DupontCR&D(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-79]

Method of releasing silicon-based MEMS devices with hard-baked polyimide sacrificial layer,JavanehBoroumandAzad,ImenRezadad,RobertE.Peale,Univ.ofCentralFlorida(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-80]

Manufacturing ConsiderationsThe effects of reduced resist consumption process conditions on total raw defects, line and space defects, and single-line open defects at the 20nm node,ChristosKaranikas,GLOBALFOUNDRIESInc.(UnitedStates);JeongSooKim,GLOBALFOUNDRIESSingapore(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-72]

Point-of-use filter membrane selection, start-up, and conditioning for low-defect photolithography coatings,NickL.Brakensiek,BrewerScience,Inc.(UnitedStates);MichaelF.Cronin,Entegris,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8682-73]

Strategy for yield improvement with sub-10nm photochemical filtration,JenniferBraggin,Entegris,Inc.(UnitedStates);ColinJ.Brodsky,MichaelLinnane,PaulKlymko,IBMCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-74]

Effects of dispense equipment sequence on process start-up and defects,NickL.Brakensiek,BrewerScience,Inc.(UnitedStates);BrianW.Kidd,IntegratedDesigns,L.P.(UnitedStates);MichaelS.Sevegney,BarryGotlinsky,PallCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-75]

Page 37: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 35

Thefollowingposterswillbeondisplayafter10:00amonTuesday.TheinteractivepostersessionwithauthorsinattendancewillbeTuesdayeveningfrom6:00to8:00pm.

Allsymposiumattendeesareinvitedtoattendthepostersessions.Comeviewthehigh-qualitypapersthatarepresentedinthisalternativeformat,andinteractwiththeposterauthorwhowillbeavailablefordiscussion.Enjoylightrefreshmentswhilenetworkingwithcolleaguesinyourfield.Attendeesarerequiredtoweartheirconferenceregistrationbadgestothepostersessions.

Visit the onsite BookstoretobrowsethesenewSPIEPressBooks

www.spie.org/publications

Introduction to Semiconductor Manufacturing Technology, Second Editionby Hong XiaoVol.PM220

Integrated Optomechanical Analysis, Second EditionbyKeith B. Doyle, Victor L. Genberg, Gregory J. MichelsVol.PM223

Field Guide to Optomechanical Design and AnalysisbyKatie Schwertz, Jim BurgeVol.FG26

Field Guide to Lens DesignbyJulie Bentley, Craig OlsonVol.FG27

Optical Scattering: Measurements and Analysis, Third EditionbyJohn C. StoverVol.PM224

Maxwell’s Equations of Electrodynamics: An ExplanationbyDavid W. BallVol.PM232

Commercialization Basics for the Photonics IndustrybyDavid KrohnVol.PM234

Conf. 8685 Advanced Etch Technology for Nanopatterning IISuperselective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithography,ZuweiLiu,LawrenceBerkeleyNationalLab.(UnitedStates);XiaodanGu,Univ.ofMassachusettsAmherst(UnitedStates);DeidreL.Olynick,LawrenceBerkeleyNationalLab.(UnitedStates).............. [8685-23]

Double patterning with dual hard mask for 28nm node devices and below,HubertHody,VasileParaschiv,VecchioGuglielma,SabrinaLocorotondo,GustafLarsWinroth,RajaAthimulam,WernerBoullart,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . [8685-24]

Spin-on-carbon hardmask based on fullerene derivatives for high-aspect ratio etching,AlexP.Robinson,AndreasFrommhold,RichardE.Palmer,TheUniv.ofBirmingham(UnitedKingdom). . . . . . [8685-25]

Evaluating spin-on carbon materials at low-temperatures for high-wiggling resistance,MichaelWeigand,VandanaKrishnamurthy,YubaoWang,QinLin,DouglasGuerrero,BrandyL.Carr,SeanSimmons,BrewerScience,Inc.(UnitedStates). . . . . . . . [8685-26]

Sub-30nm TiN/Ti/HfOx pillar formed by tone reverse processes for RRAM applications,Wei-SuG.Chen,Peng-ShengChen,HongChihChen,Hung-WenWei,FrederickT.Chen,Tzu-KunKu,IndustrialTechnologyResearchInstitute(Taiwan). . . . . . . . . . . . . . . [8685-27]

Characteristics of selective PMMA etching for forming PS mask,MakotoSatake,TakuIwase,MasaruKurihara,NobuyukiNegishi,YasuhikoTada,HiroshiYoshida,Hitachi,Ltd.(Japan). . . . . . . . . . . . . [8685-28]

Yield enhancement of 3D NAND flash devices through broadband bright-field inspection of the channel hole process module,JungYoulLee,IlSeokSeo,Seong-MinMa,HyeonSooKim,Jin-WoongKim,SKHynix,Inc.(Korea,Republicof);DoOhKim,KLA-TencorCorp.(UnitedStates);AndrewJ.Cross,KLA-TencorEngland(UnitedKingdom);JorgeP.Fernandez,KLA-TencorCorp.(UnitedStates).......... [8685-29]

Introduction of an advanced dual hard mask stack for high resolution pattern transfer,JanPaul,MatthiasRudolph,StefanRiedel,XaverThrun,Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);StephanWege,Plasway(Germany);ChristophK.Hohle,Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8685-30]

The importance of lithography and advanced etch techniques for nanofabrication of MOS capacitor with HfO2,MelkamuA.Belete,RoyalInstituteofTechnology(KTH)(Sweden) . . . . . . . . . . . . . . [8685-31]

Page 38: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

36 SPIEAdvancedLithography2013 · www.spie.org/al

Wednesday 27 February

Session 7Room: Conv. Ctr. 210 B Wed 8:00 am to 9:40 am

OPC and ModelingSessionChairs:Emily E. Gallagher, IBMCorp.(United

States);Eric M. Panning, IntelCorp.(UnitedStates)

8:00am:EUV multilayer defect compensation (MDC) by both absorber pattern modification and a new film deposition technique,LinyongPang,MasakiSatake,YingLi,DanpingPeng,PeterHu,VikramL.Tolani,AnthonyD.Vacca,BobGleason,LuminescentTechnologies(UnitedStates). . . . . . . . . . . . [8679-29]

8:20am:Evaluation of methods to improve EUV OPC model accuracy,TamerH.Coskun,ChrisH.Clifford,GermainL.Fenger,GLOBALFOUNDRIESInc.(UnitedStates);GekSoonChua,GLOBALFOUNDRIESSingapore(Singapore);KeithP.Standiford,RalphE.Schlief,CraigD.Higgins,YiZou,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-30]

8:40am:Fast 3D thick mask model for full-chip EUVL simulations,PengLiu,XiaoboXie,WeiLiu,BrionTechnologies,Inc.(UnitedStates)andASMLUS,Inc.(UnitedStates);KeithD.Gronlund,BrionTechnologies,Inc.(UnitedStates) . . . . . [8679-31]

9:00am:Position dependent process and proximity correction and verification for extreme-ultraviolet lithography,AnweiLiu,CadenceDesignSystems,Inc.(UnitedStates);HuixiongDai,AppliedMaterials,Inc.(UnitedStates);Hsu-TingHuang,AliMokhberi,XinZheng,CadenceDesignSystems,Inc.(UnitedStates);ChrisS.Ngai,AppliedMaterials,Inc.(UnitedStates). . . . [8679-32]

9:20am:Modeling strategies for EUV mask multilayer defect dispositioning and repair,AndreasErdmann,PeterEvanschitzky,Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany);TristanBret,CarlZeissSMSGmbH(Germany);RikJonckheere,IMEC(Belgium). . . . . [8679-33]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Wed9:40amto10:10am

Session 6Room: Conv. Ctr. 230 B Wed 8:00 am to 9:50 am

DSA Metrology and Inspection: Joint Session with Conferences 8680 and 8681

SessionChairs:Joy Y. Cheng, IBMAlmadenResearchCtr.(UnitedStates);Martha I. Sanchez, IBMAlmadenResearch

Ctr.(UnitedStates)

8:00am:Defect source analysis of directed self-assembly process (DSA of DSA) (Invited Paper),VenkatR.Nagaswami,RyotaHarukawa,MayurSuri,StephaneDurant,JorgeP.Fernandez,AndrewJ.Cross,KLA-TencorCorp.(UnitedStates);PaulinaA.RinconDelgadillo,Univ.ofChicago(UnitedStates)andIMEC(Belgium);RoelGronheid,IMEC(Belgium). . . . . . . . . . . . [8680-20]

8:30am:Line-edge roughness in directed self assembly,RicardoRuiz,LeiWan,ElizabethA.Dobisz,KanaiyalalC.Patel,Yves-AndreChapuis,HGST(UnitedStates);TakuIwase,MasaruKurihara,HiroshiYoshida,Hitachi,Ltd.(Japan);ThomasR.Albrecht,HGST(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-21]

8:50am:Application of optical CD metrology for alternative lithography,MasafumiAsano,AkikoKawamoto,ToshibaCorp.(Japan);KazutoMatsuki,ToshibaMachineCo.,Ltd.(Japan);StephaneGodny,NovaMeasuringInstrumentsLtd.(Israel);TingshengLin,KoichiWakamoto,NovaMeasuringInstrumentsK.K.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-29]

9:10am:Hybrid approach to optical CD metrology of directed self-assembly contacts,StephaneGodny,NovaMeasuringInstrumentsLtd.(Israel);MasafumiAsano,ToshibaCorp.(Japan);KoichiWakamoto,TimLin,NovaMeasuringInstrumentsLtd.(Israel);AkikoKawamoto,ToshibaCorp.(Japan);KazutoMatsuki,ToshibaMachineCo.,Ltd.(Japan);CornelBozdog,NovaMeasuringInstrumentsInc.(UnitedStates);RonenUrensky,RenanMilo,NovaMeasuringInstrumentsLtd.(Israel). . . . . . . . . . . . . . . . . . [8681-30]

9:30am:Three-dimensional characterization of block copolymer lithography patterns using resonant x-ray scattering,R.JosephKline,DanielF.Sunday,Wen-liWu,NationalInstituteofStandardsandTechnology(UnitedStates);GilaE.Stein,Univ.ofHouston(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-22]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Wed9:50amto10:30am

Session 8Room: Conv. Ctr. 230 B Wed 8:00 am to 9:50 am

DSA Metrology and Inspection: Joint Session with Conferences 8680 and 8681

SessionChairs:Joy Y. Cheng, IBMAlmadenResearchCtr.(UnitedStates);Martha I. Sanchez, IBMAlmadenResearch

Ctr.(UnitedStates)

8:00am:Defect source analysis of directed self-assembly process (DSA of DSA) (Invited Paper),VenkatR.Nagaswami,RyotaHarukawa,MayurSuri,StephaneDurant,JorgeP.Fernandez,AndrewJ.Cross,KLA-TencorCorp.(UnitedStates);PaulinaA.RinconDelgadillo,Univ.ofChicago(UnitedStates)andIMEC(Belgium);RoelGronheid,IMEC(Belgium). . . . . . . . . . . . [8680-20]

8:30am:Line-edge roughness in directed self assembly,RicardoRuiz,LeiWan,ElizabethA.Dobisz,KanaiyalalC.Patel,Yves-AndreChapuis,HGST(UnitedStates);TakuIwase,MasaruKurihara,HiroshiYoshida,Hitachi,Ltd.(Japan);ThomasR.Albrecht,HGST(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-21]

8:50am:Application of optical CD metrology for alternative lithography,MasafumiAsano,AkikoKawamoto,ToshibaCorp.(Japan);KazutoMatsuki,ToshibaMachineCo.,Ltd.(Japan);StephaneGodny,NovaMeasuringInstrumentsLtd.(Israel);TingshengLin,KoichiWakamoto,NovaMeasuringInstrumentsK.K.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-29]

9:10am:Hybrid approach to optical CD metrology of directed self-assembly contacts,StephaneGodny,NovaMeasuringInstrumentsLtd.(Israel);MasafumiAsano,ToshibaCorp.(Japan);KoichiWakamoto,TimLin,NovaMeasuringInstrumentsLtd.(Israel);AkikoKawamoto,ToshibaCorp.(Japan);KazutoMatsuki,ToshibaMachineCo.,Ltd.(Japan);CornelBozdog,NovaMeasuringInstrumentsInc.(UnitedStates);RonenUrensky,RenanMilo,NovaMeasuringInstrumentsLtd.(Israel). . . . . . . . . . . . . . . . . . [8681-30]

9:30am:Three-dimensional characterization of block copolymer lithography patterns using resonant x-ray scattering,R.JosephKline,DanielF.Sunday,Wen-liWu,NationalInstituteofStandardsandTechnology(UnitedStates);GilaE.Stein,Univ.ofHouston(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-22]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Wed9:50amto10:40am

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 39: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 37

Wednesday 27 February

Room: Conv. Ctr. 211 B 8:10 am to 8:20 am

Opening RemarksSessionChair:Mark E. Mason, TexasInstrumentsInc.

(UnitedStates)

Session 1Room: Conv. Ctr. 211 B

Wed 8:20 am to 10:05 am

Keynote SessionSessionChairs:Mark E. Mason, TexasInstrumentsInc.

(UnitedStates);John L. Sturtevant, MentorGraphicsCorp.(UnitedStates)

8:20am:The future of lithography and its impact on design (Keynote Presentation),ChrisA.Mack,lithoguru.com(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-1]

8:55am:DFM: fabless perspective (Keynote Presentation),JasonP.Cain,AdvancedMicroDevices,Inc.(UnitedStates) . . . [8684-2]

9:30am:DFM: foundry perspective (Keynote Presentation),LuigiCapodieci,GLOBALFOUNDRIESInc.(UnitedStates) . . . . [8684-3]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . .Wed10:05amto10:40am

Session 5Room: Conv. Ctr. 210 C

Wed 8:00 am to 10:00 am

Source and Mask Optimization (SMO) IISessionChairs:Kazuhiro Takahashi, CanonInc.(Japan);

Geert Vandenberghe, IMEC(Belgium)

8:00am:Enabling reverse-tone imaging for via levels using attenuated phase-shift mask and source optimization,BassemHamieh,STMicroelectronics(UnitedStates);HyunCholChoi,SAMSUNGElectronicsCo.,Ltd.(UnitedStates);BurcinErenturk,GLOBALFOUNDRIESInc.(UnitedStates);WeiGuo,IBMCorp.(UnitedStates);AymanHamouda,HuikanLiu,GLOBALFOUNDRIESInc.(UnitedStates);GregoryR.McIntyre,AlbanyNanoTech(UnitedStates);JasonMeiring,IBMCorp.(UnitedStates);DavidMoreau,STMicroelectronics(UnitedStates);AlanThomas,AlexanderWei,IBMCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . [8683-19]

8:20am:Introducing a novel flow to estimate challenges encountered while transitioning from RET development to manufacturable Solution,AasutoshDave,MentorGraphicsCorp.(UnitedStates);YongWahCheng,GLOBALFOUNDRIESSingapore(Singapore);OmarElsewefy,MentorGraphicsCorp.(UnitedStates);YingGong,GLOBALFOUNDRIESSingapore(Singapore);RobinChia,PatJ.Lacour,MentorGraphicsCorp.(UnitedStates);YeeMeiFoong,GLOBALFOUNDRIESSingapore(Singapore). . . . [8683-20]

8:40am:Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node,JinChoi,RaeWonLee,In-YongKang,Ji-HyeonChoi,JiSoongPark,Byung-GookKim,Chan-UkJeon,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-21]

9:00am:The impact of realistic source shape and flexibility on source-mask optimization,HajimeAoyama,YasushiMizuno,NoriyukiHirayanagi,NikonCorp.(Japan);HiroIzumi,KeiichiTajima,NihonSynopsysG.K.(Japan);JoachimSiebert,WolfgangDemmerle,SynopsysGmbH(Germany);TomoyukiMatsuyama,NikonCorp.(Japan).............................. [8683-22]

9:20am:Source and mask optimization to mitigate hotspots in etch process,YukoKono,YasunobuKai,SayakaTamaoki,KazuyukiMasukawa,TakakiHashimoto,TaikiKimura,RyotaAburada,ToshiyaKotani,ToshibaCorp.(Japan). . . . . . . [8683-23]

9:40am:Global source optimization for MEEF and OPE,RyotaMatsui,TomoyaNoda,NaonoriKita,TomoyukiMatsuyama,NikonCorp.(Japan);DonisG.Flagello,NikonResearchCorp.ofAmerica(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-24]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . .Wed10:00amto10:30am

Session 8Room: Marriott San Jose Ballroom Salon III

Wed 8:00 am to 10:00 am

Novel Patterning MaterialsSessionChairs:Daniel P. Sanders, IBMAlmadenResearchCtr.(UnitedStates);Ramakrishnan Ayothi, JSRMicro,Inc.

(UnitedStates)

8:00am:New spin-on metal hardmask materials for lithography processes,HuirongYao,SalemMullen,ElizabethWolf,DalilRahman,ClementT.Anyadiegwu,DouglasS.Mckenzie,JoonyeonCho,MunirathnaPadmanaban,AZElectronicMaterialsUSACorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8682-27]

8:20am:Development of KrF hybrid resist for a dual-isolation application,StevenJ.Holmes,Kuang-JungChen,SenLiu,Wu-songHuang,RaneeKwong,ChungsiJ.Wu,MatthewColburn,KangguoCheng,BruceDoris,IBMCorp.(UnitedStates);QingLiu,STMicroelectronics(UnitedStates);LaurentGrenouillet,MaudVinet,CEA-LETI(France);GregoryBreyta,IBMAlmadenResearchCtr.(UnitedStates);ScottLuning,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8682-28]

8:40am:Novel photoresists formed by molecular layer deposition for sub-100nm patterning,HanZhou,StaceyF.Bent,StanfordUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . .[8682-29]

9:00am:Long wavelength and chemically amplified photobase generators,WilliamK.Bell,WilliamH.Heath,CarltonGrantWillson,TheUniv.ofTexasatAustin(UnitedStates). . . . . . . . . . . .[8682-30]

9:20am:Novel patternable and conducting metal-polymer nanocomposite: a step toward advanced mutlifunctional materials,PedroJavierRodríguez-Cantó,MariluzMartinez-Marco,Univ.deValència(Spain);RafaelAbargues,IntenanomatS.L.(Spain);VictorLatorre-Garrido,JuanP.Martínez-Pastor,Univ.deValència(Spain). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8682-31]

9:40am:Metal-polymer nanocomposite resists: a step toward in situ nanopatterns metallization,RafaelAbargues,IntenanomatS.L.(Spain);MariluzMartinez-Marco,PedroJavierRodríguez-Cantó,JoseMarques-Hueso,JuanP.Martinez-Pastor,Univ.deValència(Spain). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8682-32]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Wed10:00amto10:30am

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Page 40: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

38 SPIEAdvancedLithography2013 · www.spie.org/al

Wednesday 27 February

Session 8Room: Conv. Ctr. 210 B

Wed 10:10 am to 12:10 pm

EUV ResistsSessionChairs:Chris S. Ngai, AppliedMaterials,Inc.(United

States);Thomas I. Wallow, GLOBALFOUNDRIESInc.(UnitedStates)

10:10am:Development status of EUV resist to break the triangle,TakanoriKawakami,JSRCorp.(Japan);KenjiHoshiko,JSRMicroN.V.(Belgium);KenMaruyama,JSRMicro,Inc.(UnitedStates);MakotoShimizu,TooruKimura,JSRCorp.(Japan)[8679-34]

10:30am:Evaluation of EUV resist performance with interference lithography in the range of 22nm to 7nm half-pitch,YasinEkinci,MichaelaVockenhuber,MohamadHojeij,LiWang,NassirM.Mojarad,PaulScherrerInstitut(Switzerland). . [8679-35]

10:50am:Resist process applications to improve EUV patterning,KarenE.Petrillo,SEMATECHNorth(USA);TakashiSaito,TELTechnologyCtr.,America,LLC(USA);KyoungyongCho,AlexanderFritz,CeciliaMontgomery,DominicAshworth,MarkNeisser,StefanWurm,SEMATECHNorth(USA);LiorHuki,AkiteruKo,MetzAndrew,TELTechnologyCtr.,America,LLC(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-36]

11:10am:Development of molecular resists derivatives for EUV lithography,PatrickGreen,VipulJain,BradBailey,TheDowChemicalCo.(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . [8679-37]

11:30am:Relationship between stochastic effect and resist pattern defect in extreme-ultraviolet lithography,TakahiroKozawa,OsakaUniv.(Japan);JuliusJosephS.Santillan,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan) [8679-38]

11:50am:EUV-sensitive Si containing hard mask (Si-HM) for PTD and NTD process in EUVL,WataruShibayama,ShuheiShigaki,RikimaruSakamoto,NissanChemicalIndustries,Ltd.(Japan);RyujiOnishi,NissanChemicalIndustries,Ltd.(Japan)andEUVLInfrastructureDevelopmentCtr.,Inc.(Japan);HiroakiYaguchi,NissanChemicalIndustries,Ltd.(Japan)andIMEC(Belgium);Bang-ChingHo,NissanChemicalIndustries,Ltd.(Taiwan). . . . [8679-39]

Lunch/ExhibitionBreak. . . . . . . . . . . . . . Wed12:10pmto1:40pm

Session 7Room: Conv. Ctr. Hall 3

Wed 10:30 am to 12:00 pm

E-Beam Direct-Write for High-Voluime Manufacturing II

SessionChairs:Shy-Jay Lin, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan); Hans Loeschner, IMS

NanofabricationAG(Austria

10:30am:MAPPER: progress toward a high-volume manufacturing system,MarcoWieland,GuidodeBoer,RemcoJ.A.Jager,JerryJ.M.Peijster,ErwinSlot,StijnW.H.K.Steenbrink,MichelDansberg,MAPPERLithography(Netherlands) . . [8680-23]

11:00am:Quantifying throughput improvements for electron-beam lithography using a suite of benchmark patterns,JohnG.Hartley,StateUniv.ofNewYorkatAlbany(UnitedStates);NigelC.Crosland,RobertC.DowlingJr.,VistecLithography,Inc.(UnitedStates);PhilipC.Hoyle,IndependentConsultanttoVistecLithography,Inc.(UnitedKingdom);AndrewMcClelland,CambeamSystemsDesignServices,Ltd.(UnitedKingdom);MartinTurnidge,JamesH.SmithII,VistecLithography,Inc.(UnitedStates)[8680-24]

11:20am:Data delivery system for MAPPER using image compression,JeehongYang,QualcommInc.(UnitedStates);SerapA.Savari,TexasA&MUniv.(UnitedStates) . . . . . . . . . . . [8680-25]

11:40am:Status of chemically amplified resists performances to address line-width roughness and local CD uniformity specifications for the MAPPER MATRIX pre-production platform,LaurentPain,BéatriceIcard,ClaireSourd,CEA-LETI-Minatec(France);JulienJussot,Univ.JosephFourier(France);PabloWiedemann,AbdiFarah,MAPPERLithography(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-26]

Lunch/ExhibitionBreak. . . . . . . . . . . . . Wed12:00pmto1:20pm

Session 9Room: Conv. Ctr. 230 B

Wed 10:40 am to 12:00 pm

Optical ExtensionsSessionChairs:Matthew J. Sendelbach, NovaMeasuring

Instruments,Inc.(UnitedStates);Timothy F. Crimmins, IntelCorp.(UnitedStates)

10:40am:Sub-nanometer parametric uncertainties using through-focus and angle-resolved optical metrology,RichardM.Silver,JingQin,BryanM.Barnes,HuiZhou,RonaldG.Dixson,FrancoisGoasmat,NationalInstituteofStandardsandTechnology(UnitedStates);AbrahamArceo,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8681-31]

11:00am:TSV reveal height and bump dimension metrology by the TSOM method,VictorH.Vartanian,SEMATECHNorth(UnitedStates);RavikiranAttota,NationalInstituteofStandardsandTechnology(UnitedStates);SteveOlson,RobertEdgeworth,PeteMoschak,IqbalAli,CraigHuffman,HarryLazier,ElizabethLorenzini,SEMATECHNorth(UnitedStates) . . . . . . . . . . . . . . . . . . .[8681-32]

11:20am:Use of TSOM for sub-11nm node pattern defect detection and HAR features,AbrahamArceo,BenjaminD.Bunday,SEMATECHNorth(UnitedStates);RavikiranAttota,NationalInstituteofStandardsandTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8681-33]

11:40am:Robustness analysis of nonlinear phase retrieval from single-intensity measurement,AlessandroPolo,SilvaniaF.Pereira,H.PaulUrbach,TechnischeUniv.Delft(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8681-34]

Lunch/ExhibitionBreak. . . . . . . . . . . . . . Wed12:00pmto1:20pm

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 41: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 39

Wednesday 27 February

Session 2Room: Conv. Ctr. 211 B

Wed 10:40 am to 11:40 am

DFDP: Design for MultipatterningSessionChairs:Lars W. Liebmann, IBMCorp.(United

States);Juan-Antonio Carballo, BroadcomCorp.(UnitedStates)

10:40am:Diffraction pattern-based optimization of lithographic targets for improved printability,ShayakBanerjee,IBMCorp.(UnitedStates);KanakB.Agarwal,IBMAustinResearchLab.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-4]

11:00am:Self-aligned double-patterning friendly configuration for standard cell library considering placement impact,Jhih-RongGao,BeiYu,TheUniv.ofTexasatAustin(UnitedStates);RuHuang,PekingUniv.(China);DavidZ.Pan,TheUniv.ofTexasatAustin(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-6]

11:20am:Evaluation of cost-driven triple-patterning lithography decomposition,HaitongTian,Univ.ofIllinoisatUrbana-Champaign(UnitedStates);HongboZhang,Synopsys,Inc.(UnitedStates);MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-7]

LunchBreak . . . . . . . . . . . . . . . . . . . . . . Wed11:40amto1:40pm

Session 6Room: Conv. Ctr. 210 C

Wed 10:30 am to 11:50 am

Process Technology ISessionChairs:Pary Baluswamy, MicronTechnology,Inc.(UnitedStates);Wilhelm Maurer, InfineonTechnologiesAG

(Germany)

10:30am:Integrated scatterometry for tight overlay and CD control to enable 20nm node wafer manufacturing.,JosP.Benschop,AndreEngelen,HugoCramer,MichaelKubis,PaulC.Hinnen,HansvanderLaan,KaustuveBhattacharyya,JanMulkens,ASMLNetherlandsB.V.(Netherlands) . . . . . . . . . . . . . . . [8683-25]

10:50am:Mix-and-match overlay performance of the NSR-S622D immersion scanner,KatsushiMakino,TakahisaKikuchi,ShinjiWakamoto,SatoruSasamoto,HongkiPark,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-26]

11:10am:Interaction of scanner baseline correction, process correction, and wafer alignment on process overlay,KoenD’havé,DavidLaidler,PhilippeJ.Leray,ShauneeY.Cheng,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-27]

11:30am:Lithography imaging control by enhanced monitoring of light source performance,PaoloAlagna,Cymer,Inc.(Belgium);OmarZurita,JoshuaJ.Thornes,Cymer,Inc.(UnitedStates);KoenD’havé,LieveVanLook,JoostP.M.Bekaert,IMEC(Belgium);NakgeuonSeong,GregoryRechsteiner,IvanLalovic,Cymer,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-28]

Lunch/ExhibitionBreak. . . . . . . . . . . . . .Wed11:50amto1:20pm

Session 9Room: Marriott San Jose Ballroom Salon III

Wed 10:30 am to 12:10 pm

E-Beam Patterning MaterialsSessionChairs:Luisa D. Bozano, IBMAlmadenResearch

Ctr.(UnitedStates);Roel Gronheid, IMEC(Belgium)

10:30am:Advanced electron-beam resist requirements and challenges,AndrewT.Jamieson,BennettW.Olson,MaiyingLu,NathanE.Wilcox,IntelCorp.(UnitedStates). . . . . . . . . . [8682-33]

10:50am:Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct-write lithography,XaverThrun,Kang-HoonChoi,MartinFreitag,ManuelaS.Gutsch,ChristophK.Hohle,KatjaSteidel,Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);DouglasGuerrero,BrewerScience,Inc.(UnitedStates);ThiagoR.Figueiro,AseltaNanographics(France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-34]

11:10am:Sub-14 nm HSQ line patterning by e-beam dose proximity effect correction assisted with designed line CD/pitch split,Wei-SuG.Chen,IndustrialTechnologyResearchInstitute(Taiwan);Chu-YaYang,BASFElectronicMaterialsTaiwanLtd.(Taiwan);ChiungYuLo,Hung-WenWei,FrederickT.Chen,Tzu-KunKu,IndustrialTechnologyResearchInstitute(Taiwan)[8682-35]

11:30am:The evaluation of photo/e-beam complementary grayscale lithography for high-topography 3D structure,LiyaYu,RichardKasica,LeiChen,RobertNewby,KerrySiebein,VincentLuciani,NationalInstituteofStandardsandTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-36]

11:50am:TBD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-84]

Lunch/ExhibitionBreak. . . . . . . . . . . . . Wed12:10pmto1:30pm

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Page 42: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

40 SPIEAdvancedLithography2013 · www.spie.org/al

Wednesday 27 February

Session 9Room: Conv. Ctr. 210 B

Wed 1:40 pm to 3:20 pm

High NA and MagnificationSessionChairs:Ted Liang, IntelCorp.(UnitedStates);Jan

Hendrik Peters, CarlZeissSMSGmbH(Germany)

1:40pm:Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and demagnification (Invited Paper),JensT.Neumann,PaulGräupner,WinfriedM.Kaiser,ReinerGarreis,CarlZeissSMTGmbH(Germany);BerndGeh,CarlZeissSMTInc.(UnitedStates)[8679-40]

2:10pm:Considerations for high-numerical aperture EUV (Invited Paper),HarryJ.Levinson,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-41]

2:40pm:Projection optics for EUVL micro-field exposure tools with a numerical aperture of 0.5,HolgerK.Glatzel,ZygoCorp.(UnitedStates);DominicAshworth,SEMATECHNorth(UnitedStates);MarkBremer,RodneyChin,ZygoCorp.(UnitedStates);KevinCummings,SEMATECHNorth(UnitedStates);LucGirard,ZygoCorp.(UnitedStates);MichaelGoldstein,SEMATECHNorth(UnitedStates);EricM.Gullikson,LawrenceBerkeleyNationalLab.(UnitedStates);RussellHudyma,HyperionDevelopmentLLC(UnitedStates);JameKennon,RobertKestner,LouisA.Marchetti,ZygoCorp.(UnitedStates);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates);ReginaSoufli,LawrenceLivermoreNationalLab.(UnitedStates);EberhardA.Spiller,SpillerX-RayOptics(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-42]

3:00pm:2D EUV mask shadowing requirements for sub-14nm node devices,SudharshananRaghunathan,ObertR.WoodII,GLOBALFOUNDRIESInc.(UnitedStates);GregoryR.McIntyre,IBMCorp.(UnitedStates);GermainL.Fenger,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-43]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Wed3:20pmto3:50pm

Session 8Room: Conv. Ctr. Hall 3

Wed 1:20 pm to 3:10 pm

Nanoimprint ApplicationsSessionChairs:Elizabeth A. Dobisz, HGST(UnitedStates);John G. Maltabes, Hewlett-PackardLabs.(UnitedStates)

1:20pm:30nm nanochannels with plasmonic bowtie nano-antenna: wafer scale device fabrication and applications for biosensing,IreneFernandez-Cuesta,TechnicalUniv.ofDenmark(Denmark);EnricaMontinaro,ScottD.Dhuey,P.JamesSchuck,StefanoCabrini,LawrenceBerkeleyNationalLab.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-27]

1:50pm:Lithography challenges for 2 Tdpsi bit patterned media fabrication and beyond,ShuaigangXiao,XiaominYang,KimY.Lee,YautzongE.Hsu,KoichiWago,MichaelR.Feldbaum,PhilipSteiner,DavidS.Kuo,SeagateTechnologyLLC(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-28]

2:10pm:Meter-long substrate nanopatterning using rolling mask optical lithography,IanMcMackin,JosephB.GeddesIII,MuktiAryal,AlfredF.Renaldo,BorisKobrin,Rolith,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-29]

2:30pm:Fabrication of silicon lines with sub-25nm full pitch on 8-mm-wide circular tracks from directed self-assembly of PS-b-PMMA,LeiWan,RicardoRuiz,HeH.Gao,KanaiyalalC.Patel,ThomasR.Albrecht,HGST(UnitedStates);YiCao,JianYin,SungEunHong,GuanyangLin,AZElectronicMaterialsUSACorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-30]

2:50pm:High-performance wire grid polarizers using roll-based jet and flash imprint lithography,SeanAhn,MahadevanG.Subramanian,MichaelL.Miller,JackYang,ByungJinChoi,MarlonMenezes,FrankY.Xu,PaulHellebrekers,DwayneL.LaBrake,DouglasJ.Resnick,S.V.Sreenivasan,MolecularImprints,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-31]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:10pmto3:30pm

Session 10Room: Conv. Ctr. 230 B

Wed 1:20 pm to 3:10 pm

LER/LWRSessionChairs:Benjamin D. Bunday, SEMATECHNorth

(UnitedStates);Byoung-Ho Lee, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)

1:20pm:New methods for understanding and using metrology for line-edge roughness (Invited Paper),ChrisA.Mack,Lithoguru.com(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-35]

1:50pm:Development of a calibration standard for sidewall roughness,AaronCordes,BenjaminD.Bunday,SEMATECHNorth(UnitedStates);HughPorter,GLOBALFOUNDRIESInc.(UnitedStates);SeanHand,JasonOsborne,BrukerNanoInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-36]

2:10pm:Roughness of ArF and EUV resists with different radiation exposure as investigated using three tools: AFM, SEM, and ellipsometry,ByongChonPark,YongJaiCho,KoreaResearchInstituteofStandardsandScience(Korea,Republicof);InsungKim,JeonghoYeo,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-37]

2:30pm:Data fusion methodology dedicated to CD-SEM LWR measurement calibration,NiveaG.S.Figueiro,JohannFoucher,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-38]

2:50pm:Evaluation of methods for noise-free measurement of LER/LWR using synthesized SEM images,VassiliosConstantoudis,EvangelosGogolides,NationalCtr.forScientificResearchDemokritos(Greece). . . . . . . . . . . . . . . . . . . . [8681-120]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:10pmto3:40pm

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 43: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 41

Wednesday 27 February

Session 10Room: Marriott San Jose Ballroom Salon III

Wed 1:30 pm to 2:50 pm

EUV Materials, Processing, and AnalysisSessionChairs:Todd Ross Younkin, IntelCorp.(Belgium);

Yoshio Kawai, Shin-EtsuChemicalCo.,Ltd.(Japan)

1:30pm:Progress in resolution, sensitivity, and line-width roughness of EUV chemically-amplified resists,JamesW.Thackeray,VipulJain,JamesF.Cameron,PaulLaBeaume,SuzanneM.Coley,OwendiOngayi,AaronRachford,DowElectronicMaterials(UnitedStates);JohnJ.Biafore,Univ.deValència(Spain).[8682-39]

1:50pm:Negative-tone imaging process and materials for EUV lighography,ShinjiTarutani,TakanobuTakeda,WataruNihashi,ShuujiHirano,NatsumiYokokawa,HirooTakizawa,FUJIFILMCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8682-40]

2:10pm:Underlayer and rinse materials for improving EUV resist performance,GeorgPawlowski,GoNoya,YurikoMatsuura,MakiIshii,AZElectronicMaterials(Japan)K.K.(Japan);HuirongYao,SalemMullen,JoonyeonCho,MunirathnaPadmanaban,AZElectronicMaterialsUSACorp.(UnitedStates) . . . . . . . . .[8682-41]

2:30pm:High-absorbing resists based on trifluoromethacrylate-vinyl ether copolymers for EUV lithography,MatthewD.Christianson,OwendiOngayi,DavidValeri,MatthewM.Meyer,MikeD.Wagner,DowChemicalCo.(UnitedStates). . . . . . . . . .[8682-42]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed2:50pmto3:30pm

Session 7Room: Conv. Ctr. 210 C

Wed 1:20 pm to 3:00 pm

ModelingSessionChairs:Bernd Geh, CarlZeissSMTInc.(United

States);Xuelong Shi, SemiconductorManufacturingInternationalCorp.(China)

1:20pm:Solutions with precise prediction for thermal aberration error in low-k1 immersion lithography,KazuyaFukuhara,ToshibaCorp.(Japan);AkikoMimotogi,ToshibaMaterialsCo.,Ltd.(Japan);TakuyaKono,ToshibaCorp.(Japan);HajimeAoyama,TaroOgata,NaonoriKita,TomoyukiMatsuyama,NikonCorp.(Japan).[8683-29]

1:40pm:Compact OPC model optimization using emulated data,ArtakIsoyan,Synopsys,Inc.(UnitedStates);ThomasMülders,SynopsysGmbH(Germany);LawrenceS.MelvinIII,Synopsys,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8683-30]

2:00pm:A study on the automation of scanner matching,YuanHe,ScottL.Light,ErikR.Byers,CraigHickman,MicronTechnology,Inc.(UnitedStates);AlexanderSerebryakov,ASMLNetherlandsB.V.(Netherlands);VivekJain,RonaldJ.G.Goossens,Zhi-YuanNui,PeterEngblom,ASMLUS,Inc.(UnitedStates);ScottLarson,ASMLBoise(UnitedStates);BerndGeh,CarlZeissSMTInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8683-31]

2:20pm:Adjustment of image decomposition mode and reflection criterion focusing on critical dimension uniformity and exposure dose effectiveness under diffraction effects in optical microlithography using a digital micromirror device,ManseungSeo,HaeryungKim,TongmyongUniv.ofInformationTechnology(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8683-32]

2:40pm:Simulation of spacer-based SADP (self-aligned double-patterning) for 15nm half pitch,StewartA.Robertson,KLA-TencorTexas(UnitedStates);PatrickWong,VincentWiaux,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8683-33]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:00pmto3:30pm

Session 3Room: Conv. Ctr. 211 B

Wed 1:40 pm to 3:00 pm

Design Rules and RoutingSessionChairs:Luigi Capodieci, GLOBALFOUNDRIESInc.

(UnitedStates);Chi-Min Yuan, FreescaleSemiconductor,Inc.(UnitedStates)

1:40pm:Self-aligned double patterning compliant routing with in-design physical verification flow,Jhih-RongGao,TheUniv.ofTexasatAustin(UnitedStates);HarshdeepJawandha,PrasadAtkarc,AtulWalimbe,BikramBaidya,IntelCorp.(UnitedStates);DavidZ.Pan,TheUniv.ofTexasatAustin(UnitedStates) .[8684-8]

2:00pm:Pattern matching for identifying and resolving nondecomposition-friendly designs for double-patterning technology (DPT),LynnT.Wang,VitoDai,LuigiCapodieci,GLOBALFOUNDRIESInc.(UnitedStates) . . . . . . . . . . . . . .[8684-9]

2:20pm:Detailed routing with advanced flexibility and in compliance with self-aligned double-patterning constraints,FumiharuNakajima,ChikaakiKodama,ToshibaCorp.(Japan);HirotakaIchikawa,ToshibaMicroelectronicsCorp.(Japan);KoichiNakayama,ToshibaCorp.(Japan);ShigekiNojima,ToshibaMaterialsCo.,Ltd.(Japan);ToshiyaKotani,ToshibaCorp.(Japan);ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan);ShinjiMiyamoto,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8684-10]

2:40pm:Pioneering an on-the-fly simulation technique for the detection of layout-dependent effects during IC design phase,AmrM.S.T.Abdelwahed,MentorGraphicsEgypt(Egypt);RamiFathy,MentorGraphicsCorp.(Canada);AhmedRamadan,MentorGraphicsEgypt(Egypt). . . . . . . . . . . . . . . . . . . . . . . . . . . .[8684-11]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:00pmto3:30pm

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Page 44: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

42 SPIEAdvancedLithography2013 · www.spie.org/al

Session 10Room: Conv. Ctr. 210 B

Wed 3:50 pm to 5:50 pm

Mask IISessionChairs:Stanley E. Stokowski, KLA-

TencorCorp.(UnitedStates);Tsutomu Shoki, HOYACorp.(Japan)

3:50pm:Commissioning a new EUV Fresnel zoneplate mask-imaging microscope for lithography generations reaching 8nm,KennethA.Goldberg,IacopoMochi,MarkusP.Benk,JamesB.Macdougall,PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates)........... [8679-44]

4:10pm:The role of defect characterization in the progression toward defect-free EUV mask blanks,JenahHarris-Jones,EmilioStinzianni,C.C.Lin,TonmoyChakraborty,SEMATECHNorth(UnitedStates);ShuiqingHu,LarsMininni,ChanminSu,BrukerNanoInc.(UnitedStates). . . . . . . . [8679-45]

4:30pm:Experimental phase defect printability evaluation using a programmed phase defect in EUVL mask,TsuneoTerasawa,TsuyoshiAmano,SunghyunOh,TakeshiYamane,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-46]

4:50pm:EUV defect characterization using quantitative nanomechanical mechanical and nanoelectric mapping,ShuiqingHu,BrukerNanoInc.(UnitedStates);JenahHarris-Jones,SEMATECHNorth(UnitedStates);LarsMininni,BrukerNanoInc.(UnitedStates);TonmoyChakraborty,SEMATECHNorth(UnitedStates);ChanminSu,BrukerNanoInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8679-47]

5:10pm:Challenges in EUV mask blank deposition for high-volume manufacturing,VibhuJindal,PatrickA.Kearney,AlinO.Antohe,MiltonC.Godwin,ArunJ.Kadaksham,RanganathTeki,FrankGoodwin,SEMATECHNorth(UnitedStates)[8679-48]

5:30pm:Extending Ru capping layer durability under physical force cleaning,SherJangSingh,SUSSMicroTecInc.(UnitedStates). . . . . [8679-49]

Session 9Room: Conv. Ctr. Hall 3

Wed 3:30 pm to 5:20 pm

Design for Manufacturability for DSA: Joint Session with Conferences 8680

and 8684SessionChairs:Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(UnitedStates);Lars W.

Liebmann, IBMCorp.(UnitedStates)

3:30pm:Directed self-assembly pattern generation of basic FinFET circuit constructs (Invited Paper),HsinyuTsai,HiroyukiMiyazoe,IBMThomasJ.WatsonResearchCtr.(UnitedStates);JoyY.Cheng,JedW.Pitera,IBMAlmadenResearchCtr.(UnitedStates);Chi-ChunLiu,StevenJ.Holmes,IBMAlbanyNanotech(UnitedStates);DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates);EricA.Joseph,IBMThomasJ.WatsonResearchCtr.(UnitedStates);LarsW.Liebmann,KafaiLai,IBMCorp.(UnitedStates);MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr(UnitedStates). . . . . . . . . . . . . . . . . . . . . [8680-32]

4:00pm:Rethinking ASIC design with next-generation lithography and process integration,KaushikVaidyanathan,CarnegieMellonUniv.(UnitedStates);LarsW.Liebmann,KafaiLai,IBMCorp.(UnitedStates);AndrzejJ.Strojwas,LarryPileggi,CarnegieMellonUniv.(UnitedStates) . . . . . . . . . . . . . [8684-12]

4:20pm:Fabrication of deterministically isolated gratings through directed self-assembly of block copolymers,GregoryS.Doerk,JoyY.Cheng,CharlesT.Rettner,SrinivasanBalakrishnan,NoelArellano,MeliaTjio,HoaTruong,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates).....[8680-33]

4:40pm:Computational solution of inverse directed self-assembly problem,AzatM.Latypov,GLOBALFOUNDRIESInc.(UnitedStates) . . [8680-34]

5:00pm:Design strategy of small topographical guiding templates for sub-15nm integrated circuits contact hole patterns using block copolymer directed self assembly,HeYi,StanfordUniv.(UnitedStates);Xin-YuBao,StanfordUniv.(UnitedStates)andAppliedMaterials,Inc.,(UnitedStates);RichardTiberio,H.S.PhilipWong,StanfordUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-35]

Session 11Room: Conv. Ctr. 230 B

Wed 3:40 pm to 5:40 pm

OverlaySessionChairs:Ofer Adan, AppliedMaterials

(Israel);Christopher J. Raymond, NanometricsInc.(UnitedStates)

3:40pm:Mueller polarimetry in the back focal plane: new advances in overlay measurements,TatianaNovikova,BicherHajIbrahim,JacquelineTran,EcolePolytechnique(France);CyrilVannuffel,CEA-LETI(France);ChristopheConstancias,CEA-LETI-Minatec(France);AntonelloDeMartino,EcolePolytechnique(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-39]

4:00pm:Diffraction-based overlay and image-based overlay on production flow for advanced technology node,YoannBlancquaert,CEA-LETI(France);ChristopheDezauzier,STMicroelectronics(France);JeromeDepre,JanBeltman,MohamedMiqyass,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . [8681-40]

4:20pm:Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithm,Yen-LiangChen,TaiwanSemiconductorManufacturingCo.,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-41]

4:40pm:Fundamentals of overlay measurement and inspection using scanning electron microscope,TakeshiKato,OsamuInoue,YutakaOkagawa,SatoruYamaguchi,KojiArai,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-42]

5:00pm:DCM: device correlated metrology for overlay measurements,CharlieChen,GeorgeK.C.Huang,YuanChiPai,JimmyC.H.Wu,YuWeiCheng,SimonC.C.Hsu,ChunChiYu,UnitedMicroelectronicsCorp.(Taiwan);NurielAmir,KLA-TencorIsrael(Israel);DongsubChoi,KLA-TencorKorea(Korea,Republicof);TalItzkovich,KLA-TencorIsrael(Israel);DavidC.Tien,KLA-TencorCorp.(UnitedStates);ErosHuang,KellyT.L.Kuo,KLA-TencorTaiwan(Taiwan);YutakaOkagawa,HitachiHigh-TechnologiesCorp.(Japan);TakeshiKato,HitachiHigh-TechTradingCorp.(Japan);OsamuInoue,HitachiHigh-TechnologiesCorp.(Japan);LuisHuang,MatthewHsu,HitachiHigh-TechnologiesCorp.(Taiwan).[8681-43]

5:20pm:In-die overlay metrology by using CD-SEM, OsamuInoue,TakeshiKato,YutakaOkagawa,HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8681-70]

Wednesday 27 February

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Room: Conv. Ctr. 230 B 7:30 pm to 9:00 pm

Panel DiscussionMaking a Business Case for Disruptive Metrology Technologies: What Should

We Invest In?

Panelists: John A. Allgair, GLOBALFOUNDRIESInc.;Eric Solecky,IBMCorp.;Michael Grumski, IntelCorp.;

R. Joseph Kline, NationalInstituteofStandardsandTechnology;David K.

Lam,MultibeamCorp.;Mingwei Li, KLA-TencorCorp.

Moderators: Alok Vaid,GLOBALFOUNDRIES,Inc.;Benjamin D. Bunday,SEMATECHNorth;Matthew

J. Sendelbach,NovaMeasuringInstruments,Inc.

Continuingdecreaseinthedevicedimensions,combinedwithcomplexdisruptivematerialsand3Darchitectureshaveplacedincreasingdemandsonmetrologytools.Overtheyears,theindustryhasimplementedseveralinnovativesolutionstoalleviatethesechallenges,butmostofthemhavebeenincrementalimprovementsratherthanrevolutionary.Thereseemstobeinertiapreventingtheadoptionofrevolutionaryanddisruptivemeasurementtechniques,someofwhichhavebeeninthelimelightforaboutadecade.Thepanelwillfocusonthreekeydisruptivesolutionswhichhavebeenidentifiedaspotentialnext-generationmetrologyandinspectiontechnologiesforsometime–CD-SAXS,Multi-ebeam-basedinspection,andHe-ionimaging.OurpanelofexpertswillcomefromamixofICmanufacturers,suppliers,academia,andresearchconsortia.Panelistswillreviewthetechnical,businessandfinancialaspectsofthesetechnologiesandalsotrytoformaconsensusonwhethertheyarereallyneededtomeetcurrentindustryrequirements.Thepanelwillrecommendwhethertheindustryshouldcontinuetoinvestinthesetechnologies,andifso,thenwhatitwill“actually”taketogetthemimplementedinHVM.

Page 45: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 43

Session 8Room: Conv. Ctr. 210 C

Wed 3:30 pm to 5:30 pm

Process Technology IISessionChairs:Sukjoo Lee, SAMSUNGElectronicsCo.,

Ltd.(Korea,Republicof);Nigel R. Farrar, Cymer,Inc.(UnitedStates)

3:30pm:A comparative study of self-aligned quadruple and sextuple patterning techniques for sub-15nm IC scaling,YijianChen,WeilingKang,QiCheng,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-34]

3:50pm:Grayscale lithography: 3D structuring and thickness control,MarcelHeller,DieterKaiser,MaikStegemann,JensSchneider,NicoloMorgana,GeorgHolfeld,DanielSarlette,InfineonTechnologiesDresden(Germany). . . . . . . . . . . . . . . . . . . [8683-35]

4:10pm:Modification of an attenuated phase-shift mask for single-exposure double and multiple patterning,FrederickT.Chen,Wei-SuG.Chen,Ming-JinnTsai,Tzu-KunKu,IndustrialTechnologyResearchInstitute(Taiwan). . . . . . . . . . . . . . [8683-36]

4:30pm:Avoiding wafer-print artifacts in spacer is dielectric (SID) patterning,GerardLuk-Pat,BenjaminD.Painter,AlexanderMiloslavsky,Synopsys,Inc.(UnitedStates);PeterDeBisschop,IMEC(Belgium);AdamBeacham,Synopsys,Inc.(Canada);KevinLucas,Synopsys,Inc.(UnitedStates). . . . . . . . . . . . . . . . [8683-37]

4:50pm:Best focus shift mitigation for extending the depth of focus,AnnaSzucs,JonathanPlanchotJr.,VincentFarys,EmekYesilada,ClovisAlleaume,STMicroelectronics(France);LaurentDepre,RusselJ.Dover,BrionTechnologies,Inc.(UnitedStates);CecileGourgon,MaximeBesacier,CEA-LETI(France);AngeliqueNachtwein,PaulRusu,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-38]

5:10pm:Wafer sublayer impact in OPC/ORC models for 2xnm node implant layers,ElodieSungauer,Jean-ChristopheMichel,Jean-ChristopheLeDenmat,EmekYesilada,FredericRobert,STMicroelectronics(France);SongLan,MuFeng,XiaoboXie,LaurentDepre,RusselJ.Dover,ASMLUS,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-39]

Session 11Room: Marriott San Jose Ballroom Salon III

Wed 3:30 pm to 5:10 pm

Fundamental Studies of RLS BehaviorSessionChairs:Sean D. Burns, IBMCorp.(UnitedStates);Scott W. Jessen, TexasInstrumentsInc.(UnitedStates)

3:30pm:The impact on LWR of resist formulation parameters,KyoungyoungCho,MarkNeisser,SEMATECHNorth(UnitedStates);ShinjiTarutani,NaokiInoue,HideakiTsubaki,FUJIFILMCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-43]

3:50pm:Monitoring the evolution of line-edge roughness (LER) during development using an analog of quenched flow kinetics,MarthaI.Sanchez,LindaK.Sundberg,GregoryM.Wallraff,IBMAlmadenResearchCtr.(UnitedStates);WilliamD.Hinsberg,ColumbiaHillTechnicalConsulting(UnitedStates);RamakrishnanAyothi,YoshiHishiro,JSRMicro,Inc.(UnitedStates);LuisaD.Bozano,HoaTruong,IBMAlmadenResearchCtr.(UnitedStates);KarenE.Petrillo,IBMCorp.(UnitedStates). . . . . . . . . . . [8682-44]

4:10pm:Breakthrough of RLS trade-off relation in EUV resists studied by picosecond and femtosecond pulse radiolysis,SeiichiTagawa,OsakaUniv.(Japan)andJapanScienceandTechnologyAgency(Japan);TakafumiKondoh,OsakaUniv.(Japan);SatoshiEnomoto,RaviJoshi,AkihiroOshima,OsakaUniv.(Japan)andJapanScienceandTechnologyAgency(Japan);JinfengYang,YoichiYoshida,OsakaUniv.(Japan) . . . . . . . . . . . . . . . . [8682-45]

4:30pm:Evaluation of sensitivity for positive-tone nonchemically and chemically amplified resists using ionized radiation: EUV, x-ray, electron, and ion-induced reactions,AkihiroOshima,OsakaUniv.(Japan)andJST-CREST(Japan);TomokoG.Oyama,JapanAtomicEnergyAgency(Japan)andJapanSocietyforthePromotionofScience(Japan);MasakazuWashio,WasedaUniv.(Japan);SeiichiTagawa,OsakaUniv.(Japan)andJST-CREST(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . [8682-46]

4:50pm:Study on dissolution behavior of polymer-bound and polymer-blended photo-acid generator (PAG) resists,HirokiYamamoto,TakahiroKozawa,SeiichiTagawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8682-47]

ConferenceEnd.

Session 4Room: Conv. Ctr. Hall 3

Wed 3:30 pm to 5:20 pm

Design for Manufacturability for DSA: Joint Session with Conferences 8680 and 8684

SessionChairs:Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(UnitedStates);Lars W. Liebmann, IBMCorp.

(UnitedStates)

3:30pm:Directed self-assembly pattern generation of basic FinFET circuit constructs (Invited Paper),HsinyuTsai,HiroyukiMiyazoe,IBMThomasJ.WatsonResearchCtr.(UnitedStates);JoyY.Cheng,JedW.Pitera,IBMAlmadenResearchCtr.(UnitedStates);Chi-ChunLiu,StevenJ.Holmes,IBMAlbanyNanotech(UnitedStates);DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates);EricA.Joseph,IBMThomasJ.WatsonResearchCtr.(UnitedStates);LarsW.Liebmann,KafaiLai,IBMCorp.(UnitedStates);MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-32]

4:00pm:Rethinking ASIC design with next-generation lithography and process integration,KaushikVaidyanathan,CarnegieMellonUniv.(UnitedStates);LarsW.Liebmann,KafaiLai,IBMCorp.(UnitedStates);AndrzejJ.Strojwas,LarryPileggi,CarnegieMellonUniv.(UnitedStates) . . . . . . . . . . . . . . . [8684-12]

4:20pm:Fabrication of deterministically isolated gratings through directed self-assembly of block copolymers,GregoryS.Doerk,JoyY.Cheng,CharlesT.Rettner,SrinivasanBalakrishnan,NoelArellano,MeliaTjio,HoaTruong,DanielP.Sanders,IBMAlmadenResearchCtr.(UnitedStates)............... [8680-33]

4:40pm:Computational solution of inverse directed self-assembly problem,AzatM.Latypov,GLOBALFOUNDRIESInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-34]

5:00pm:Design strategy of small topographical guiding templates for sub-15nm integrated circuits contact hole patterns using block copolymer directed self assembly,HeYi,StanfordUniv.(UnitedStates);Xin-YuBao,StanfordUniv.(UnitedStates)andAppliedMaterials,Inc.,(UnitedStates);RichardTiberio,H.S.PhilipWong,StanfordUniv.(UnitedStates)....... [8680-35]

Wednesday 27 February

Conference 8682

Advances in Resist Materials and Processing Technology XXX

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Page 46: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

44 SPIEAdvancedLithography2013 · www.spie.org/al

Wednesday 27 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm. All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be

available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

Impact of the phase defect structure on wafer printability and an actinic dark-field blank inspection signal,TsuyoshiAmano,TsuneoTerasawa,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . [8679-60]

Modeling studies on alternative EUV mask concepts for higher NA,AndreasErdmann,TimFühner,PeterEvanschitzky,Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany);JensT.Neumann,JohannesRuoff,PaulGräupner,CarlZeissSMTGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [8679-61]

Low-thermal expansion material cleaning and optimization for EUV blank deposition at SEMATECH,ArunJ.Kadaksham,TekiRanganatah,MatthewHouse,MiltonC.Godwin,PatrickA.Kearney,VibhuJindal,AlinO.Antohe,JenahHarris-Jones,AndyMa,FrankGoodwin,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8679-62]

Local area EUV mask patterning for native defect analysis,AdamLyons,Univ.atAlbany(UnitedStates);RanganathTeki,SEMATECHNorth(UnitedStates);JohnG.Hartley,Univ.atAlbany(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-63]

Evaluation of novel projection electron microscopy (PEM) optics for EUV mask inspection,RyoichiHirano,HidehiroWatanabe,SusumuIida,TsuyoshiAmano,TsuneoTerasawa,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);MasahiroHatakeyama,TakeshiMurakami,EBARACorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . [8679-64]

Registration error improvement of fiducial mark on EUVL mask with MIRAI EUV ABI prototype,TetsunoriMurachi,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . [8679-65]

Study of simulated projection electron microscope images of defects on EUV mask,SusumuIida,TsuyoshiAmano,RyoichiHirano,TsuneoTerasawa,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-66]

Development of 3D Monte Carlo simulations for predicting multilayer geometry of pit-type EUV defects,RobertF.SpiveyIII,RensselaerPolytechnicInstitute(UnitedStates);RanganathTeki,SEMATECHNorth(UnitedStates);Toh-MingLu,RensselaerPolytechnicInstitute(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-67]

Effect of phase defect shape for ABI signal intensity and printed CD on wafer with simulation,NoriakiTakagi,YukiyasuArisawa,TsuneoTerasawa,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan) . . . . . . . . . . . . . . . . . . . . [8679-68]

Mathematical model for calculating speckle contrast through focus,ReneA.Claus,Univ.ofCalifornia,Berkeley(UnitedStates)andLawrenceBerkeleyNationalLab.(UnitedStates);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(UnitedStates);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates)........... [8679-69]

Evaluating the effect of EUV multilayer buried defects on feature printability using a stochastic resist model,TreyGraves,KLA-TencorTexas(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8679-70]

Factors affecting resist-outgas qualification: dependence of contamination on dose, exposure time, and wafer area,CharlesTarrio,ShannonB.Hill,RobertF.Berg,StevenGrantham,NationalInstituteofStandardsandTechnology(UnitedStates);NadirS.Faradzhev,JohnsHopkinsUniv.(UnitedStates);ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-71]

Status of EUV radiometry at PTB,ChristianLaubis,AnnettBarboutis,MartinBiel,ChristianBuchholz,BenjaminDubrau,AndreasFischer,AnneHesse,JanaPuls,ChristianStadelhoff,VictorSoltwisch,FrankScholze,Physikalisch-TechnischeBundesanstalt(Germany) . . . . . . . . . . . . . [8679-72]

Hartmann wavefront sensor for EUV radiation,KlausMann,BernhardFlöter,TobiasMey,BerndSchäfer,Laser-Lab.Göttingene.V.(Germany);BarbaraKeitel,ElkePlönjes,KaiTiedtke,DeutschesElektronen-Synchrotron(Germany). . . . . . [8679-73]

A reverse design method for EUV lithography illumination system,YanqiuLi,QiuliMei,FeiLiu,BeijingInstituteofTechnology(China). . . [8679-74]

Patterning at 6.5nm wavelength using interference lithography,NassirM.Mojarad,MichaelaVockenhuber,LiWang,PaulScherrerInstitut(Switzerland);BerndTerhalle,TesaScribosGmbH(Germany);YasinEkinci,PaulScherrerInstitut(Switzerland) . . . . . . . . . . . . . . . . . [8679-75]

Simulation of the relationship between sensitivity and LWR in an EUV resist with photo-decomposable quencher,SuchitBhattarai,AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(UnitedStates);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates). . . [8679-76]

Relation between sensitivity and resolution in polymer bound PAG and polymer blend PAG,SatoshiEnomoto,TuanN.Dang,SeiichiTagawa,OsakaUniv.(Japan) . . . . . . . . . . . . . . . . . [8679-77]

Assessment of out-of-band radation from EUV AD1 and investigation of spectral sensitivity resists in the DUV region,KyoungyoungCho,AlexanderFriz,MarkNeisser,SEMATECHNorth(UnitedStates);Sang-InHan,ASMLUS,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-78]

Stochastic resist patterning simulation using PSM for EUV lithography,SeongchulHong,JinhoAhn,SeejunJeong,JaeUkLee,HanyangUniv.(Korea,Republicof);JonggulDoh,HanyangUniv.(Korea,Republicof)andSAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);SeungMinLee,JongseokKim,HanyangUniv.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-79]

Quencher distribution engineering of out-of-band insensitive EUV resists: experiments and stochastic simulation,Shang-ChiehChien,Shu-HaoChang,TSMCTaiwan(Taiwan);Jui-ChingWu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);JackJ.H.Chen,AnthonyYen,TSMCTaiwan(Taiwan). . . . . . . . . . . . . . . . . . . . . [8679-80]

Evaluation and prediction of resist sensitivity: chemically amplified resists for EB, 13.5nm EUV, and 6x-m EUV extension,TomokoG.Oyama,JapanAtomicEnergyAgency(Japan)andJapanSocietyforthePromotionofScience(Japan);AkihiroOshima,TuanN.Dang,OsakaUniv.(Japan)andJST-CREST(Japan);SatoshiEnomoto,OsakaUniv.(Japan);MasakazuWashio,WasedaUniv.(Japan);SeiichiTagawa,OsakaUniv.(Japan)andJST-CREST(Japan).................. [8679-81]

Study of LWR reduction and pattern collapse suppression for 16nm node EUV resists,EishiShiobara,YukikoKikuchi,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-82]

Reaction mechanisms of various chemically-amplified EUV and EB resist,SatoshiEnomoto,TuanN.Dang,AkihiroOshima,SeiichiTagawa,OsakaUniv.(Japan) . . . . . . . . . . . . . . . . . [8679-83]

Development of an atomic hydrogen system for treatment of EUV mask blanks,TylerMowll,CollegeofNanoscaleScience&Engineering(UnitedStates);ArunJ.Kadaksham,ZacharyR.Robinson,SEMATECHNorth(UnitedStates);SarahMead,CarlVentrice,CollegeofNanoscaleScience&Engineering(UnitedStates). . . . . . . . . . . . [8679-84]

Wednesday Poster Reception Sponsors

eption

Conf. 8679 Extreme Ultraviolet (EUV) Lithography IV

SessionChairs:Patrick P. Naulleau, LawrenceBerkeleyNationalLab.

(UnitedStates);Obert R. Wood II, GLOBALFOUNDRIESInc.(UnitedStates)

The limit of OAI and AttPSM in EUVL,Shinn-ShengYu,Yen-ChengLu,Chih-TsungShih,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);JackJ.H.Chen,AnthonyYen,TSMCTaiwan(Taiwan);BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . [8679-56]

Experimental verification of EUV mask limitations at high-numerical apertures,RikonChao,Univ.ofCalifornia,Berkeley(UnitedStates);PaulGräupner,CarlZeissSMTGmbH(Germany);EricM.Gullikson,LawrenceBerkeleyNationalLab.(UnitedStates);Seong-SueKim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);JensT.Neumann,CarlZeissSMTGmbH(Germany);RyanH.Miyakawa,LawrenceBerkeleyNationalLab.(UnitedStates);Hwan-SeokSeo,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(UnitedStates);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(UnitedStates)........... [8679-57]

Homogeneity improvement of TiO2-SiO2 glass synthesized by the soot method and its evaluation using the ultrasonic measurement system,MasahiroKawagishi,JunkoKonishi,MasaakiTakata,AGCElectronicsCo.,Ltd.(Japan);Jun-ichiKushibiki,MototakaArakawa,YujiOhashi,TohokuUniv.(Japan). . . . . . . . . . . . . . . . . [8679-58]

Inspection and compositional analysis of sub-20 nm EUV mask blank defects by a thin film decoration technique,VibhuJindal,PatrickA.Kearney,AlinO.Antohe,ArunJ.Kadaksham,EmilioStinzianni,JenahHarris-Jones,FrankGoodwin,SEMATECHNorth(UnitedStates);TakahiroOnoue,HOYACorp.(Japan). . . . . . . . . . . . . . . . . [8679-59]

Page 47: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 45

Resist outgassing characterization based on the resist compositions and process,NorihikoSugie,ToshiyaTakahashi,KazuhiroKatayama,IsamuTakagi,YukikoKikuchi,HiroyukiTanaka,EishiShiobara,SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . [8679-85]

Zero-CTE controlled TiO2-SiO2 glasses for EUVL,Jun-ichiKushibiki,MototakaArakawa,YujiOhashi,YukoMaruyama,TohokuUniv.(Japan);NaofumiYamada,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan) . . . . . . . [8679-86]

Enhancements to the electrodeless Z-pinch EUV source to support first and second-generation actinic mask inspection tools,MatthewJ.Partlow,StephenF.Horne,DeborahS.Gustafson,MatthewM.Besen,DonaldK.Smith,PaulBlackborow,EnergetiqTechnology,Inc.(UnitedStates)[8679-87]

Collector optic in-situ Sn removal using hydrogen plasma,JohnSporre,DanElg,JasonPeck,TaeS.Cho,DavidN.Ruzic,Univ.ofIllinoisatUrbana-Champaign(UnitedStates);ShailendraN.Srivastava,DavidC.Brandt,Cymer,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-88]

Laser-produced plasma EUV light sources for EUVL patterning at 20nm node and beyond,DavidC.Brandt,IgorV.Fomenkov,DavidW.Myers,DanielJ.Brown,BrunoLaFontaine,NigelR.Farrar,Cymer,Inc.(UnitedStates). . . . . . [8679-89]

High-brightness LPP source for EUVL applications,SamirS.Ellwi,Adlyte(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . [8679-90]

Important processes in modeling and optimization of EUV lithography sources,TatyanaSizyuk,AhmedHassanein,PurdueUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-91]

Long-term behavior of fuel delivery system for EUV sources using tin droplets,LunaBozinova,NadiaGambino,RezaS.Abhari,ETHZurich(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . [8679-92]

Magnetic mitigation of debris for EUV sources,DanElg,JohnSporre,DavideCurreli,DavidN.Ruzic,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-93]

Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distribution,GregoryR.McIntyre,IBMCorp.(UnitedStates);ObertR.WoodII,GLOBALFOUNDRIESInc.(UnitedStates);LeonTeeuwen,ASMLUS,Inc.

Wednesday 27 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

(UnitedStates);DanielA.Corliss,IBMCorp.(UnitedStates);TheovandenAkker,ASMLNetherlandsB.V.(Netherlands);ErikM.Sohmen,CarlZeissAG(Germany);MartinBurkhardt,KarenE.Petrillo,IBMCorp.(UnitedStates). . . . . . . . . . . . . . . . . [8679-94]

Roughness and variability in EUV lithography: who is to blame?, part I,AlessandroVaglioPret,RoelGronheid,IMEC(Belgium);ToddR.Younkin,IntelCorp.(UnitedStates);GustafLarsWinroth,IMEC(Belgium);JohnJ.Biafore,KLA-TencorCorp.(UnitedKingdom);YusukeAnno,KenjiHoshiko,JSRMicroN.V.(Belgium);VassiliosConstantoudis,NationalCtr.forScientificResearchDemokritos(Greece)[8679-95]

Imaging capability and lithographic characterization on 0.9-sigma SEMATECH Albany MET,Yu-JenFan,ChandraSarma,SEMATECHNorth(UnitedStates);ErikM.Sohmen,CarlZeissAG(Germany);ScottWright,MarkNeisser,DominicAshworth,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-96]

7nm node EUV predictive study of mask LER transference to CD variance,DenizCivay,ThomasI.Wallow,HarryJ.Levinson,GLOBALFOUNDRIESInc.(UnitedStates);JoachimSiebert,EvaNash,UlrichK.Klostermann,SynopsysGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [8679-97]

Silica aerogel can capture flying particles in EUV tools,KazuyaOta,JiroInoue,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-98]

Impact of EUV mask roughness on lithography performance,YukiyasuArisawa,TsuneoTerasawa,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . [8679-99]

Track processing optimizations for different EUV resist platforms: preparing for a 3300 baseline process,PhilippeFoubert,IMEC(Belgium);KoichiMatsunaga,TakeshiShimoaoki,TokyoElectronKyushuLtd.(Japan);KathleenNafus,TokyoElectronAmerica,Inc.(UnitedStates);Anne-MarieGoethals,JanV.Hermans,EricHendrickx,IMEC(Belgium);HitoshiKosugi,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-100]

Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter space,ChandraSarma,SEMATECHNorth(UnitedStates);JohnJ.Biafore,KLA-TencorTexas(UnitedStates);KarenE.Petrillo,MarkNeisser,SEMATECHNorth(UnitedStates)[8679-101]

Deep-ultraviolet out-of-band characterization of EUVL scanners and resists,GianF.Lorusso,IMEC(Belgium);TasakuMatsumiya,JunIwashita,TakuHirayama,TokyoOhkaKogyoCo.,Ltd.(Japan);EricHendrickx,IMEC(Belgium) [8679-102]

Investigation of coat-develop track system for EUV resist processing,MasahikoHarumoto,OsamuTamada,TadashiMiyagi,KojiKaneyama,AkihikoMorita,CharlesN.Pieczulewski,MasayaAsai,SOKUDOCo.,Ltd.(Japan). . . . . . . [8679-104]

Heat behavior of extreme-ultraviolet pellicle including mesh support,InseonKim,Hye-keunOh,Ji-wonKim,Eun-jinKim,HanyangUniv.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . [8679-105]

The need for EUV lithography at advanced technology for sustainable wafer cost,ArindamMallik,WimVansumere,IMEC(Belgium);AbdelkarimMercha,NaotoHoriguchi,StevenDemuynck,JuergenBoemmels,ZsoltTokei,GeertVandenberghe,KurtG.Ronse,AaronThean,DiederikVerkest,HansLebon,AnSteegen,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . [8679-107]

The study of novel PAG containing acid amplifier in EUV resist material,HyunsangJoo,JoonHeeHan,KoreaKumhoPetrochemicalCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . [8679-108]

Synthesis of molecular glass photoresists based on bisphenol A backbone and their application in EUV photolithography,GuoqiangYang,JianXu,LiChen,ShuangqingWang,ShayuLi,RuiHu,InstituteofChemistry(China) . . . . . . . . . . . . . . . . [8679-109]

High-power EUV discharge-produced plasma source based on liquid tin jet electrodes,KonstantinKoshelev,VladimirM.Krivtsun,OlegF.Yakushev,AlexanderA.Lash,InstituteofSpectroscopy(RussianFederation);AleksanderYu.Vinokhodov,VladimirMikhailovichBorisov,AlexanderV.Prokofiev,TroitskInstituteforInnovationandFusionResearch(RussianFederation) . . . . . . . . . . . . . . . . . . . . . . . [8679-110]

Measuring local critical dimension uniformity of contact holes: variability and correlation issues,Vijaya-KumarMurugesanKupuswamy,NationalCtr.forScientificResearchDemokritos(Greece)andNationalTechnologyUniv.ofAthens(Greece);VassiliosConstantoudis,EvangelosGogolides,NationalCtr.forScientificResearchDemokritos(Greece);AlessandroVaglioPret,RoelGronheid,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . [8679-111]

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm. All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be

available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

Molecular glass photoresists based on 9,9’-spirobifluorene derivatives: Synthesis and application in EUV photolithography,YiLi,QingshanHao,JinpingChen,TianjunYu,TechnicalInstituteofPhysicsandChemistry(China). . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-112]

XPS optimization for characterization of trace contamination elements for EUV resist outgassing study,MihirUpadhyaya,CollegeofNanoscaleScience&Engineering,Univ.ofAlbany(UnitedStates);YudhishthirP.Kandel,CollegeofNanoscaleScienceandEngineering,Univ.atAlbany(UnitedStates);GregoryDenbeaux,CollegeofNanoscaleScience&Engineering,Univ.ofAlbany(UnitedStates);CeciliaMontgomery,Yu-JenFan,SEMATECHNorth(UnitedStates) . [8679-113]

Particle detection of sub-20nm particles in low pressure conditions for applications in the semiconductor industry,YashdeepKhopkar,CollegeofNanoScaleScience&Engineering,Univ.atAlbany(UnitedStates);SpencerNatman,CollegeofNanoScaleScience&Engineering,Univ.ofAlbany(UnitedStates);VibhuJindal,SEMATECHNorth(UnitedStates);GregoryDenbeaux,CollegeofNanoscaleScience&Engineering,Univ.ofAlbany(UnitedStates). . . . . . . . . . . . . . . [8679-114]

Comparison of O2-N2 and H2 plasma cleaning for EUV applications, E.Kosmowska,DavidF.Varley,RonaldVane,CameronMoor,XEIScientific,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . [8679-115]

Conf. 8680 Alternative Lithographic Technologies V

Directed Self-AssemblyDissipative particle dynamics study on directed self-assembly in holes,TakeoNakano,MasaakiMatsukuma,KazuyoshiMatsuzaki,TokyoElectronATLtd.(Japan);MakotoMuramatsu,TadatoshiTomita,TakahiroKitano,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . [8680-38]

Dissipative particle dynamics simulations to optimize contact hole shrink process using graphoepitaxial directed self assembly,HironobuSato,HirokiYonemitsu,YurikoSeino,ToshibaCorp.(Japan);HirokazuKato,ToshibaAmericaElectronicComponents,Inc.(Japan);MasahiroKanno,ToshibaCorp.(Japan);KatsutoshiKobayashi,ToshibaMaterialsCo.,Ltd.(Japan);AyakoKawanishi,ToshibaCorp.(Japan);KatsuyoshiKodera,ToshibaMaterialsCo.,Ltd.(Japan);TsukasaAzuma,ToshibaCorp.(Japan). . [8680-55]

Page 48: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

46 SPIEAdvancedLithography2013 · www.spie.org/al

Wednesday 27 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

Computational simulation of block copolymer directed self-assembly in small topographical guiding templates,HeYi,StanfordUniv.(UnitedStates);AzatM.Latypov,GLOBALFOUNDRIESInc.(UnitedStates);H.S.PhilipWong,StanfordUniv.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8680-57]

High-Χ block co-polymer for directed self assembly,YujiNamie,Shin-yaMinegishi,TomokiNagai,YoshikazuYamaguchi,JSREngineeringCo.,Ltd.(Japan);YuusukeAnno,JSRMicro,N.V.(Belgium);TakehikoNaruoka,YoshiHishiro,JSRMicro,Inc.(UnitedStates). . . . . . . . . . . . . [8680-58]

Self-assembled lithography and potential applications to electronic devices,KojiAsakawa,AtsushiHieno,ShigekiHattori,HirokoNakamura,TsutomuNakanishi,RyotaKitagawa,AkiraFujimoto,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . [8680-59]

Graphoepitaxial directed self-assembly of PS-b-PDMS block copolymers on nanopatterned silicon nitride substrates,DipuBorah,BarbaraKosmala,SozarajRasappa,RamsankarSenthamaraikannan,Univ.CollegeCork(Ireland);MatthewT.Shaw,IntelIrelandLtd.(Ireland);JustinD.Holmes,MichaelA.Morris,Univ.CollegeCork(Ireland) . . . . . [8680-60]

How do modulations in surface energy of hard mask enabling materials correlate with direct self-assembly (DSA) effectiveness?,MaryAnnJ.Hockey,YubaoWang,BrewerScience,Inc.(UnitedStates);DouglasGuerrero,BrewerScience,Inc.(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . [8680-61]

DSA patterning for the resolution of 1x nm,DaijuShiono,TsuyoshiKurosawa,KenichiroMiyashita,TasukuMatsumiya,KenMiyagi,KatsumiOhmori,TokyoOhkaKogyoCo.,Ltd.(Japan) . . . . [8680-62]

Variations in chemoepitaxial templates and their effects on equilibrium block copolymer microdomain shapes,PaulN.Patrone,Univ.ofMaryland,CollegePark(UnitedStates)andNationalInstituteofStandardsandTechnology(UnitedStates);GreggM.Gallatin,NationalInstituteofStandardsandTechnology(UnitedStates)[8680-63]

Using process monitor wafers to understand directed self-assembly defects,YoungJunHer,AZElectronicMaterialsUSACorp.(UnitedStates);YukioHashimoto,NihonEntegrisK.K.(Japan);YiCao,AZElectronicMaterialsUSACorp.(UnitedStates);PaulinaA.RinconDelgadillo,Univ.ofChicago(UnitedStates);RoelGronheid,IMEC(Belgium);AinhoaRomo-Negreira,MarkH.Somervell,KathleenNafus,TokyoElectronAmerica,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-64]

Partial patterning of periodic nanostructures using block copolymer lithography,HiroyukiSuzuki,ReoKometani,SunaoIshihara,Shin’ichiWarisawa,TheUniv.ofTokyo(Japan) . . . [8680-65]

Orientation and position-controlled block copolymer nanolithography for bit-patterned media,RyousukeYamamoto,MasahiroKanamaru,KatsuyaSugawara,YasuakiOotera,TakeshiOkino,HiroyukiHieda,NorikatsuSasao,NaokoKihara,YoshiyukiKamata,AkiraKikitsu,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-66]

PS-b-PAA as a high χ polymer for directed self-assembly: A study of solvent and thermal annealing processes for PS-b-PAA, RichardA.Lawson,JingCheng,Wei-MingYeh,NathanD.Jarnagin,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-93]

PS-b-PHEMA: synthesis, characterization, and processing of a potential new high χ polymer for directed self-assembly lithography, JingCheng,RichardA.Lawson,Wei-MingYeh,NathanD.Jarnagin,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-94]

PS-b-PHOST as a high χ block copolymer for directed self-assembly: Properties, DSA, and novel methods for selective bock removal, NathanD.Jarnagin,Wei-MingYeh,JingCheng,AndrewPeters,RichardA.Lawson,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . [8680-95]

Coarse grained molecular dynamics model of block copolymer directed self-assembly, RichardA.Lawson,AndrewPeters,PeterLudovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . [8680-96]

Tuning the domain size of block copolymers for directed self-assembly using polymer blending: molecular dynamics simulation studies, RichardA.Lawson,AndrewPeters,PeterLudovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-97]

Effects of block copolymer polydispersity and χN on pattern line edge roughness and line width roughness from directed self-assembly of diblock copolymers, AndrewPeters,RichardA.Lawson,PeterLudovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(UnitedStates) . . [8680-98]

Direct-Write/Maskless LithographyAlignment strategy for mixed e-beam and optical lithography,PaulJ.Duval,KamalTabatabaie-Alavi,DaleM.Shaw,AlanR.St.Germain,RaytheonCo.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . [8680-67]

Block co-polymer multiple patterning directed self-assembly on PS-OH brush layer and AFM based nanolithography,FrancescX.Perez-Murano,Ctr.NacionaldeMicroelectrónica(Spain). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-69]

Image contrast of line-cut/contact features in complementary e-beam lithography,EndenD.Liu,DavidK.Lam,MultibeamCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-70]

Direct-write maskless lithography using patterned oxidation of Si-substrate induced by femtosecond laser pulses,AmirkianooshKiani,KrishnanVenkatakrishnan,BoTan,RyersonUniv.(Canada) . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-71]

A slim column cell of 12nm resolution for wider application of e-beam lithography,AkioYamada,HitoshiTanaka,YoshihisaOae,TomohikoAbe,YouichiShimizu,AdvantestCorp.(Japan)[8680-72]

Practical study on the electron-beam-only alignment strategy for the electron-beam direct-writing technology,YoshinoriKojima,YasushiTakahashi,ShuzoOhshio,ShinjiSugatani,e-ShuttleInc.(Japan);Jun-ichiKon,FujitsuLabs.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-73]

Practical proof of CP element-based design for 14nm node and beyond,TakashiMaruyama,e-Shuttle,Inc.(Japan);HiroshiTakita,HiromiHoshino,MorimiOsawa,FujitsuSemiconductorLtd.(Japan);ShinjiSugatani,e-Shuttle,Inc.(Japan);YoshinoriKojima,e-ShuttleInc.(Japan);MasaruIto,ToshioHino,FujitsuSemiconductorLtd.(Japan);RimonIkeno,Univ.ofTokyo(Japan);TetsuyaIizuka,SatoshiKomatsu,MakotoIkeda,KunihiroAsada,TheUniv.ofTokyo(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-75]

Reticle level compensation for long-range process effect,ThiagoR.Figueiro,AseltaNanographics(France)andCNRSCEALTM(France);ClydeH.Browning,PatrickSchiavone,AseltaNanographics(France). . . . . . . . . . [8680-76]

Investigation of shot noise, dose, and focus latitude for e-beam direct write,ReginaFreed,ThomasGubiotti,ShinichiKojima,ChrisF.Bevis,AlanD.Brodie,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-77]

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm. All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be

available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

Contrast enhanced exposure strategy in multibeam mask writing,NikolaBelic,UliHofmann,GenISysGmbH(Germany);JanKlikovits,IMSNanofabricationAG(Austria). . . . . . . [8680-78]

Nanoimprint LithographySub-22nm silicon template nanofabrication by advanced spacer patterning technique for NIL applications,Jong-MoonPark,Kun-SikPark,Seong-OokYoo,Jin-HoLee,ElectronicsandTelecommunicationsResearchInstitute(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [8680-79]

Low-angle deposition as a low-cost nanofabrication process for wire grid polarizers,MikeP.Watts,ImpatternSolutions(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-80]

Other Lithographic ApproachesResist development for 2nm quantum optical lithography,EugenPavel,StorexTechnologiesInc.(Romania) . . . . . . . . . . . . . . . . . . . . . . . . . [8680-81]

Effective process enhancements for negative-tone development (NTD) method,GoNoya,AZElectronicMaterials(Japan)K.K.(Japan). [8680-82]

Direct electron-beam patterning of sub-10nm graphene interconnects,ZhengqingJ.Qi,JulioRodriguez-Manzo,Univ.ofPennsylvania(UnitedStates);SungJuHong,SeoulNationalUniv.(Korea,Republicof);EricA.Stach,BrookhavenNationalLab.(UnitedStates);MirijaDrndi?,A.T.CharlieJohnson,Univ.ofPennsylvania(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-83]

Conf. 8683 Optical Microlithography XXVICompatibility of optimized source over design changes in foundry environment,AasutoshDave,MentorGraphicsCorp.(UnitedStates);JojoPei,SemiconductorManufacturingInternationalCorp.(China);CynthiaZhu,FengShao,MentorGraphicsCorp.(UnitedStates);VerneXu,SemiconductorManufacturingInternationalCorp.(China);OmarElsewefy,MentorGraphicsCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-56]

Page 49: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 47

Wednesday 27 February — Poster sessions — 6:00 to 8:00 pm — Convention Center Hall 2

Source mask optimization using real-coded genetic algorithms,ChaoxingYang,XiangzhaoWang,SikunLi,ShanghaiInstituteofOpticsandFineMechanics(China);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany). . . . . [8683-63]

Effective method of source mask optimization flow incorporating mask 3D model,Hak-YongSim,SKHynix,Inc.(Korea,Republicof). . [8683-64]

Mask 3D effects on contact layouts of 1Xnm NAND flash devices,JongwonJang,HynixSemiconductorInc.(Korea,Republicof) . [8683-65]

High-speed and flexible PEB 3D diffusion simulation based on Sylvester equation,Pei-ChunLin,Chung-PingChen,NationalTaiwanUniv.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-66]

Application for resist profile-enhanced model and reOPC,OseoPark,JianLiu,ChidamKallingal,GLOBALFOUNDRIESInc.(UnitedStates) [8683-67]

Line-edge roughness (LER) mitigation studies specific to interference-like lithography,BurakBaylav,RochesterInstituteofTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-68]

The studies of SMO process on contact layer of 20nm node,Wei-CyuanLo,Yung-FengCheng,Ming-JuiChen,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-69]

Lens heating effect and its compensation for 20nm logic product,BumhwanJeon,GLOBALFOUNDRIESInc.(UnitedStates) [8683-70]

High-power XLR system for enhanced process capability,GunasiriG.Padmabandu,WillConley,RuiJiang,BrianKing,MarcusOsibov,RonnieP.Flores,RobertRosal,TheodoreCacouris,EricR.Gross,Cymer,Inc.(UnitedStates). . . . . . [8683-71]

SMO and NTD for robust single-exposure solution on contact patterning for 40nm node flash memory devices,Chih-ChiehYu,Chin-ChengYang,ElvisYang,Ta-HungYang,Kuang-ChaoChen,Chih-YuanLu,MacronixInternationalCo.,Ltd.(Taiwan) . . . . . . . . . . . . . . . . . . . [8683-72]

Multiple-step process window aware OPC for hyper-NA lithography,Chung-TeHsuan,ChemingHu,FredLo,ElvisYang,Ta-HungYang,Kuang-ChaoChen,Chih-YuanLu,MacronixInternationalCo.,Ltd.(Taiwan) . . . . . . . . . . . . . . . . . . . [8683-73]

Studies of suitable mask enhanced error factor for 2D patterns,Chih-IWei,Yung-FengCheng,Ming-JuiChen,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-74]

Pixel-based inverse lithography using a mask filtering technique,WenLv,QiXia,ShiyuanLiu,HuazhongUniv.ofScienceandTechnology(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-75]

Intensity quality full-chip verification for yield improvement,EllynYang,Shyue-FongQuek,MarkLu,YeeMeiFoong,CongShuZhou,GLOBALFOUNDRIESSingapore(Singapore) . . . . . . . . . . . . . . . . . . . . . . . . [8683-76]

Hybrid OPC technique using rule-based and model-based flows,MohammedHarb,HeshamM.Abdelghany,MentorGraphicsEgypt(Egypt). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-77]

High-precision beam shaping in lithography and semiconductor applications,AnsgarTeipel,LIMOLissotschenkoMikrooptikGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [8683-79]

Model of freeform illumination mode and polarization mode for 193nm immersion lithography,YunboZhang,AijunZeng,QiaoYuan,YingWang,HuijieHuang,ShanghaiInstituteofOpticsandFineMechanics(China)...... [8683-80]

Analytical equation of image intensity that predicts the forbidden patten pitch,MasatoShibuya,TokyoPolytechnicUniv.(Japan);JunichiTamaki,TokyoPolytechnicUniv.(Japan)andOrcManufacturingCo.,Ltd.(Japan). . . . . . . . [8683-81]

Integrated analysis of optical system with non-uniform supporting loads in assembly,YipingShen,HuazhongUniv.ofScienceandTechnology(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-82]

Optomechanical characterization of large wafer stepper-optics with respect to centering errors, lens distances, and center thicknesses,DanielStickler,TRIOPTICSGmbH(Germany). . . [8683-83]

A customized Exicor system for measuring residual birefringence in lithographic lenses,AndyBreninger,BaoliangWang,HindsInstruments,Inc.(UnitedStates) . . . . . . . . . . . . . . . . . . [8683-84]

Flare management for 40nm logic devices,YuusukeTanaka,TakaoTamura,MasashiFujimoto,KyoichiTsubata,NakaOnoda,RenesasElectronicsCorp.(Japan);KiyoshiFujii,SemiconductorLeadingEdgeTechnologiesInc(Japan). . . . . . . . . [8683-85]

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm. All symposium attendees are invited to attend the poster sessions. Come view the high-quality papers that are presented in this alternative format, and interact with the poster author who will be

available for discussion. Enjoy light refreshments while networking with colleagues in your field. Attendees are required to wear their conference registration badges to the poster sessions.

3D resist-loss full-chip verification and hot-spots disposition,EllynYang,Shyue-FongQuek,YeeMeiFoong,DongQingZhang,GLOBALFOUNDRIESSingapore(Singapore);JensHassmann,AndreLeschok,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);YunTang,GLOBALFOUNDRIESSingapore(Singapore) . . . . . . . . . . . . . . . . . . . . . . . . . [8683-57]

Development of a 75W XLR solution for increased depth of focus,BrianKing,RuiJiang,Cymer,Inc.(UnitedStates)andDUVProducts(UnitedStates);RostislavI.Rokitski,Cymer,Inc.(UnitedStates);DanWilson,Cymer,Inc.(UnitedStates)andDUVProducts(UnitedStates);GunasiraG.Padmadbndu,MarcusOsibov,WillConley,Cymer,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-58]

3D lithography for implant applications,JensSchneider,HenningFeick,DieterKaiser,MarcelHeller,MatthiasSchmeide,DanielSarlette,InfineonTechnologiesDresden(Germany) . . . . . . . [8683-59]

Lens heating impact analysis and controls for critical device layers by computational method,DuHyunBeak,Jin-PhilChoi,TonyPark,YoungSunNam,YoungseogKang,ChanHoonPark,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Ki-YeopPark,Chang-HoonRyu,WenjinHuwang,Ki-HoBaik,ASMLKoreaCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-60]

Effects of nested and isolated features focus difference for scanner proximity matching,GuoXiangNing,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(UnitedStates);PaulW.Ackmann,HuipengKoh,GLOBALFOUNDRIESInc.(UnitedStates);FrankRichter,MatthiasRuhm,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);WeilongWong,GLOBALFOUNDRIESInc.(UnitedStates);JensBusch,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);NormanChen,GLOBALFOUNDRIESInc.(UnitedStates);KarinKurth,AndreLeschok,Chin-TeongLim,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany). . . . . . . . . . . . . . . . . . [8683-61]

Implementation of focus drilling on a 60W XLR system,WillConley,BrianKing,RuiJiang,SlavaRokitski,DanWilson,GunasiriG.Padmabandu,MarcusOsibov,RonnieP.Flores,RobertRosal,TheodoreCacouris,EricR.Gross,Cymer,Inc.(UnitedStates);GeraldLitchenberg,CymerB.V.(Netherlands);EmileMerkus,CymerB.V.(Netherlands)andCymerInc.(UnitedStates);MarijnvanBerkel,MartineDeHaan,CymerB.V.(Netherlands);CarolJackson,Cymer,Inc.(UnitedStates)andCymerInc.(UnitedStates). . . [8683-62]

Wavefront testing of pinhole based on point diffraction interferometer,XinJia,TingwenXing,JiajunXu,WumeiLin,ZhijieLiao,InstituteofOpticsandElectronics(China). . . . . . . . . . . . . . . [8683-86]

Design and simulation of illuminator with microscanning slit array for NA 0.75 lithography system,LinglinZhu,AijunZeng,ShanhuaZhang,RuifangFang,HuijieHuang,ShanghaiInstituteofOpticsandFineMechanics(China)...... [8683-87]

Zero expansion glass ceramic ZERODUR® roadmap for advanced lithography,ThomasWesterhoff,TanjaBizjak,PeterHartmann,RalfJedamzik,SCHOTTAG(Germany). . . . . . [8683-88]

Mask sidewall clamping,Geert-JanNaaijkens,NickRosielle,MaartenSteinbuch,TechnischeUniv.Eindhoven(Netherlands). . . . . . . . . . . . . . [8683-89]

Conf. 8684 Design for Manufacturability through Design-ProcessA novel methodology for building robust design rules by using design-based metrology (DBM),MyeongdongLee,SungkyunkwanUniv.(Korea,Republicof)andSAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);SeiryungChoi,JinwooChoi,JeahyunKim,HyunjuSung,HyunyoungYeo,MyoungseobShim,GyoyoungJin,ChilheeChung,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);YonghanRoh,SungkyunkwanUniv.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . [8684-5]

Page 50: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

48 SPIEAdvancedLithography2013 · www.spie.org/al

thursday 28 February

Session 12Room: Conv. Ctr. 230 B Thu 8:00 am to 9:50 am

SEM, AFM, SPMSessionChairs:Matthew J. Sendelbach, NovaMeasuringInstrumentsInc.(UnitedStates);Vladimir A. Ukraintsev,

NanometrologyInternational,Inc.(UnitedStates)

8:00am:CD-SAXS for 3D dimensional metrology on 32nm-pitch line patterns (Invited Paper),R.JosephKline,DanielF.Sunday,Wen-liWu,NationalInstituteofStandardsandTechnology(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-44]

8:30am:Precise morphology imaging of the photoresist in water,HiroshiItoh,TadahiroOdaka,ChunmeiWang,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-45]

8:50am:3D AFM method for characterization of resist-multilayer roughness and side-wall morphology of lithographic patterns: effect of aerial image contrast and processes,YongHaPaulLee,ParkSystemsCorp.(Korea,Republicof). . . . . [8681-46]

9:10am:Three-dimensional profile extraction and top/bottom CD monitoring with CD-SEM by line-edge roughness analysis,AtsukoYamaguchi,TakeyoshiOhashi,Hitachi,Ltd.(Japan);TakahiroKawasaki,OsamuInoue,HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . . . . . . . . . . . . . [8681-47]

9:30am:Buckling characterization of gate all around silicon nanowires,ShimonLevi,AppliedMaterials(Israel). . . . . [8681-48]

9:50am:Characterization of a first measurement effect in CD-SEM repeating measurements,BoxiuS.Cai,SiyuanF.Yang,YiShihA.Lin,YiHuang,WendyLi,SemiconductorManufacturingInternationalCorp.(China).........................[8681-68]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . .Thu10:10amto10:30am

Session 11Room: Conv. Ctr. 210 B

Thu 8:30 am to 12:00 pm

Invited IISessionChairs:Daniel A. Corliss, IBMCorp.(UnitedStates);

Seong-Sue Kim, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)

8:30am:ASML’s NXE platform performance and volume introduction (Invited Paper),RudyPeeters,SjoerdLok,ErwinV.Alphen,NoreenHarned,HenkMeijer,DavidOckwell,EelcovanSetten,JudonStoeldraijer,RobertKazinczi,RichardDroste,HansMeiling,RonKool,ASMLNetherlandsB.V.(Netherlands);PeterKuerz,MartinLowisch,CarlZeissSMTGmbH(Germany);Jan-WillemvanderHorst,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-50]

9:00am:CO2/Sn LPP EUV sources for device development and HVM (Invited Paper),DavidC.Brandt,IgorV.Fomenkov,DavidW.Myers,DanielJ.Brown,BrunoLaFontaine,NigelR.Farrar,Cymer,Inc.(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-51]

9:30am:Optics for ASML’s NXE:3300 platform (Invited Paper),MartinLowisch,PeterKuerz,OlafConradi,WinfriedM.Kaiser,WolfgangSeitz,GeroWittich,CarlZeissSMTGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-52]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:30am

10:30am:Through-focus EUV multilayer defect repair with nanomachining (Invited Paper),GregoryR.McIntyre,IBMMicroelectronics(UnitedStates);EmilyE.Gallagher,MarkLawliss,IBMCorp.(UnitedStates);TodE.Robinson,JeffLeClaire,RonR.Bozak,RoyL.White,RAVELLC(UnitedStates) . . . . . . . [8679-53]

11:00am:Patterning challenges of EUV lithography for 1x-nm node DRAM and beyond (Invited Paper),Tae-SeungEom,Hong-IkKim,Choon-KyKang,Yoon-JungRyu,Seung-HyunHwang,Ho-HyukLee,Hee-YoulLim,Jeong-SuPark,Noh-JungKwak,HyosangKang,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . [8679-54]

11:30am:Toward manufacturing a 14nm node device with complementary EUV lithography (Invited Paper),JanV.Hermans,DavidLaidler,PhilippeJ.Leray,IMEC(Belgium);HuixiongDai,AppliedMaterials,Inc.(UnitedStates);ShauneeY.Cheng,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8679-55]

ConferenceEnd.

Session 10Room: Conv. Ctr. Hall 3

Thu 8:00 am to 10:10 am

DSA ViasSessionChairs:James Watkins, Univ.ofMassachusettsAmherst(UnitedStates);Benjamen M. Rathsack, Tokyo

ElectronAmerica,Inc.(UnitedStates)

8:00am:Patterning process for semiconductor using directed self assembly (Invited Paper),JaewooNam,EunSungKim,DaekeunKang,HangeunYu,KyoungseonKim,ShiyongYi,Chul-HoShin,Ho-KyuKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-36]

8:30am:Potential of block copolymer’s directed self-assembly for contact hole shrink and contact multiplication,RalucaTiron,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);XavierChevalier,CEA-LETI-Minatec(France);JérômeBelledent,CEA-LETI(France);JonathanPradelles,CEA-LETI-Minatec(France);PatriciaPimentaBarros,CEA-LETI(France);ChristopheNavarro,ArkemaS.A.(France);MichaelDelalande,Lab.desTechnologiesdelaMicroélectronique(France);GillesCunge,LTMCNRS(France);JohannFoucher,CEA-LETI-Minatec(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);SebastienBarnola,LaurentPain,CEA-LETI(France) . . . . . [8680-37]

8:50am:Exploration of the directed self-assembly-based nanofabrication design space using computational simulations,AzatM.Latypov,GerardM.Schmid,JiXu,HeYi,GLOBALFOUNDRIESInc.(UnitedStates);KenjiYoshimoto,GLOBALFOUNDRIESInc.(UnitedStates)andKyotoUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-56]

9:10am:The hole shrink problem: theoretical studies of directed self-assembly in cylindrical confinement,NabilLaachi,KrisT.Delaney,BongkeunKim,Univ.ofCalifornia,SantaBarbara(UnitedStates);RobertBristol,CoreyJWeinheimer,DavidShykind,IntelCorp.(UnitedStates);GlennH.Fredrickson,Univ.ofCalifornia,SantaBarbara(UnitedStates)............................. [8680-39]

9:30am:Novel process variation model for graphoepitaxial directed self-assembly lithography based on the dissipative particle dynamics method,KatsuyoshiKodera,ToshibaCorp.(Japan);ShimonMaeda,SatoshiTanaka,ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan);HironobuSato,TsukasaAzuma,ToshibaCorp.(Japan)..................................... [8680-40]

9:50am:Defectivity study of directed self-assembly of cylindrical diblock copolymers in laterally confined thin channels,BongkeunKim,NabilLaachi,MaterialsResearchLab.(UnitedStates);GlennH.Fredrickson,MitsubishiChemicalCtr.forAdvancedMaterials(UnitedStates) . . . . . . . . . . . . . . . . . [8680-41]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Thu10:10amto10:40am

Conference 8679

Extreme Ultraviolet (EUV) Lithography IV

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Page 51: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 49

thursday 28 February

Session 9Room: Conv. Ctr. 210 C

Thu 8:00 am to 10:00 am

Optical and DFM I: Joint Session with Conferences 8683 and 8684

SessionChairs:Mark E. Mason, TexasInstrumentsInc.(UnitedStates);Will Conley, Cymer,Inc.(UnitedStates)

8:00am:Interference harmonics and rigorous EM spectrum analysis method for low-k1 CD Bossung tilt correction,Shuo-YenChou,Hoi-TouNg,Yi-YinChen,Chien-FuLee,Ru-GunLiu,Tsai-ShengGau,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-40]

8:20am:Improved SADP decomposition for SID process with model-based verification,YuelinDu,Univ.ofIllinoisatUrbana-Champaign(UnitedStates)andSynopsys,Inc.(UnitedStates);HuaSong,JamesP.Shiely,Synopsys,Inc.(UnitedStates);MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-13]

8:40am:Model-based stitching and inter-mask bridge prevention for double-patterning lithography (DPL),GuillaumeLandie,STMicroelectronics(France). . . . . . . . . . . . . . . . . [8683-41]

9:00am:Mask strategy and layout decomposition for self-aligned quadruple patterning,WeilingKang,PekingUniv.ShenzhenGraduateSchool(China);ChenFeng,Univ.ofMichigan(UnitedStates);YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-14]

9:20am:Application of artificial neural networks to compact mask models in optical lithography simulation,VivianaAgudelo,TimFühner,AndreasErdmann,PeterEvanschitzky,Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-42]

9:40am:Process characteristics and layout decomposition of self-aligned sextuple patterning: the end of spatial frequency multiplication?,WeilingKang,YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . [8684-15]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:30am

Session 5Room: Conv. Ctr. 210 C

Thu 8:00 am to 10:00 am

Optical and DFM I: Joint Session with Conferences 8683 and 8684

SessionChairs:Mark E. Mason, TexasInstrumentsInc.(UnitedStates);Will Conley, Cymer,Inc.(UnitedStates)

8:00am:Interference harmonics and rigorous EM spectrum analysis method for low-k1 CD Bossung tilt correction,Shuo-YenChou,Hoi-TouNg,Yi-YinChen,Chien-FuLee,Ru-GunLiu,Tsai-ShengGau,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-40]

8:20am:Improved SADP decomposition for SID process with model-based verification,YuelinDu,Univ.ofIllinoisatUrbana-Champaign(UnitedStates)andSynopsys,Inc.(UnitedStates);HuaSong,JamesP.Shiely,Synopsys,Inc.(UnitedStates);MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-13]

8:40am:Model-based stitching and inter-mask bridge prevention for double-patterning lithography (DPL),GuillaumeLandie,STMicroelectronics(France). . . . . . . . . . . . . . . . . [8683-41]

9:00am:Mask strategy and layout decomposition for self-aligned quadruple patterning,WeilingKang,PekingUniv.ShenzhenGraduateSchool(China);ChenFeng,Univ.ofMichigan(UnitedStates);YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-14]

9:20am:Application of artificial neural networks to compact mask models in optical lithography simulation,VivianaAgudelo,TimFühner,AndreasErdmann,PeterEvanschitzky,Fraunhofer-InstitutfürIntegrierteSystemundBauelementetechnologie(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8683-42]

9:40am:Process characteristics and layout decomposition of self-aligned sextuple patterning: the end of spatial frequency multiplication?,WeilingKang,YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . . . . . . . . . . . . . . . [8684-15]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:30am

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

SPIE Career CenterConnect with top employers in optics and photonics.

VisittheSPIECareerCenter·Searchjobs/internships·Postaresume·Connectwithemployers

Start your search today.www.spie.org/CareerCenter

Page 52: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

50 SPIEAdvancedLithography2013 · www.spie.org/al

Session 11Room: Conv. Ctr. Hall 3

Thu 10:40 am to 11:50 am

Nanoprobe Array Direct-Write Technologies

SessionChairs:Ivo W. Rangelow, TechnischeUniv.Ilmenau(Germany);Frank M. Schellenberg, Consultant(UnitedStates)

10:40am:Scanning probe lithography approach for beyond CMOS devices (Invited Paper),ZahidDurrani,ImperialCollegeLondon(UnitedKingdom);MarcusKaestner,ManuelHofer,ElshadGuliyev,AhmadAhmad,TzvetanIvanov,IvoW.Rangelow,TechnischeUniv.Ilmenau(Germany) . . . . . . . . . . . . . . . . . . . . . . . [8680-42]

11:10am:0.1-nanometer resolution positioning stage for sub-10nm scanning probe lithography,EberhardManske,IvoW.Rangelow,NataliyaVorbringer-Dorozhovets,FelixG.Balzer,MarcusKaestner,ManuelHofer,ElshadGuliyev,AhmadAhmad,TzvetanIvanov,TechnischeUniv.Ilmenau(Germany) . . . . . . . . . . . . . . . . [8680-44]

11:30am:Mix and match electron-beam and scanning probe lithography for high-throughput sub-10nm lithography,MarcusKaestner,ManuelHofer,IvoW.Rangelow,TechnischeUniv.Ilmenau(Germany) . . . . . . . . . . . . . . . . . . . . . . . [8680-45]

LunchBreak . . . . . . . . . Thu11:50amto1:20pm

thursday 28 February

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

Session 12Room: Conv. Ctr. Hall 3 Thu 1:20 pm to 3:10 pm

E-Beam Direct-Write for High-Volume Manufacturing III

SessionChairs:Ines A. Stolberg, VistecElectronBeamLithographyGroup(Germany);

Marco Wieland, MAPPERLithography(Netherlands)

1:20pm:Influence of high-energy electron irradiation on ultra-low-k characteristics and transistor performance (Invited Paper),KatjaSteidel,Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);ThomasWerner,GLOBALFOUNDRIESInc.(Germany);MartinFreitag,ManuelaS.Gutsch,Kang-HoonChoi,ChristophK.Hohle,Fraunhofer-Ctr.NanoelektronischeTechnologien(Germany);RobertSeidel,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany). . . . . . . . . . . . . . . . . . . .[8680-46]

1:50pm:A dose modification strategy of electron-beam direct-writing considering TDDB reliability in LSI interconnects,YoshihiroMidoh,AtsushiOsaki,KojiNakamae,OsakaUniv.(Japan).[8680-47]

2:10pm:Influence of data volume and e-beam proximity correction on process window in multiple e-beam direct-write lithography,Shy-JayLin,Pei-YiLiu,Jen-HomChen,Wen-ChuanWang,Jaw-JungShin,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);MarkA.McCord,SameetK.Shriyan,KLA-TencorCorp.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . .[8680-48]

2:30pm:A study of total blur for ultimate resolution of electron-beam lithography toward 11nm half-pitch technology and beyond,KozoOgino,FujitsuSemiconductorLtd.(Japan).[8680-49]

2:50pm:MAPPER alignment sensor evaluation on process wafers,NielsVergeer,MAPPERLithography(Netherlands);LudovicLattard,CEA-LETI(France);GuidodeBoer,FredC.M.Couweleers,DharaDave,MAPPERLithography(Netherlands);JonathanPradelles,CEA-LETI-Minatec(France);JessyBustos,CEA-LETI(France). . . . . . . .[8680-50]

CoffeeBreak. . . . . . . . . . . . Thu3:10pmto3:30pm

Session 14Room: Conv. Ctr. 230 B Thu 1:40 pm to 3:20 pm

Process ControlSessionChairs:Masafumi Asano, ToshibaCorp.(Japan);Alek C. Chen, ASMLTaiwan

Ltd.(Taiwan)

1:40pm:In-field in design metrology target integration for advanced CD and overlay process control via DoseMapper and high-order overlay correction for 28nm and beyond logic node,BertrandLeGratiet,JulienDucote,FabriceBernard-Granger,STMicroelectronics(France). . . . [8681-52]

2:00pm:CD optimization methodology for extending optical lithography,TimothyJ.Wiltshire,CheukWong,GitanjaliK.Seevaratnam,NelsonFelix,TimothyA.Brunner,PawanRawat,IBMCorp.(UnitedStates);MaryanaEscalante-Marun,ASMLNetherlandsB.V.(Netherlands);WonD.Kim,EricaRottenkolber,ASMLUS,Inc.(UnitedStates);AbdalmohsenElmalk,VivianWang,ChristianLeewis,PaulC.Hinnen,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . [8681-53]

2:20pm:Improvement of focus accuracy on processed wafer exposure,SatomiHigashibata,ToshibaCorp.(Japan);NobuhiroKomine,ToshibaMaterialsCo.,Ltd.(Japan);KazuyaFukuhara,KoikeTakashi,YoshimitsuKato,KojiHashimoto,ToshibaCorp.(Japan)........................ [8681-54]

2:40pm:Overlay analysis considered individual factor: scanner, wafer process,BooHyunHam,SoonMokHa,Seong-HoMoon,ByeongsooKim,Joon-SooPark,Seok-WooNam,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . [8681-55]

3:00pm:An investigation of high-order process correction models and techniques to improve data filtering by using a multipass cascading approach in an advanced technology node,MdZakirUllah,MohamedFazlyMohamedJazim,StephenTran,AndyQiu,DawnGoh,TECHSemiconductorSingaporePte,Ltd.(Singapore);JeslineAng,DesmondGoh,KLA-TencorSingapore(Singapore);DavidC.Tien,Chin-ChouK.Huang,KLA-TencorCorp.(UnitedStates);DongsubChoi,KLA-TencorKorea(Korea,Republicof). . . . . . . . . . . . . [8681-56]

CoffeeBreak. . . . . . . . . . . Thu3:20pmto3:50pm

Room: Conv. Ctr. 230 B 10:30 am to 10:40 am

Presentation of the Best Student Paper Award

Best Student Paper Award

Award Sponsored by

Session 13Room: Conv. Ctr. 230 B

Thu 10:40 am to 12:10 pm

Cross-technology Comparisons, Hybrids, and Accuracy

SessionChairs:Vladimir A. Ukraintsev, NanometrologyInternational,Inc.(United

States);Chih-Ming Ke, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan)

10:40am:Edge determination methodology for cross-section STEM image of photoresist feature used for reference metrology (Invited Paper),KiyoshiTakamasu,HarukiOkitou,SatoruTakahashi,TheUniv.ofTokyo(Japan);MitsuruKonno,OsamuInoue,HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . [8681-49]

11:10am:Characterizing edge profiles of photomask structures with complementary information from SEM and AFM,DorotheeHüser,WolfgangHässler-Grohne,Physikalisch-TechnischeBundesanstalt(Germany) . . . . . . . . . . . . . . [8681-50

11:30am:Mosaicing of critical dimensions data to enable 1X node production,JohannFoucher,NiveaG.S.Figueiro,RomainThérèse,CEA-LETI(France);YongHaPaulLee,AhjinJo,Sang-JoonCho,ParkSystemsCorp.(Korea,Republicof). . . . . . . [8681-51]

11:50am:High-accuracy CD matching monitor for CD-SEM beyond 20nm process,KazuhiroUeda,TakeshiMizuno,KatsumiSetoguchi,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . [8681-82]

LunchBreak . . . . . . . . . . .Thu12:10pmto1:40pm

Page 53: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 51

Session 6Room: Conv. Ctr. 210 C

Thu 10:40 am to 12:00 pm

Optical and DFM II: Joint Session with Conferences 8683 and 8684

SessionChairs:Kafai Lai, IBMCorp.(UnitedStates);John L. Sturtevant, MentorGraphics

Corp.(UnitedStates)

10:40am:3D resist profile modeling for OPC applications,YongfaFan,Synopsys,Inc.(UnitedStates);KohKarKit,Globalfoundries(China);WolfgangHoppe,BerndKuechler,SynopsysGmbH(Germany);MakotoMiyagi,Synopsys,Inc.(UnitedStates);ThomasSchmöller,SynopsysGmbH(Germany) . . . . . . . . . . . . . . . . . [8683-43]

11:00am:Triple-patterning lithography (TPL) layout decomposition using end cutting,BeiYu,Jhih-RongGao,DavidZ.Pan,TheUniv.ofTexasatAustin(UnitedStates). . . . . . . . . . . . [8684-16]

11:20am:On the accuracy of different Fourier transforms of VLSI designs,RajaiNasser,PaulHurley,IBMZürichResearchLab.(Switzerland) . . . . . . . . . . . . . . . . . . . . . [8683-44]

11:40am:Process window analysis of algorithmic assist feature placement options at the 2xnm node DRAM,JinhyuckJeon,ShinyoungKim,Chan-HaPark,Hyun-JoYang,DongGyuYim,SKHynix,Inc.(Korea,Republicof)[8684-17]

LunchBreak . . . . . . . . .Thu12:00pmto1:20pm

thursday 28 February

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

Session 11Room: Conv. Ctr. 210 C Thu 1:20 pm to 3:00 pm

SimulationSessionChairs:Peter D. Brooker, Synopsys,

Inc.(UnitedStates);Yuri Granik, MentorGraphicsCorp.(UnitedStates)

1:20pm:Benchmarking study of 3D mask modeling for 2X and 1X nodes,ChangAnWang,GLOBALFOUNDRIESInc.(UnitedStates)[8683-45]

1:40pm:Validation of OPC resist-model tuning after source modification with SMO,WernerGillijns,JeroenVandeKerkhove,PeterDeBisschop,IMEC(Belgium);DavidRio,ASMBelgiumN.V.(UnitedStates);StephenD.Hsu,MuFeng,JiongJiang,BrionTechnologies,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . [8683-46]

2:00pm:Topographic mask modeling with reduced-basis finite element method,JacekK.Tyminski,NikonResearchCorp.ofAmerica(UnitedStates);JanPomplun,LinZschiedrich,JCMwaveGmbH(Germany);DonisG.Flagello,NikonResearchCorp.ofAmerica(UnitedStates);TomoyukiMatsuyama,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . [8683-47]

2:20pm:Accurate 3D EMF mask model for full-chip simulation,MichaelLam,KostasAdam,DavidFryer,ChristianD.Zuniga,DavidWei,MentorGraphicsCorp.(UnitedStates). [8683-48]

2:40pm:Role of 3D photoresist simulation for advanced technology nodes,AravindNarayanaSamy,RolfSeltmann,FrankKahlenberg,JessySchramm,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);BerndKuechler,UlrichK.Klostermann,SynopsysGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . [8683-49]

CoffeeBreak. . . . . . . . . .Thu3:00pmto3:30pm

Session 7Room: Conv. Ctr. 211 B Thu 1:20 pm to 3:00 pm

Design Implications and VariabilitySessionChairs:Robert Aitken, ARM

Inc.(UnitedStates);Michael L. Rieger, Synopsys,Inc.(UnitedStates)

1:20pm:Compact modeling of fin-width roughness induced FinFET device variability using the perturbation method,QiCheng,WeilingKang,YijianChen,PekingUniv.ShenzhenGraduateSchool(China). . . . . . . . . . . . .[8684-18]

1:40pm:Understanding device impact of line-edge/width roughness in frequency domain,PengXie,HeRen,AneeshNainani,HuixiongDai,ChristopherBencher,ChrisS.Ngai,AppliedMaterials,Inc.(UnitedStates). . . . . . . . .[8684-19]

2:00pm:SRAM circuit performance in the presence of process variability of self-aligned multiple patterning,YijianChen,PekingUniv.ShenzhenGraduateSchool(China) . . . .[8684-20]

2:20pm:Post-routing back-end-of-the-line layout optimization for improved time-dependent dielectric breakdown reliability,TuckBoonChan,AndrewB.Kahng,Univ.ofCalifornia,SanDiego(UnitedStates). . . . . . . . . . . .[8684-21]

2:40pm:Double patterning: solutions in parasitics extraction,DusanPetranovic,JamesK.Falbo,NurKurt-Karsilayan,MentorGraphicsCorp.(UnitedStates). . . . . . . . . . . . . . . .[8684-22]

CoffeeBreak.......... Thu3:00pmto3:30pm

Room: Conv. Ctr. 210 C 10:30 am to 10:40 am

2013 Best Student Paper AwardAward Sponsored by

Session 10Room: Conv. Ctr. 210 C

Thu 10:40 am to 12:00 pm

Optical and DFM II: Joint Session with Conferences 8683 and 8684

SessionChairs:Kafai Lai, IBMCorp.(UnitedStates);John L. Sturtevant, MentorGraphics

Corp.(UnitedStates)

10:40am:3D resist profile modeling for OPC applications,YongfaFan,Synopsys,Inc.(UnitedStates);KohKarKit,Globalfoundries(China);WolfgangHoppe,BerndKuechler,SynopsysGmbH(Germany);MakotoMiyagi,Synopsys,Inc.(UnitedStates);ThomasSchmöller,SynopsysGmbH(Germany) . . . . . . . . . . . . . . . . . [8683-43]

11:00am:Triple-patterning lithography (TPL) layout decomposition using end cutting,BeiYu,Jhih-RongGao,DavidZ.Pan,TheUniv.ofTexasatAustin(UnitedStates). . . . . . . . . . . . [8684-16]

11:20am:On the accuracy of different Fourier transforms of VLSI designs,RajaiNasser,PaulHurley,IBMZürichResearchLab.(Switzerland) . . . . . . . . . . . . . . . . . . . . . [8683-44]

11:40am:Process window analysis of algorithmic assist feature placement options at the 2xnm node DRAM,JinhyuckJeon,ShinyoungKim,Chan-HaPark,Hyun-JoYang,DongGyuYim,SKHynix,Inc.(Korea,Republicof)[8684-17]

LunchBreak . . . . . . . . .Thu12:00pmto1:20pm

Page 54: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

52 SPIEAdvancedLithography2013 · www.spie.org/al

Session 13Room: Conv. Ctr. Hall 3 Thu 3:30 pm to 5:00 pm

DSA Lines-SpacesSessionChairs:Frank M. Schellenberg, Consultant(United

States);Christopher Bencher, AppliedMaterials,Inc.(UnitedStates)

3:30pm:Fabrication of 28nm pitch Si fins with DSA lithography (Invited Paper),GerardM.Schmid,RichardA.Farrell,JiXu,MosheE.Preil,GLOBALFOUNDRIESInc.(UnitedStates);MichaelJ.Cicoria,VidhyaChakrapani,TokyoElectronAmerica,Inc.(UnitedStates);DavidR.Hetzer,TELTechnologyCtr.,America,LLC(UnitedStates);MarkH.Somervell,BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . [8680-51]

4:00pm:Directed self-assembly process integration in a 300mm pilot line environment,Chi-ChunLiu,JassemAbdallah,StevenJ.Holmes,CristinaEstrada-Raygoza,YunpengYin,IBMAlbanyNanoTech(UnitedStates);DavidR.Hetzer,TELTechnologyCtr.,America,LLC(UnitedStates);MichaelJ.Cicoria,MarkH.Somervell,TokyoElectronAmerica,Inc.(UnitedStates);MatthewColburn,IBMAlbanyNanoTech(UnitedStates). . . . . . . . . . . . . . . . . . . [8680-52]

4:20pm:Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration,PaulinaA.RinconDelgadillo,Univ.ofChicago(UnitedStates)andIMEC(Belgium);RoelGronheid,IMEC(Belgium);GuanyangLin,YiCao,AZElectronicMaterialsUSACorp.(UnitedStates);AinhoaRomo-Negreira,TokyoElectronEuropeLtd.(Netherlands);MarkH.Somervell,KathleenNafus,TokyoElectronAmerica,Inc.(UnitedStates);PaulF.Nealey,Univ.ofChicago(UnitedStates) . . . . . . . . . . . . . . . . . . . . . . . . . . [8680-53]

4:40pm:Large-scale dynamics of directed self-assembly block copolymers on chemically pre-patterned surface,KenjiYoshimoto,TakashiTaniguchi,KyotoUniv.(Japan). . . . . [8680-54]

ConferenceEnd.

Session 15Room: Conv. Ctr. 230 B Thu 3:50 pm to 5:20 pm

Late Breaking NewsSessionChairs:Ofer Adan, AppliedMaterials(Israel);

Alexander Starikov, I&IConsulting(UnitedStates)

3:50pm:Gaps analysis for CD metrology beyond the 22nm node (Invited Paper),BenjaminD.Bunday,SEMATECHNorth(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-57]

4:20pm:High-speed atomic force microscope for patterned defect review,ChanminSu,HaimingWang,YanHu,ShuiqingHu,JasonOsborne,SeanHand,JianShi,BrukerNanoInc.(UnitedStates). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8681-100]

4:40pm:Metrology solutions for high-performance Germanium multigate field-effect transistors using optical scatterometry,Hock-ChunChin,Moh-LungLing,NanometricsInc.(UnitedStates);BinLiu,XinguiZhang,NationalUniv.ofSingapore(Singapore);JieLi,YongdongLiu,JiangtaoHu,NanometricsInc.(UnitedStates);Yee-ChiaYeo,NationalUniv.ofSingapore(Singapore). [8681-107]

5:00pm:Enhancing metrology by combining spacial variability and global inference, CostasJ.Spanos,JaeYeon(Claire)Baek,Univ.ofCalifornia,Berkeley(USA) . . . . . . . . . . . . . . . . . [8681-123]

ConferenceEnd.

Conference 8681

Metrology, Inspection, and Process Control for Microlithography XXVII

Conference 8680

Alternative Lithographic Technologies V

thursday 28 February

Make SPIE your resource. Join or renew online today.

spie.org/membership

[email protected]+13606763290

Membership

A long-term investment that pays off

10 SPIE Digital Library downloads

Complimentary online SPIE Journal

1 Complimentary online course

Networking and access to information

Discounts on events, courses, and publications

Career advancement and peer recognition

Join or renew your sPie Membership1 year $105 | 3 years $297 | Lifetime $995

Discounts for students and early career professionals

Page 55: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 53

Session 12Room: Conv. Ctr. 210 C Thu 3:30 pm to 5:30 pm

ToolingSessionChairs:Soichi Owa, NikonCorp.(Japan);Jo

Finders, ASMLNetherlandsB.V.(Netherlands)

3:30pm:A study of vertical lithography for high-density 3D structures,MasakiMizutani,Shin-IchiroHirai,IchiroKoizumi,Ken-IchiroMori,SeiyaMiura,CanonInc.(Japan) . . . . . . . . . . [8683-50]

3:50pm:Power up: 120 Watt injection-locked ArF excimer laser required for both multipatterning and 450mm wafer lithography,TakeshiAsayama,YouichiSasaki,GigaphotonInc.(Japan);AkihikoKurosu,HiroakiTsushima,TakahitoKumazaki,GigaphotonInc.(Japan);KoujiKakizaki,KomatsuLtd.(Japan);TakashiMatsunaga,HakaruMizoguchi,GigaphotonInc.(Japan). . . . . . . . . . . [8683-51]

4:10pm:High-power 120W ArF immersion laser for high-dose applications,RostislavI.Rokitski,RobertJ.Rafac,RicardoDubi,JoshuaJ.Thornes,JohnT.Melchior,TheodoreCacouris,MaryHaviland,DanielJ.Brown,Cymer,Inc.(UnitedStates) . . [8683-52]

4:30pm:Comprehensive thermal aberration and distortion control of lithographic lenses for accurate overlay,YoheiFujishima,SatoshiIshiyama,SusumuIsago,AkihiroFukui,HajimeYamamoto,ToruHirayama,TomoyukiMatsuyama,YasuhiroOhmura,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . [8683-53]

4:50pm:High-order field-to-field corrections for imaging and overlay for achieving sub-20nm lithography requirements,JanMulkens,MichaelKubis,PaulC.Hinnen,HansvanderLaan,WimTel,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . [8683-54]

5:10pm:High-accuracy and high-productivity immersion scanner enabling 1xnm hp manufacturing,YosukeShirata,YuichiShibazaki,JunichiKosugi,KikuchiTakahisa,YasuhiroOhmura,NikonCorp.(Japan).............................. [8683-55]

5:30pm:Extending ArFi immersion down to 1xnm production nodes by new TWINSCAN ‘NXT’ scanner generations, RemiPieternella,WimP.deBoeij,IgorBouchoms,MartijnLeenders,RobertKazinczi,PieterGunter,MartinVerhoeven,SiebeLandheer,MarjinHoofman,JoostSmits,JannekevanHeteren,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . [8683-91}

Room: Conv. Ctr. 210 C…5:50 pm to 6:00 pm

Closing RemarksSessionChairs:Will Conley, Cymer,Inc.(UnitedStates);

Kafai Lai, IBMCorp.(UnitedStates)

ConferenceEnd.

Session 8Room: Conv. Ctr. 211 B hu 3:30 pm to 4:30 pm

Algorithms for DFMSessionChairs:Chul-Hong Park, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Jason P. Cain, Advanced

MicroDevices,Inc.(UnitedStates)

3:30pm:Model-based hint for litho-hotspot fixing beyond 20nm node,Jae-HyunKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);SarahMohamed,MentorGraphicsEgypt(Egypt);WaelElManhawy,MentorGraphicsCorp.(UnitedStates);Byung-MooKim,NayaHa,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);HungBokChoi,KeeSupKim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Jean-MarieBrunet,JoeKwan,MentorGraphicsCorp.(UnitedStates);KareemMadkour,MentorGraphicsEgypt(Egypt);EvanLee,MentorGraphicsKorea(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-23]

3:50pm:A novel algorithm for automatic arrays detection in a layout,MarwahShafee,MentorGraphicsEgypt(Egypt);Jea-WooPark,AraAslyan,JuanAndresTorres,MentorGraphicsCorp.(UnitedStates);KareemMadkour,MentorGraphicsEgypt(Egypt);WaelElManhawy,MentorGraphicsCorp.(UnitedStates)[8684-24]

4:10pm:An automated resource management system to improve production tapeout turn-around time,JunweiLu,MentorGraphicsCorp.(China);EricG.Guo,QigweiLiu,SherryZhu,SemiconductorManufacturingInternationalCorp.(China);JennyTsai,MarkC.Simmons,MentorGraphicsCorp.(UnitedStates);JasonWu,SemiconductorManufacturingInternationalCorp.(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [8684-25]

ConferenceEnd.

Conference 8683

Optical Microlithography XXVI

Conference 8684

Design for Manufacturability through Design-Process

thursday 28 February

Benefits of Publishing in the Journal of Micro/Nanolithography, MEMS, and MOEMS– Wide availability to readers via the SPIE

Digital Library

– Rapid publication; each article is pub-lished when it is ready

– Coverage by Web of Science and Journal Citation Reports as well as other relevant abstracting and indexing services and search engines

– Professional copyediting and typesetting ensure high-quality presentation and reduce work for authors

– Video and color content at no charge to authors

– Reference linking via CrossRef

– Mobile access to articles

– Figures may be downloaded and captured as PowerPoint slides with citations

– Open Access for articles immediately with voluntary payment of page charges

www.spie.org/jm3

^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^

Page 56: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

54 SPIEAdvancedLithography2013 · www.spie.org/al

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

A

Abargues,Rafael[8682-31]S8,[8682-32]S8

Abdallah,Jassem[8680-52]S13,[8683-3]S2

Abdelghany,HeshamM.[8683-77]SPS1Abdelwahed,AmrM.S.Tosson[8684-11]

S3Abe,Tomohiko[8680-72]SPS2Abhari,RezaS.[8679-92]SPS1Aburada,Ryota[8683-23]S5Ackmann, Paul W.[8683-6]S2,[8683-

61]SPS1Adam, Kostas[8683-48]S11Adams,DanielE.[8681-17]S4Adan,Ofer8681ProgramCommittee,

8681S11SessionChair,8681S15SessionChair,[8681-7]S3

Agarwal,KanakB.[8684-4]S2Agrawal,Gaurav[8681-2]S2Agudelo, Viviana[8683-42]S5,[8683-42]

S9Ahmad,Ahmad[8680-42]S11,[8680-44]

S11Ahn,Jeongho[8681-81]SPS1Ahn,Jinho[8679-79]SPS1Ahn,Sean[8680-31]S8Aida,Kouhei[8680-13]S4,[8680-13]S6Aitken,Robert8684ProgramCommittee,

8684S7SessionChairAkai,Keiji[8682-19]S4,[8682-19]S6Alagna,Paolo[8683-28]S6Alayo,Nerea[8680-10]S3Albert,Johan[8685-10]S3Albrecht,ThomasR.[8680-21]S6,[8680-

21]S8,[8680-30]S8,[8685-21]S6Ali,Iqbal[8681-32]S9Alleaume, Clovis[8683-38]S8Allen,RobertSymposiumCommittee,

8682ProgramCommittee,8682S4SessionChair

Allgair, John A.8681ProgramCommittee,[8681-2]S2

Alphen,ErwinV.[8679-50]S11Altamirano-Sánchez,Efrain[8682-6]S2,

[8685-5]S2,[8685-7]S2Alvis,Roger[8681-6]S2Amano,Tsuyoshi[8679-46]S10,[8679-

60]SPS1,[8679-64]SPS1,[8679-66]SPS1

Amir,Nuriel[8681-43]S11,[8681-84]SPS1,[8681-87]SPS1

Amit,Eran[8681-84]SPS1,[8681-87]SPS1

Anderson,ChristopherNeil[8679-25]S6Anderson,ErikH.[8681-22]S6Ang,Jesline[8681-56]S14Anno,Yusuke[8679-95]SPS1Anno,Yuusuke[8680-58]SPS1,[8682-

15]S4

Antohe,AlinO.[8679-48]S10,[8679-59]SPS1,[8679-62]SPS1

Anyadiegwu, Clement T.[8682-27]S8Aoki,Masami[8681-76]SPS1Aoyama,Hajime[8683-22]S5,[8683-29]

S7,[8683-9]S3Apetz,Rolf[8679-8]S3Arai,Koji[8681-42]S11Arai,Tadashi[8683-4]S2Arakawa,Mototaka[8679-58]SPS1,

[8679-86]SPS1Arceo,Abraham[8681-12]S4,[8681-13]

S4,[8681-31]S9,[8681-33]S9Arellano,Noel[8680-33]S4,[8680-33]S9Argoud,Maxime[8680-37]S10,[8680-5]

S2Arisawa,Yukiyasu[8679-68]SPS1,

[8679-99]SPS1Armeanu,AnaMaria[8683-18]S4Arnold, William H.Symposium

Committee,[8681-1]S1Aryal,Mukti[8680-29]S8Asada,Kunihiro[8680-75]SPS2Asai,Masaya[8679-104]SPS1Asakawa,Koji[8680-59]SPS1Asano, Masafumi8681Program

Committee,8681S14SessionChair,8681S2SessionChair,[8681-29]S6,[8681-29]S8,[8681-30]S6,[8681-30]S8

Asayama,Takeshi[8683-51]S12Ashby,PaulD.[8681-23]S6,[8682-22]

S7Ashworth,Dominic[8679-42]S9,[8679-

96]SPS1Aslyan,Ara[8684-24]S8Athimulam,Raja[8685-24]SPSAtkarc,Prasad[8684-8]S3Attota,Ravikiran[8679-27]S6,[8681-32]

S9,[8681-33]S9Axelrad,Valery[8683-4]S2Ayothi, Ramakrishnan8682Program

Committee,8682S8SessionChair,[8682-38]S10,[8682-44]S11

Azarnouche,LaurentM.[8685-9]S3Azuma,Tsukasa[8680-40]S10,[8680-55]

SPS1

B

Badger,KarenD.[8679-18]S4Baek,GwangHyun[8685-16]S5Baidya,Bikram[8684-8]S3Baik,Ki-Ho[8683-60]SPS1Bailey,Brad[8679-37]S8Baklanov,MikhailR.[8685-14]S4Balakrishnan,Srinivasan[8680-33]S4,

[8680-33]S9

Baluswamy,Pary[8681-106]SPS1,8683ProgramCommittee,8683S6SessionChair

Balzer,FelixGerhard[8680-44]S11,[8681-116]SPS2

Banerjee,Shayak[8684-4]S2Bangsaruntip,Sarunya[8685-19]S6Banna,Samer[8685-17]S5Bannister,Julie8685Program

Committee,8685S4SessionChair,[8685-13]S4

Bao,Xin-Yu[8680-35]S4,[8680-35]S9Barboutis,Annett[8679-72]SPS1Barclay,GeorgeG.8679S2Session

Chair,8682ProgramCommittee,8682S3SessionChair,[8682-68]SPS3

Barclay,Michael[8679-22]S5Bardet,Benjamin[8685-9]S3Barnes,BryanM.[8681-13]S4,[8681-31]

S9Barnola,Sebastien[8680-37]S10Bartynski,RobertA.[8679-7]S2,[8679-7]

S3Bar-Zvi,Maayan[8681-7]S3Bastard,David[8681-14]S4Bastiaansen,CeesW.M.[8682-65]SPS3Bates,ChristopherM.[8680-6]S2Baylav, Burak[8683-68]SPS1Beacham,Adam[8683-37]S8Beak,DuHyun[8683-60]SPS1Bekaert,JoostP.M.[8683-28]S6Belete, Melkamu A.[8685-31]SPSBelic,Nikola[8680-78]SPS2Bell,WilliamK.[8682-30]S8Belledent,Jérôme[8680-18]S5,[8680-

37]S10Bello,Abner[8681-7]S3Beltman,Jan[8681-4]S2,[8681-40]S11Bencher,Christopher8680Program

Committee,8680S13SessionChair,8680S2SessionChair,[8682-5]S2,[8684-19]S7

Bendall,Lisa[8679-27]S6Bender,Markus8679Program

CommitteeBenk,MarkusP.[8679-44]S10Benschop, Jos P.8679Program

Committee,8679S1SessionChair,[8683-25]S6

Bent,StaceyF.[8682-29]S8Berard-Bergery,Sebastien[8680-18]S5Berg,RobertF.[8679-22]S5,[8679-71]

SPS1Berglund,Mari[8680-18]S5Bernard-Granger,Fabrice[8681-52]S14Berthiaume,Sylvain[8681-110]SPS1Besacier,Maxime[8683-38]S8Besen,MatthewM.[8679-87]SPS1Bevis,ChrisF.[8680-16]S5,[8680-77]

SPS2Beyne,Eric[8683-2]S1Bhatia,Anadi[8681-14]S4

Bhattacharyya,Kaustuve[8681-3]S2,[8683-25]S6

Bhattarai,Suchit[8679-76]SPS1Biafore,JohnJ.[8679-101]SPS1,[8679-

95]SPS1,[8682-2]S1,[8682-39]S10Bian,Hao[8680-68]SPS2Biel,Martin[8679-72]SPS1Bizjak, Tanja[8683-88]SPS1Blachut,Gregory[8680-6]S2Black,CharlesT.8685S6SessionChairBlackborow,Paul[8679-87]SPS1Blackwell,JamesM.[8681-23]S6,[8682-

22]S7Blackwood,Jeff[8681-6]S2Blakey,Idriss[8680-8]S2Blancquaert,Yoann[8681-40]S11,

[8681-83]SPS1Bocharova,Irina[8679-7]S2,[8679-7]S3Bodermann,Bernd[8681-75]SPS1Boemmels,Juergen[8679-107]SPS1,

[8683-5]S2Bonam,Ravi[8679-18]S4Bonhôte,Christian[8685-21]S6Borah,Dipu[8680-60]SPS1Borisov,VladimirMikhailovich[8679-110]

SPS1Boroumand Azad, Javaneh[8682-80]

SPS4Boullart,Werner[8685-7]S2,[8685-10]

S3,[8685-13]S4,[8685-24]SPS,[8685-5]S2

Bourov, Anatoly Y.[8681-85]SPS1Bouyssou,Régis[8681-26]S7Bouyssous,Régis[8681-74]SPS1Bowering,NorbertR.[8679-12]S3Bozak,RonR.[8679-53]S11Bozano, Luisa D.8682Program

Committee,8682S9SessionChair,[8682-44]S11

Bozdog,Cornel[8681-2]S2,[8681-30]S6,[8681-30]S8

Bozinova,Luna[8679-92]SPS1Braggin,Jennifer[8682-74]SPS5Brainard,RobertL.8679Program

Committee,8679S2SessionChair,[8679-7]S2,[8679-7]S3,8682S3SessionChair

Brakensiek,NickL.[8682-73]SPS5,[8682-75]SPS5

Brandt,DavidC.[8679-12]S3,[8679-51]S11,[8679-88]SPS1,[8679-89]SPS1

Bremer,Mark[8679-42]S9Breninger,Andy[8683-84]SPS1Bret,Tristan[8679-33]S7Breyta,Gregory[8682-28]S8Briend,Guillaume[8681-26]S7Brihoum,Melisa[8685-9]S3Brist,Travis[8681-110]SPS1Bristol,Robert[8680-39]S10Brodie,AlanD.[8680-16]S5,[8680-77]

SPS2

Brodsky,ColinJ.[8682-74]SPS5Broer,DickJ.[8682-65]SPS3Brooker,PeterD.[8681-110]SPS1,

8683ProgramCommittee,8683S11SessionChair

Brown,DanielJ.[8679-51]S11,[8679-89]SPS1,[8683-52]S12

Browning,ClydeH.[8680-76]SPS2Bruce,RobertL.[8685-6]S2Brunet,Jean-Marie[8684-23]S8Brunner,TimothyA.Symposium

Committee,[8681-53]S14Bucchignano,JamesJ.[8685-19]S6Buchholz,Christian[8679-72]SPS1Buck, Peter D.8683Program

Committee,[8683-6]S2Buengener,Ralf[8681-12]S4Buergel,Christian[8683-6]S2Bunday, Benjamin D.8681Program

Committee,8681S10SessionChair,8681S4SessionChair,[8681-12]S4,[8681-18]S5,[8681-20]S6,[8681-33]S9,[8681-36]S10,[8681-57]S15

Burger, Sven[8681-102]SPS1,[8681-75]SPS1

Burkhardt, Martin[8679-94]SPS1Burns,SeanD.8682Program

Committee,8682S11SessionChairBusch,Jens[8683-61]SPS1Bustos,Jessy[8680-50]S12Byers,ErikR.[8683-31]S7

C

Cabrini,Stefano[8680-10]S3,[8680-27]S8,[8682-69]SPS4

Cacouris,Theodore[8683-52]S12,[8683-62]SPS1,[8683-71]SPS1

Cai,BoxiuSpike[8681-68]SPS1,[8681-77]SPS1

Cain,JasonP.8681ConferenceCoChair,8681S1SessionChair,8681S3SessionChair,8684ProgramCommittee,8684S8SessionChair,[8684-2]S1

Calafiore,Giuseppe[8680-10]S3Cameron,JamesF.[8679-6]S2,[8679-6]

S3,[8682-39]S10Camp,Janay[8681-94]SPS1Campbell,Chris[8682-5]S2Campochiaro,Cecelia[8681-14]S4Cao, Yi[8680-15]S4,[8680-15]S6,

[8680-30]S8,[8680-53]S13,[8680-64]SPS1

Capodieci, Luigi8684ProgramCommittee,8684S3SessionChair,[8684-3]S1,[8684-9]S3

Carballo,Juan-Antonio8684ProgramCommittee,8684S2SessionChair

Page 57: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 55

Mark Your Calendarwww.spie.org/al2014

TechnologiesforsemiconductorlithographyR&D,devices,tools,fabrication,andservices

2014

Topics:-AdvancedEtchTechnologyforNanopatterning-EUVLithography-AlternativeLithographicTechnologies-Metrology,Inspection,andProcessControl-AdvancesinResistMaterialsandProcessing

Technology-OpticalMicrolithography-DesignforManufacturabilitythroughDesign-Process

Integration

Conference & Courses 23–27February2014

Exhibition25–26February2014

LocationSanJoseMarriottandSanJoseConventionCenter,SanJose,California,USA

Page 58: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

56 SPIEAdvancedLithography2013 · www.spie.org/al

Carr,BrandyL.[8685-26]SPSCarroll,Allen[8680-16]S5Casimiri,Eric[8679-3]S1Chakrabarty,Souvik[8679-5]S2,[8679-

5]S3Chakraborty,Tonmoy[8679-45]S10,

[8679-47]S10Chakrapani,Vidhya[8680-51]S13Chan,BoonTeik[8682-20]S4,[8682-20]

S6Chan,TuckBoon[8684-21]S7Chan,Ya-Ting[8682-12]SPS2Chandorkar,SaurabhA.[8680-9]S3Chang,Ching-Yu[8682-78]SPS3Chang,Shih-Wei[8680-14]S4,[8680-14]

S6Chang,Shu-Hao[8679-23]S5,[8679-80]

SPS1Chang,Ya-Hui[8682-78]SPS3Chang,Ya-Ting[8681-66]SPS1Chang,Yi-Shiang[8682-12]SPS2Chao,Rikon[8679-57]SPS1Chapuis,Yves-Andre[8680-21]S6,

[8680-21]S8,[8685-21]S6Chen,AlekC.8681ProgramCommittee,

8681S14SessionChair,[8681-115]SPS2

Chen,AnchorC.H.[8681-79]SPS1Chen,ChangKai[8682-60]SPS2Chen,Charlie[8681-43]S11,[8681-69]

SPS1Chen,ChiaMin[8682-68]SPS3Chen,Chih-Yu[8681-115]SPS2Chen,Chung-Ping[8683-66]SPS1Chen,Chun-Hsun[8682-12]SPS2Chen,Feng[8680-68]SPS2Chen,FrederickT.[8682-35]S9,[8683-

36]S8,[8685-27]SPSChen,HongChih[8685-27]SPSChen,Howard[8681-97]SPS1Chen,Hsien-Hung[8681-89]SPS1Chen,JackJ.H.[8679-23]S5,[8679-56]

SPS1,[8679-80]SPS1Chen,Jay[8681-79]SPS1Chen,Jen-Hom[8680-48]S12Chen,Jinping[8679-112]SPS1Chen,Kuang-Chao[8683-72]SPS1,

[8683-73]SPS1Chen,Kuang-Jung[8682-28]S8Chen,Lee[8685-15]S5Chen,Lei[8682-36]S9Chen,Li[8679-109]SPS1Chen,Li-Jui8679ProgramCommittee,

8679S3SessionChairChen,Ming-Jui[8681-89]SPS1,[8683-

69]SPS1,[8683-74]SPS1Chen,Norman[8683-61]SPS1Chen,Peng-Sheng[8685-27]SPSChen,Shu-Fang[8679-23]S5Chen,Wei-SuG.[8682-35]S9,[8683-36]

S8,[8685-27]SPSChen,Xiuguo[8681-119]SPS2

Chen,Ye[8681-60]SPS1Chen,Yen-Liang[8681-41]S11Chen, Yijian[8683-34]S8,[8684-14]S5,

[8684-14]S9,[8684-15]S5,[8684-15]S9,[8684-18]S7,[8684-20]S7,[8685-18]S5

Chen,Ying-Yu[8679-23]S5Chen,Yi-Yin[8683-40]S5,[8683-40]S9Chen,Yonghui[8681-90]SPS1,[8683-90]

SPS1Chen,Yongmei[8682-5]S2,[8683-5]S2Chen,YuYu[8681-112]SPS1Cheng,Guojie[8681-60]SPS1Cheng,Han-HaoElliot[8680-8]S2Cheng,Huikai[8681-6]S2Cheng,Jianrui[8681-85]SPS1,[8683-90]

SPS1Cheng,Jing[8682-81]SPS3Cheng,JoyY.8680ProgramCommittee,

8680S4SessionChair,8680S6SessionChair,[8680-15]S4,[8680-15]S6,[8680-32]S4,[8680-32]S9,[8680-33]S4,[8680-33]S9,8681S8SessionChair,8682S6SessionChair,[8682-15]S4,[8683-3]S2,[8685-19]S6

Cheng,Kangguo[8682-28]S8Cheng,Qi[8683-34]S8,[8684-18]S7Cheng,ShauneeY.[8679-55]S11,8681

ProgramCommittee,[8683-27]S6,[8683-5]S2

Cheng,YongWah[8683-20]S5Cheng,YuWei[8681-43]S11Cheng,Yung-Feng[8681-89]SPS1,

[8683-69]SPS1,[8683-74]SPS1,[8683-78]SPS1

Cheshmehkani,Ameneh[8682-82]SPS3Chevalier,Xavier[8680-37]S10,[8680-5]

S2Chia,Robin[8683-20]S5Chien,Ming-Chin[8679-23]S5Chien,Shang-Chieh[8679-23]S5,[8679-

80]SPS1Chin,Hock-Chun[8681-107]SPS1Chin,Rodney[8679-42]S9Chiu,Mao-Hsing[8682-12]SPS2Chiu,Ming-Chien[8682-12]SPS2Cho, Joonyeon[8682-27]S8,[8682-41]

S10Cho,Kyoungyoung[8679-78]SPS1,

[8682-43]S11Cho,Sangho[8682-37]S4Cho,Sang-Joon[8681-5]S2,[8681-51]

S13Cho,TaeS.[8679-88]SPS1Cho,YongJai[8681-37]S10Choi,ByoungIl[8682-24]S7Choi,ByungJin[8680-31]S8Choi,Dae-Han[8685-11]S3Choi,Dongsub[8681-43]S11,[8681-56]

S14,[8681-92]SPS1Choi,HungBok[8684-23]S8Choi,HyunChol[8683-19]S5

Choi,Jeaseung[8683-15]S4Choi,Ji-Hyeon[8683-21]S5Choi,Jin[8683-21]S5Choi,JinWoo[8684-5]SPSChoi,Jin-Phil[8683-60]SPS1Choi,Jungdal[8683-11]S3Choi,Jung-Hoe[8683-15]S4Choi,Kang-Hoon[8680-46]S12,[8682-

34]S9Choi,SeiryungChoi,Seong-Woon[8683-11]S3Chou,Chih-Shiang[8681-66]SPS1Chou, Shuo-Yen[8683-40]S5,[8683-40]

S9Christianson,MatthewD.[8682-42]S10Chua,GekSoon[8679-30]S7Chuang,Kai-Lin[8682-68]SPS3Chuang,Ya-Mi[8680-8]S2Chunder,Aninarupa[8680-15]S4,[8680-

15]S6Chung,ChilheeChung,YeonSook[8682-26]S7Cicoria,MichaelJ.[8680-51]S13,[8680-

52]S13,[8682-14]S4Civay,Deniz[8679-24]S6,[8679-28]S6,

[8679-97]SPS1Clark,Corrie[8682-37]S4Claus,ReneA.[8679-69]SPS1Clifford, Chris H.[8679-30]S7Cohen,Guy[8681-84]SPS1,[8681-87]

SPS1Colburn,Matthew[8680-52]S13,[8682-

14]S4,[8682-28]S8Coley,SuzanneM.[8679-6]S2,[8679-6]

S3,[8682-39]S10Conley, Will8683ConferenceChair,

8683S1SessionChair,8683S9SessionChair,[8683-58]SPS1,[8683-62]SPS1,[8683-71]SPS1,8684S5SessionChair

Conradi,Olaf[8679-52]S11Constancias,Christophe[8681-39]S11Constantoudis,Vassilios[8679-111]

SPS1,[8679-95]SPS1,[8681-120]SPS1,[8685-4]S2

Corcoran,Noel[8681-109]SPS1Cordes, Aaron[8681-36]S10Cork,ChristopherM.[8683-7]S2Corliss,DanielA.8679Program

Committee,8679S11SessionChair,[8679-18]S4,[8679-94]SPS1

Coskun,TamerH.[8679-30]S7Couweleers,FredC.M.[8680-50]S12Cramer,Hugo[8683-25]S6Crimmins,TimothyF.8681Program

Committee,8681S4SessionChair,8681S9SessionChair

Cronin,MichaelF.[8682-73]SPS5Crosland,NigelC.E.[8680-24]S7Cross,AndrewJ.[8680-20]S6,[8680-20]

S8,[8681-76]SPS1,[8685-29]SPSCui,Liping[8681-2]S2

Cummings,Kevin[8679-42]S9Cunge,Gilles[8680-37]S10,[8680-5]S2,

[8685-17]S5Curreli,Davide[8679-13]S3,[8679-93]

SPS1Cushen,JuliaD.[8680-6]S2

D

Dai,Huixiong[8679-32]S7,[8679-55]S11,[8682-5]S2,[8683-5]S2,[8684-19]S7

Dai,Johnny[8681-79]SPS1Dai,Vito[8684-9]S3Dammel, Ralph R.Symposium

Committee,8680S4SessionChair,8682ProgramCommittee,8682S6SessionChair

Dang,TuanNguyen[8679-77]SPS1,[8679-81]SPS1,[8679-83]SPS1

Dansberg,Michel[8680-23]S7Darling,SethB.[8680-4]S2Darnon,Maxime8685Program

Committee,8685S3SessionChair,[8685-17]S5

Das,Sanjana[8679-7]S2,[8679-7]S3Dasari,Prasad[8681-27]S7,[8681-28]

S7Dave,Aasutosh[8683-20]S5,[8683-56]

SPS1Dave,Dhara[8680-50]S12DeBisschop,Peter[8681-9]S3,[8683-

37]S8,[8683-46]S11deBoer,Guido[8680-23]S7,[8680-50]

S12DeDea,Silvia[8679-12]S3DeGendt,Stefan[8685-7]S2DeHaan,Martine[8683-62]SPS1deMarneffe,Jean-FrancoisG.N.[8685-

14]S4DeMartino,Antonello[8681-39]S11dePablo,JuanJ.8680Program

Committee,[8680-13]S4,[8680-13]S6

DeSchepper,Peter[8685-5]S2,[8685-7]S2

Dean,LeonM.[8680-6]S2Dechene,DanJ.[8683-3]S2Dekkers,Harold[8685-10]S3DelRey,Ryan[8679-7]S2,[8679-7]S3Delalande,Michael[8680-37]S10,[8680-

5]S2Delaney,KrisT.[8680-39]S10Delvaux,Christie[8682-6]S2Demmerle, Wolfgang[8683-22]S5Demuynck,Steven[8679-107]SPS1Denbeaux, Gregory[8679-113]SPS1,

[8679-114]SPS1,[8679-7]S2,[8679-7]S3

Deng,Xiao[8681-118]SPS2Depre,Jerome[8681-40]S11,[8681-83]

SPS1Depre,Laurent[8683-38]S8,[8683-39]

S8Desvoivres,Latifa[8681-26]S7Dezauzier,Christophe[8681-40]S11D’havé,Koen[8683-27]S6,[8683-28]S6,

[8683-5]S2Dhuey,ScottD.[8680-10]S3,[8680-27]

S8Di,Ming[8681-101]SPS1Diago,PedroRizo[8679-3]S1Diebold, Alain C.[8681-21]S6Dinachali,SamanSafari[8682-83]SPS3Dinh,CongQue[8682-18]S5Dixson, Ronald G.[8681-31]S9Do,Mun-hoi[8683-15]S4Do,Thuy[8683-18]S4Dobisz, Elizabeth A.8680Program

Committee,8680S8SessionChair,[8680-21]S6,[8680-21]S8,[8685-21]S6

Doerk,GregoryS.[8680-33]S4,[8680-33]S9,[8683-3]S2

Doh,Jonggul[8679-79]SPS1Domann,Gerhard[8682-63]SPS3Doris,Bruce[8682-28]S8Dover,RussellJ.[8683-38]S8,[8683-39]

S8Dowling,RobertC.[8680-24]S7Doytcheva,Maya[8681-4]S2Drndic,Mirija[8680-83]SPS4Droste,Richard[8679-50]S11Du,Guangqing[8680-68]SPS2Du,Yuelin[8684-13]S5,[8684-13]S9Duan,Lifeng[8681-90]SPS1,[8683-90]

SPS1Dubi,Ricardo[8683-52]S12Dubrau,Benjamin[8679-72]SPS1Ducote,Julien[8681-52]S14Dumont,Benjamin[8681-26]S7Dunn,Derren[8685-3]S1Durand,WilliamJ.[8680-6]S2Durant,Stephane[8680-20]S6,[8680-20]

S8Durrani,Zahid[8680-42]S11Dusa, Mircea V.SymposiumChairDuval,PaulJ.[8680-67]SPS2Dziomkina,NinaV.[8679-3]S1

E

Ebersbach,Peter[8681-2]S2Echigo,Masatoshi[8682-66]SPS3Edgeworth,Robert[8681-32]S9Ekinci,Yasin[8679-35]S8,[8679-75]

SPS1Elam,JeffreyW.[8680-4]S2

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

Page 59: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 57

Index of Authors, Chairs, and Committee MembersElg,Dan[8679-13]S3,[8679-88]SPS1,

[8679-93]SPS1Ellison,ChristopherJ.[8680-6]S2Ellwi,SamirS.[8679-90]SPS1Elmalk,Abdalmohsen[8681-53]S14ElManhawy,Wael[8684-23]S8,[8684-

24]S8Elsewefy,Omar[8683-20]S5,[8683-56]

SPS1Endo,Masayuki[8682-53]SPS2Endo,Takafumi[8682-9]S2,[8682-9]S3Engblom,PeterD.[8683-31]S7Engelen,Andre[8683-25]S6Engelmann, Sebastian U.8685Program

Committee,8685S3SessionChair,[8685-19]S6,[8685-6]S2

Engelstad,RoxannL.SymposiumCommittee

Englard,Ilan[8681-104]SPS1,[8681-105]SPS1

Enomoto,Satoshi[8679-77]SPS1,[8679-81]SPS1,[8679-83]SPS1,[8682-18]S5,[8682-45]S11

Enomoto,Yuuji[8681-10]S3Entradas,Jorge[8683-14]S4,[8683-18]

S4Eom,Tae-Seung[8679-54]S11Ercken,Monique[8682-6]S2Erdmann, Andreas[8679-33]S7,[8679-

61]SPS1,8683ProgramCommittee,8683S3SessionChair,[8683-42]S5,[8683-42]S9,[8683-63]SPS1

Erenturk,Burcin[8683-19]S5Erfurth,Wilfried[8682-77]SPS3Ershov,AlexI.[8679-12]S3Esashi,Masayoshi[8680-17]S5Escalante-Marun,Maryana[8681-53]S14Estelle,Tom[8682-68]SPS3Estrada-Raygoza,Cristina[8680-52]S13Evans,JessicaP.[8680-14]S4,[8680-14]

S6Evanschitzky,Peter[8679-33]S7,[8679-

61]SPS1,[8683-42]S5,[8683-42]S9

F

Fairbrother,Howard[8679-22]S5Fakhry, Moutaz[8683-3]S2Falbo,JamesKenneth[8684-22]S7Fan,Yongfa[8683-15]S4,[8683-43]S10,

[8683-43]S6Fan,Yu-Jen[8679-113]SPS1,[8679-96]

SPS1Fang,Ruifang[8683-87]SPS1Fang,Wei[8679-18]S4Faradzhev,NadirS.[8679-22]S5,[8679-

71]SPS1Farah,Abdi[8680-26]S7Farnham,WilliamB.[8682-79]SPS4

Farrar,NigelR.[8679-51]S11,[8679-89]SPS1,8683ProgramCommittee,8683S8SessionChair

Farrell,RichardA.[8680-51]S13Farys,Vincent[8683-38]S8Fathy,Rami[8684-11]S3Feick,Henning[8683-59]SPS1Feigl,Torsten[8679-12]S3Feldbaum,MichaelR.[8680-28]S8Felix,Nelson[8681-53]S14Feng,Chen[8684-14]S5,[8684-14]S9Feng,Mu[8683-39]S8,[8683-46]S11Fenger, Germain L.[8679-24]S6,[8679-

30]S7,[8679-43]S9Fernandez,JorgeP.[8680-20]S6,[8680-

20]S8,[8685-29]SPSFernandez-Cuesta,Irene[8680-27]S8Fiedler,Tobias[8679-12]S3Figueiro, Nivea G. S.[8681-38]S10,

[8681-51]S13Figueiro, Thiago R.[8680-76]SPS2,

[8682-34]S9Filippov,Pavel[8681-86]SPS1Finders, Jo8683ProgramCommittee,

8683S12SessionChairFischer,Andreas[8679-72]SPS1Fischer,Daniel[8681-7]S3Fischer,DanielS.[8681-12]S4Flagello, Donis G.Symposium

Committee,[8683-24]S5,[8683-47]S11

Fletcher,Brian[8680-11]S3Fleury,Guillaume[8680-37]S10,[8680-5]

S2Flores,RonnieP.[8683-62]SPS1,[8683-

71]SPS1Flöter,Bernhard[8679-73]SPS1Fomenkov,IgorV.[8679-12]S3,[8679-

51]S11,[8679-89]SPS1Fonseca,Carlos8683Program

Committee,8683S4SessionChairFoong,YeeMei[8683-20]S5,[8683-57]

SPS1,[8683-76]SPS1Foord,David[8681-6]S2Ford,Carl[8681-2]S2Foubert,Philippe[8679-100]SPS1Foucher, Johann[8680-37]S10,[8681-

38]S10,[8681-5]S2,[8681-51]S13,[8681-86]SPS1

Fouchier,Marc[8685-9]S3Fox-Lyon,Nick[8685-6]S2Fredrickson,GlennH.[8680-39]S10,

[8680-41]S10Freed,Regina[8680-16]S5,[8680-77]

SPS2Freitag,Martin[8680-46]S12,[8682-34]

S9Friz,Alexander[8679-20]S5,[8679-78]

SPS1Frommhold,Andreas[8682-25]S7,

[8685-25]SPSFronheiser,Jody[8681-21]S6

Fryer,David[8683-48]S11Fuchimoto,Daisuke[8681-9]S3Fühner,Tim[8679-61]SPS1,[8683-42]

S5,[8683-42]S9Fujii,Kiyoshi[8683-85]SPS1Fujimoto,Akira[8680-59]SPS1Fujimoto,Masashi[8683-85]SPS1Fujishima,Yohei[8683-53]S12Fujitani,Noriaki[8682-9]S2,[8682-9]S3Fujiwara,Tomoharu[8683-9]S3Fukuhara,Kazuya[8681-54]S14,[8683-

29]S7Fukui,Akihiro[8683-53]S12Fukunaga,Fumihiko[8681-64]SPS1

G

Gallagher, Emily E.8679ProgramCommittee,8679S7SessionChair,[8679-18]S4,[8679-53]S11

Gallatin,GreggM.[8680-63]SPS1Gambino,Nadia[8679-92]SPS1Ganesan,Ramakrishnan[8682-83]SPS3Gans,Fritz[8683-6]S2Gao,HeH.[8680-30]S8,[8685-21]S6Gao,Jhih-Rong[8684-16]S10,[8684-16]

S6,[8684-6]S2,[8684-8]S3Gao,ShaoWen[8681-62]SPS1Gardner,DennisFloyd[8681-17]S4Garreis,Reiner[8679-40]S9Gatefait,Maxime[8681-4]S2,[8681-73]

SPS1,[8681-74]SPS1Gau, Tsai-Sheng[8681-66]SPS1,8683

ProgramCommittee,8683S2SessionChair,[8683-40]S5,[8683-40]S9

Ge,Adam[8681-7]S3Geddes,JosephB.[8680-29]S8Geh, Bernd[8679-40]S9,8683Program

Committee,8683S7SessionChairGermer, Thomas A.[8681-25]S7Ghaida,RaniS.[8681-11]S3Gharbi,Ahmed[8680-37]S10,[8680-5]

S2Giannelis,EmmanuelP.[8679-5]S2,

[8679-5]S3,[8682-26]S7Gignac,LynneM.[8685-19]S6Gillijns,Werner[8683-46]S11Ginzburg,ValeriyV.[8680-14]S4,[8680-

14]S6,[8682-16]S4Girard, Luc[8679-42]S9Glatzel,HolgerK.[8679-42]S9Gleason,Bob[8679-29]S7Goasmat,Francois[8681-13]S4,[8681-

31]S9Goddard, Lynford[8681-15]S4Godet,Ludovic[8682-5]S2Godny,Stephane[8681-29]S6,[8681-29]

S8,[8681-30]S6,[8681-30]S8

Godwin,MiltonC.[8679-48]S10,[8679-62]SPS1,[8681-65]SPS1

Goethals,Mieke[8679-100]SPS1Gogolides,Evangelos[8679-111]SPS1,

[8681-120]SPS1,[8685-4]S2Goh,Dawn[8681-56]S14Goh,Desmond[8681-56]S14Goirand,Pierre-Jerome[8681-4]S2,

[8681-73]SPS1,[8681-74]SPS1Goldberg, Kenneth A.[8679-24]S6,

[8679-28]S6,[8679-44]S10Goldstein,Michael8679Program

Committee,8679S6SessionChair,[8679-42]S9

Gong,Ying[8683-20]S5Good,F.[8685-12]S4Goodwin,Frank8679Program

Committee,8679S4SessionChair,[8679-14]S4,[8679-16]S4,[8679-48]S10,[8679-59]SPS1,[8679-62]SPS1

Goossens,RonaldJ.G.[8683-31]S7Gosselin,David[8680-10]S3Gotlinsky,Barry[8682-75]SPS5Goto,Takahiro[8679-4]S1Gouraud,Pascal[8681-26]S7Gourgon,Cecile[8683-38]S8Granik, Yuri8683ProgramCommittee,

8683S11SessionChair,[8683-18]S4Grantham,Katie[8682-76]SPS5Grantham,Steven[8679-71]SPS1Gräupner,Paul[8679-40]S9,[8679-57]

SPS1,[8679-61]SPS1Graves, Trey[8679-70]SPS1,[8682-2]

S1Green,Patrick[8679-37]S8Grenouillet,Laurent[8682-28]S8Gronheid,Roel[8679-111]SPS1,[8679-

19]S5,[8679-95]SPS1,[8680-20]S6,[8680-20]S8,[8680-53]S13,[8680-64]SPS1,[8681-67]SPS1,8682ProgramCommittee,8682S9SessionChair,[8682-10]S2,[8682-10]S3,[8682-20]S4,[8682-20]S6

Gronlund,KeithD.[8679-31]S7Gross,ErichR.[8683-62]SPS1,[8683-

71]SPS1Groves,TimothyR.8680Program

CommitteeGu,Feifei[8681-114]SPS2Gu,Xiaodan[8685-23]SPSGubiotti,Thomas[8680-16]S5,[8680-77]

SPS2Guerrero,Douglas[8680-61]SPS1,8682

ProgramCommittee,8682S7SessionChair,[8682-34]S9,[8685-26]SPS

Guglielma,Vecchio[8685-24]SPSGuillorn,MichaelA.[8680-32]S4,[8680-

32]S9,[8683-3]S2,[8685-19]S6Guliyev,Elshad[8680-42]S11,[8680-44]

S11Gullikson,EricM.[8679-28]S6,[8679-42]

S9,[8679-57]SPS1

Guo,Chunfeng[8682-68]SPS3Guo,EricG.[8681-60]SPS1,[8684-25]

S8Guo,Wei[8683-19]S5Gupta,Mukul[8681-11]S3Gupta,Puneet[8681-11]S3Gustafson,DeborahS.[8679-87]SPS1Gutsch,ManuelaS.[8680-46]S12,

[8682-34]S9

H

Ha,Naya[8684-23]S8Ha,SoonMok[8681-55]S14Haass,Moritz[8685-17]S5Hadziioannou,Georges[8680-37]S10,

[8680-5]S2HajIbrahim,Bicher[8681-39]S11Halle,ScottD.[8679-18]S4Ham,BooHyun[8681-55]S14Hamieh,Bassem[8683-19]S5Hamouda,Ayman[8683-19]S5Han,Jinkyu[8681-80]SPS1,[8681-91]

SPS1Han,JoonHee[8679-108]SPS1Han,Sang-In[8679-78]SPS1Hand,Sean[8681-100]SPS1,[8681-36]

S10Hansen,Terje[8685-5]S2,[8685-7]S2Hanson,Cynthia8680Program

Committee,8680S5SessionChairHao,Qingshan[8679-112]SPS1Hara,Arisa[8682-11]S4,[8682-3]S2,

[8682-49]SPS1,[8682-51]SPS1,[8683-4]S2,[8685-20]S6

Harada,Minoru[8681-64]SPS1Harb,Mohammed[8683-77]SPS1Hardy,Ricky[8682-68]SPS3Harned, Noreen[8679-50]S11Harris-Jones,Jenah[8679-16]S4,[8679-

45]S10,[8679-47]S10,[8679-59]SPS1,[8679-62]SPS1

Hartig,Carsten[8681-12]S4,[8681-2]S2,[8681-7]S3

Hartley,JohnG.[8679-63]SPS1,[8680-24]S7

Hartmann, Peter[8683-88]SPS1Harukawa,Ryota[8680-20]S6,[8680-20]

S8,[8681-76]SPS1Harumoto,Masahiko[8679-104]SPS1Hasegawa,Norio[8681-108]SPS1Hashimoto,Koji[8681-54]S14Hashimoto,Takaki[8683-23]S5,[8683-8]

S3Hashimoto,Yukio[8680-64]SPS1Hassanein, Ahmed[8679-10]S3,[8679-

91]SPS1Hässler-Grohne,Wolfgang[8681-50]S13Hassmann,Jens[8683-57]SPS1

Page 60: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

58 SPIEAdvancedLithography2013 · www.spie.org/al

Hatakeyama,Masahiro[8679-64]SPS1Hattori,Shigeki[8680-59]SPS1Hausotte,Tino[8681-116]SPS2Haviland,Mary[8683-52]S12Hayashi, Naoya8679Program

Committee,8679S4SessionChair,[8680-2]S1

He,Chaobin[8682-83]SPS3He,Yan-Ying[8681-66]SPS1He,Yuan[8683-31]S7Heath,WilliamH.[8682-30]S8Heinisch,Josef[8683-83]SPS1Hellebrekers,Paul[8680-31]S8Heller,Marcel[8683-35]S8,[8683-59]

SPS1Heller,Michael[8682-37]S4Hellin,David[8685-10]S3Henderson, Clifford L.8681S5Session

Chair,8682ProgramCommittee,8682S5SessionChair,[8682-69]SPS4,[8682-81]SPS3,[8682-82]SPS3

Hendrickx,Eric[8679-100]SPS1,[8679-102]SPS1

Henke,Wolfgang[8681-91]SPS1Heo,Jin-Seok[8681-80]SPS1,[8681-91]

SPS1Heo,Sujeong[8682-24]S7Her,YoungJun[8680-64]SPS1Hermans,JanV.[8679-100]SPS1,[8679-

55]S11,[8683-5]S2Hernandez,AbelardoRamirez[8680-13]

S4,[8680-13]S6Hernandez-Charpak,JorgeN.[8681-22]

S6Herr, Daniel J. C.8680Program

Committee,8681ProgramCommitteeHerrick,MatthewT.[8682-13]S4Hesse,Anne[8679-72]SPS1Hetzer,DavidR.[8680-51]S13,[8680-52]

S13,[8682-14]S4,[8682-50]SPS1Heyne,Markus[8685-14]S4Hibino, Daisuke[8681-10]S3Hieda,Hiroyuki[8680-66]SPS1Hieno,Atsushi[8680-59]SPS1Higashibata,Satomi[8681-54]S14Higashiki,Tatsuhiko8680Program

Committee,8680S3SessionChairHiggins,CraigD.[8679-30]S7,[8682-23]

S7Hill,ShannonB.[8679-19]S5,[8679-22]

S5,[8679-71]SPS1Hinnen,PaulC.[8681-53]S14,[8683-25]

S6,[8683-54]S12Hino,Toshio[8680-75]SPS2Hinsberg,WilliamD.[8682-44]S11Hirai,Shin-Ichiro[8683-50]S12Hirano,Ryoichi[8679-64]SPS1,[8679-

66]SPS1Hirano,Shuuji[8682-40]S10Hirayama,Taku[8679-102]SPS1Hirayama,Toru[8683-53]S12

Hirayanagi,Noriyuki[8683-12]S3,[8683-22]S5

Hishiro,Yoshi[8680-58]SPS1,[8682-1]S1,[8682-15]S4,[8682-38]S10,[8682-44]S11

Ho,Bang-Ching[8679-39]S8,[8682-4]S2,[8682-9]S2,[8682-9]S3

Hockey,MaryAnnJ.[8680-61]SPS1Hody,Hubert[8685-24]SPSHofer,Manuel[8680-42]S11,[8680-44]

S11,[8680-45]S11Hoffmann,Kay[8679-12]S3Hofmann,Uli[8680-78]SPS2Hohle, Christoph K.[8680-46]S12,8682

ProgramCommittee,8682S4SessionChair,[8682-34]S9,[8685-30]SPS

Hojeij,Mohamad[8679-35]S8Hojo,Yutaka[8681-88]SPS1Holfeld,Christian[8681-113]SPS1Holfeld,Georg[8683-35]S8Holmes,JustinD.[8680-60]SPS1Holmes,StevenJ.[8680-32]S4,[8680-

32]S9,[8680-52]S13,[8682-28]S8Hong,Seongchul[8679-79]SPS1Hong,SungJu[8680-83]SPS4Hong,SungEun[8680-15]S4,[8680-15]

S6,[8680-30]S8Hoogeboom-Pot,Kathleen[8681-22]S6Hoppe,Wolfgang[8683-43]S10,[8683-

43]S6Horiguchi,Naoto[8679-107]SPS1Horikawa,Junichi[8679-26]S6Horita,Yuji[8682-70]SPS4Horne, Stephen F.[8679-87]SPS1Horspool,David[8681-6]S2Hoshiko,Kenji[8679-34]S8,[8679-95]

SPS1Hoshino,Hiromi[8680-75]SPS2Hou,Xun[8680-68]SPS2House,Matthew[8679-62]SPS1Hoyle,PhilipC.[8680-24]S7Hsiang,Chingyun[8681-60]SPS1Hsieh,Y.Lawrence[8681-79]SPS1Hsu,Chun-Wei[8681-79]SPS1Hsu,H.K.Single[8681-79]SPS1Hsu,Matthew[8681-43]S11Hsu,Ming-yi[8681-10]S3Hsu,SimonC.C.[8681-43]S11Hsu,StephenD.[8683-46]S11Hsu,YautzongE.[8680-28]S8Hsuan,Chung-Te[8683-73]SPS1Hu,Cheming[8683-73]SPS1Hu,Jiangtao[8681-107]SPS1,[8681-27]

S7,[8681-28]S7Hu,Jiarui[8681-10]S3Hu,Owen[8685-11]S3Hu,Peter[8679-29]S7,[8681-109]SPS1Hu,Rui[8679-109]SPS1Hu,Shuiqing[8679-45]S10,[8679-47]

S10,[8681-100]SPS1Hu,Xiang[8682-13]S4Hu,Yan[8681-100]SPS1

Huang,ChainTing[8683-78]SPS1Huang,Chien-JenEros[8681-97]SPS1Huang,Chih-Hao[8682-60]SPS2Huang,Chin-ChouKevin[8681-56]S14,

[8681-87]SPS1,[8681-92]SPS1Huang,Climbing[8681-79]SPS1Huang,Eros[8681-43]S11Huang,GeorgeKuoChun[8681-43]S11,

[8681-69]SPS1Huang,Hsu-Ting[8679-32]S7Huang,Huijie[8683-80]SPS1,[8683-87]

SPS1Huang,Luis[8681-43]S11Huang,RenPeng[8681-79]SPS1Huang,Ru[8684-6]S2Huang, Wen-Chun[8681-66]SPS1Huang,Wu-Song[8682-28]S8Huang,Yi[8681-68]SPS1,[8681-77]

SPS1Huang,Yu-Hao[8681-97]SPS1Hubing,Du[8681-114]SPS2,[8681-117]

SPS2Hudson,EricA.8685Program

CommitteeHudyma,Russell[8679-42]S9Huffman,Craig[8681-32]S9Hurley,Paul[8683-44]S10,[8683-44]S6Hüser-Espig,Dorothee[8681-50]S13Hustad,PhillipD.[8680-14]S4,[8680-14]

S6,[8680-7]S2Huwang,Wenjin[8683-60]SPS1Hwang,Chan[8681-80]SPS1,[8681-91]

SPS1Hwang,Kyungbae[8681-92]SPS1Hwang,Seung-Hyun[8679-54]S11Hwang,Wontae[8682-13]S4Hyun,Yoonsuk[8679-15]S4

I

Icard,Béatrice[8680-26]S7Ichikawa,Hirotaka[8684-10]S3Ichikawa,Takumi[8682-57]SPS2,[8682-

64]SPS3Iida,Susumu[8679-64]SPS1,[8679-66]

SPS1Iizuka,Tetsuya[8680-75]SPS2Ikeda,Junji[8683-9]S3Ikeda,Makoto[8680-75]SPS2Ikegami,Naokatsu[8680-17]S5Ikegami, Toru[8681-108]SPS1Ikeno,Rimon[8680-75]SPS2Inoue,Jiro[8679-98]SPS1Inoue,Naoki[8679-4]S1,[8682-43]S11Inoue,Osamu[8681-42]S11,[8681-43]

S11,[8681-47]S12,[8681-49]S13,[8681-70]SPS1

Inoue, Soichi8679ProgramCommittee,8679S5SessionChair,[8679-17]S4,

[8679-21]S5,[8679-85]SPS1Iqbal,Salman[8682-23]S7Irmer,Bernd[8681-86]SPS1Isago,Susumu[8683-53]S12Isawa,Miki[8681-67]SPS1Isbester,PaulK.[8681-2]S2Ishida,Yoshihito[8680-13]S4,[8680-13]

S6Ishihara,Sunao[8680-65]SPS1Ishii,Maki[8682-41]S10Ishiyama,Satoshi[8683-53]S12Isoyan,Artak[8683-30]S7Itani,Toshiro[8679-38]S8,[8679-82]

SPS1,[8682-17]S5,[8682-21]S7Ito,Masaru[8680-75]SPS2Itoh,Hiroshi[8681-45]S12Ityaksov,Dimitry[8681-72]SPS1Itzkovich,Tal[8681-43]S11Ivanov,Tzvetan[8680-42]S11,[8680-44]

S11Iwaki,Hiroyuki[8682-19]S4,[8682-19]

S6Iwase,Taku[8680-21]S6,[8680-21]S8,

[8685-28]SPSIwashita,Jun[8679-102]SPS1Izumi,Hiro[8683-22]S5

J

Jack,KevinS.[8680-8]S2Jackson,Carol[8683-62]SPS1Jager,RemcoJ.A.[8680-23]S7Jain,Vipul[8679-37]S8,[8679-6]S2,

[8679-6]S3,[8682-39]S10Jain,Vivek[8683-31]S7Jamieson,AndrewT.[8682-33]S9Jang,IlYong[8679-16]S4Jang,Jongwon[8683-65]SPS1Janssen,Jochem[8681-72]SPS1Jarnagin,NathanD.[8682-69]SPS4,

[8682-81]SPS3Jau,JackY.[8679-18]S4Jawandha,Harshdeep[8684-8]S3Jayaram,Srividya[8683-17]S4Jazim,MohamedFazlyMohamed[8681-

56]S14Jedamzik, Ralf[8683-88]SPS1Jeon, Bumhwan[8681-63]SPS1,[8682-

23]S7,[8683-70]SPS1Jeon,Chan-Uk[8679-2]S1,[8683-21]S5Jeon,Jinhyuck[8684-17]S10,[8684-17]

S6Jeong,SangSup[8685-16]S5Jeong,Seejun[8679-79]SPS1Jessen,ScottW.8682Program

Committee,8682S11SessionChairJia,Xin[8683-86]SPS1Jiang,Hao[8681-119]SPS2Jiang, Jing[8682-58]SPS2

Jiang,Jiong[8683-46]S11Jiang,Rui[8683-58]SPS1,[8683-62]

SPS1,[8683-71]SPS1Jiang,Ximan[8681-14]S4Jin,Frank[8681-94]SPS1Jin,GyoyoungJindal, Vibhu[8679-114]SPS1,[8679-

16]S4,[8679-28]S6,[8679-48]S10,[8679-59]SPS1,[8679-62]SPS1

Jinnai,Hiroshi[8680-6]S2Jo,Ahjin[8681-51]S13Johnson,A.T.Charlie[8680-83]SPS4Jonckheere,Rik[8679-33]S7Jonkers,Jeroen[8679-8]S3Joo,Hyunsang[8679-108]SPS1Joseph,EricA.[8680-32]S4,[8680-32]

S9,[8685-19]S6,[8685-8]S3Joshi,Ravi[8682-45]S11Joubert,OlivierP.[8685-17]S5Jung,Byungki[8682-58]SPS2Jussot,Julien[8680-26]S7

K

Kadaksham,ArunJohn[8679-14]S4,[8679-48]S10,[8679-59]SPS1,[8679-62]SPS1,[8679-84]SPS1

Kaestner,Marcus[8680-42]S11,[8680-44]S11,[8680-45]S11

Kahlenberg,Frank[8683-49]S11Kahng, Andrew B.[8684-21]S7Kai,Yasunobu[8683-23]S5,[8683-8]S3Kaiser,Dieter[8683-35]S8,[8683-59]

SPS1Kaiser,Norbert[8679-12]S3Kaiser,WinfriedM.[8679-40]S9,[8679-

52]S11Kakizaki,Kouji[8683-51]S12Kalk, Franklin D.[8683-6]S2Kallingal,Chidam[8683-67]SPS1Kamata,Yoshiyuki[8680-66]SPS1Kamineni,VimalKumar[8681-21]S6Kanamaru,Masahiro[8680-66]SPS1Kandel,YudhishthirP.[8679-113]SPS1,

[8679-7]S2,[8679-7]S3Kaneyama,Koji[8679-104]SPS1Kang,Charles[8681-2]S2Kang,Choon-Ky[8679-54]S11Kang,Chunsoo[8683-15]S4Kang,Daekeun[8680-36]S10Kang,Ho-Kyu[8680-36]S10,[8683-11]

S3Kang,Hyosang[8679-54]S11Kang,In-Yong[8683-21]S5Kang,Jae-Hyun[8684-23]S8Kang,Weiling[8683-34]S8,[8684-14]S5,

[8684-14]S9,[8684-15]S5,[8684-15]S9,[8684-18]S7

Kang,Youngseog[8683-60]SPS1

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

Page 61: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 59

Kanno,Masahiro[8680-55]SPS1Kapteyn,HenryC.[8681-17]S4,[8681-

22]S6Karanikas,Christos[8682-23]S7,[8682-

72]SPS5Karur-Shanmugam,Ramkumar[8681-87]

SPS1,[8681-92]SPS1Kashiwakura,Miki[8682-64]SPS3Kasica,Richard[8682-36]S9Kasprowicz, Bryan S.8679Program

CommitteeKatayama,Kazuhiro[8679-21]S5,[8679-

85]SPS1Katayama,Tomohide[8681-103]SPS1Kato,Hirokazu[8680-55]SPS1Kato,Keisuke[8682-62]SPS3Kato, Takeshi[8681-42]S11,[8681-43]

S11,[8681-70]SPS1Kato,Yoshimitsu[8681-54]S14Katoh,Takenori[8681-113]SPS1Kattouw,Hans[8681-91]SPS1Kawabata,Yuichi[8683-10]S3Kawada, Hiroki[8681-108]SPS1,[8681-

19]S5,[8681-47]S12,[8681-49]S13,[8681-70]SPS1

Kawagishi,Masahiro[8679-58]SPS1Kawai,Yoshio8682ProgramCommittee,

8682S10SessionChairKawakami,Shinichiro[8681-76]SPS1Kawakami,Takanori[8679-34]S8Kawamoto,Akiko[8681-29]S6,[8681-29]

S8,[8681-30]S6,[8681-30]S8Kawanishi,Ayako[8680-55]SPS1Kawasaki,Naohiko[8682-52]SPS2Kawasaki,Takahiro[8681-47]S12Kawata,Jun[8681-58]SPS1Kazinczi,Robert[8679-50]S11Kazuhiro,Hamamoto[8679-26]S6Kazumi,Hideyuki[8681-61]SPS1Ke,Chih-Ming[8681-10]S3Ke, Chih-Ming8681Program

Committee,8681S13SessionChair,[8681-3]S2

Kea,Marc[8681-91]SPS1Kearney,PatrickA.[8679-48]S10,[8679-

59]SPS1,[8679-62]SPS1Keitel,Barbara[8679-73]SPS1Keller,Nick[8681-96]SPS1Keller,Wolfgang[8681-106]SPS1Kempsell Sears, Monica[8683-16]S4Kennon,Jame[8679-42]S9Kercher,DanS.[8685-21]S6Kestner,Robert[8679-42]S9Khanna,Puneet[8685-11]S3Khopkar, Yashdeep[8679-114]SPS1Khurana,Ranjan[8681-106]SPS1Kiani, Amirkianoosh[8680-71]SPS2Kiani,Sara[8681-23]S6,[8682-22]S7Kidd,BrianW.[8682-75]SPS5Kidwingira,Francoise[8680-16]S5

Kiehlbauch,M.[8685-12]S4Kievit,Olaf[8681-72]SPS1Kihara,Naoko[8680-66]SPS1Kikitsu,Akira[8680-66]SPS1Kikuchi,Takahisa[8683-26]S6Kikuchi,Yukiko[8679-21]S5,[8679-82]

SPS1,[8679-85]SPS1Kim,Bongkeun[8680-39]S10,[8680-41]

S10Kim,Byeongsoo[8681-55]S14Kim, Byung-Gook[8683-21]S5Kim,Byung-Moo[8684-23]S8Kim,Dooh[8685-29]SPSKim,EunSung[8680-36]S10Kim,Eun-Jin[8679-105]SPS1Kim,Haeryung[8683-32]S7Kim,Hong-Ik[8679-54]S11Kim,HyangKyunHelen[8681-2]S2Kim,HyeonSoo[8685-29]SPSKim,Inseon[8679-105]SPS1Kim,Insung[8681-37]S10Kim,Jahee[8682-24]S7Kim,JeahyunKim,JeongSoo[8682-72]SPS5Kim,JiWon[8679-105]SPS1Kim,Jin-Woong[8685-29]SPSKim,Jongseok[8679-79]SPS1Kim,Jungchan[8683-15]S4Kim,KeeSup[8684-23]S8Kim,Kyoungseon[8680-36]S10Kim,Sang-Hyun[8679-2]S1Kim,Seong-Sue8679Program

Committee,8679S11SessionChair,[8679-2]S1,[8679-57]SPS1

Kim,Shinyoung[8684-17]S10,[8684-17]S6

Kim,Sung[8685-11]S3Kim,Sungsu[8681-64]SPS1Kim,Taegeun[8679-2]S1Kim,Taehui[8681-64]SPS1Kim,Tae-Sun[8682-24]S7Kim,WonD.[8681-53]S14Kim,YoungKi[8681-62]SPS1,[8681-93]

SPS1Kim,Yura[8682-24]S7Kimura,Taiki[8683-23]S5Kimura,Tooru[8679-34]S8,[8682-1]S1,

[8682-38]S10,[8682-52]SPS2Kimura,Yoshie[8685-10]S3King,Brian[8683-58]SPS1,[8683-62]

SPS1,[8683-71]SPS1King, Sean W.[8681-22]S6Kini,Sumanth[8681-94]SPS1Kit,KohKar[8683-43]S10,[8683-43]S6Kita,Naonori[8683-12]S3,[8683-24]S5,

[8683-29]S7Kitagawa,Ryota[8680-59]SPS1Kitano,Takahiro[8680-38]SPS1,[8681-

76]SPS1,[8682-19]S4,[8682-19]S6Klaus,DavidP.[8685-19]S6Klein, Christof[8680-19]S5

Klein,Dana[8681-84]SPS1,[8681-87]SPS1

Klikovits,Jan[8680-78]SPS2Kline,R.Joseph[8680-22]S6,[8680-22]

S8,[8681-20]S6,[8681-25]S7,[8681-44]S12

Klostermann,UlrichK.[8679-97]SPS1,[8683-49]S11

Klymko,Paul[8682-74]SPS5Koay,Chiew-Seng[8682-14]S4Kobayashi,Katsutoshi[8680-55]SPS1Kobayashi,Sachiko8683Program

Committee,8683S4SessionChairKobrin, Boris[8680-29]S8Kodama,Chikaaki[8684-10]S3Kodera,Katsuyoshi[8680-40]S10,[8680-

55]SPS1,[8683-10]S3Koh, Huipeng[8682-23]S7,[8683-61]

SPS1Koizumi,Ichiro[8683-50]S12Kojima,Akira[8680-17]S5Kojima, Shinichi[8680-77]SPS2Kojima,Yoshinori[8680-73]SPS2,[8680-

75]SPS2Kokkoris,George[8685-4]S2Komatsu,Satoshi[8680-75]SPS2Kometani,Reo[8680-65]SPS1Komine,Nobuhiro[8681-54]S14Kon,Jun-ichi[8680-73]SPS2Kondoh,Takafumi[8682-45]S11Konishi,Junko[8679-58]SPS1Konno,Mitsuru[8681-49]S13Kono,Takuya[8683-29]S7Kono,Yuko[8683-23]S5Kool,Ron[8679-50]S11Koshelev,Konstantin[8679-110]SPS1Koshida,Nobuyoshi[8680-17]S5Koshihara,Shunsuke8681Program

Committee,8681S3SessionChairKosmala,Barbara[8680-60]SPS1Koster,NorbertB.[8681-121]SPS1Kosugi,Hitoshi[8679-100]SPS1,[8681-

76]SPS1Kosugi,Junichi[8683-55]S12Kotani,Toshiya[8683-23]S5,[8683-8]

S3,[8684-10]S3Kozawa,Takahiro[8679-38]S8,[8682-

47]S11,[8682-64]SPS3Kramer,JohnW.[8680-14]S4,[8680-14]

S6Krishnamurthy,Vandana[8685-26]SPSKritsun,Oleg[8679-28]S6,[8681-27]S7,

[8681-28]S7Krivtsun,VladimirM.[8679-110]SPS1Krysak,MarieE.[8679-5]S2,[8679-5]

S3,[8682-26]S7Ku,Tzu-Kun[8682-35]S9,[8683-36]S8,

[8685-27]SPSKu,Yao-Ching[8682-78]SPS3Ku,Yi-Sha8681ProgramCommitteeKuan,Chiyan[8679-18]S4

Kubis,Michael[8683-25]S6,[8683-54]S12

Kudo,Shintaro[8683-9]S3Kuechler,Bernd[8683-43]S10,[8683-43]

S6,[8683-49]S11Kuepper,Felix[8679-8]S3Kuerz,Peter[8679-50]S11,[8679-52]

S11Kulshreshtha,PrashantK.[8681-23]S6,

[8682-22]S7Kumar,Pragati[8681-72]SPS1Kumazaki,Takahito[8683-51]S12Kuo,DavidS.[8680-28]S8Kuo,KellyTzuLin[8681-43]S11Kuo,Tung-Chang[8681-59]SPS1Kuo,Wan-Lin[8682-12]SPS2Kurihara,Masaru[8680-21]S6,[8680-21]

S8,[8685-28]SPSKurosawa,Tsuyoshi[8680-62]SPS1Kurosu,Akihiko[8683-51]S12Kurth,Karin[8683-61]SPS1Kurt-Karsilayan,Nur[8684-22]S7Kushibiki,Jun-ichi[8679-58]SPS1,

[8679-86]SPS1Kusunose,Haruhiko[8679-17]S4Kuwahara,Yuhei[8682-50]SPS1Kwak,Noh-Jung[8679-54]S11Kwan,Joe[8684-23]S8Kwon,Gwangmin[8681-64]SPS1Kwon,HyungJoon[8685-16]S5Kwong,Ranee[8682-28]S8Kye,Jongwook8683Program

Committee,8683S2SessionChair

L

La Fontaine, Bruno8679ProgramCommittee,[8679-12]S3,[8679-51]S11,[8679-89]SPS1

Laachi,Nabil[8680-39]S10,[8680-41]S10

LaBeaume,Paul[8682-39]S10Labelle,CatherineB.8685Program

Committee,8685S1SessionChairLaBrake,DwayneL.[8680-11]S3,[8680-

31]S8LaCour, Pat J.[8683-17]S4,[8683-20]

S5Lafferty,NealV.[8683-3]S2Lai, Kafai[8680-32]S4,[8680-32]S9,

8683ConferenceCoChair,8683S1SessionChair,8683S10SessionChair,[8683-3]S2,8684S6SessionChair,[8684-12]S4,[8684-12]S9

Laidler,David[8679-55]S11,[8683-27]S6,[8683-5]S2

Lalovic,Ivan[8683-28]S6Lam,DavidK.[8680-70]SPS2Lam,Michael[8683-48]S11

LanLiu,Xing[8681-4]S2Lan,Song[8683-39]S8Landie,Guillaume[8683-41]S5,[8683-

41]S9Larson,Scott[8683-31]S7Lash,AlexanderA.[8679-110]SPS1Latorre-Garrido,Victor[8682-31]S8Lattard,Ludovic[8680-50]S12Latypov,AzatM.[8680-34]S4,[8680-34]

S9,[8680-56]S10,[8680-57]SPS1Laubis,Christian[8679-72]SPS1Lauer,Isaac[8685-19]S6Lawliss,Mark[8679-53]S11Lawson,RichardA.[8682-81]SPS3,

[8682-82]SPS3Lazier,Harry[8681-32]S9LeDenmat,Jean-Christophe[8683-18]

S4,[8683-39]S8Lebon,Hans[8679-107]SPS1LeClaire,Jeff[8679-53]S11Lee,Byoung-Ho8681Program

Committee,8681S10SessionChairLee,Byoung-Hoon[8681-92]SPS1Lee,Chahn[8681-61]SPS1Lee,Chien-Fu[8683-40]S5,[8683-40]S9Lee,Chien-HsienSam[8682-13]S4Lee,Evan[8684-23]S8Lee,Ho-Hyuk[8679-54]S11Lee,Inhwan[8681-92]SPS1Lee,JaeUk[8679-79]SPS1Lee,Jeffrey[8681-99]SPS1Lee,JeongIn[8680-13]S4,[8680-13]S6Lee,Jeonkyu[8683-15]S4Lee,Jin-Ho[8680-79]SPS3Lee,Jongsu[8679-15]S4Lee,JungYoul[8685-29]SPSLee,KimY.[8680-28]S8Lee,MyeongdongLee,Nae-Eung8685Program

Committee,8685S4SessionChairLee,RaeWon[8683-21]S5Lee,Sangmin[8685-16]S5Lee,SeungMin[8679-79]SPS1Lee,ShawnHyun-Woo[8681-91]SPS1Lee,Sukjoo8683ProgramCommittee,

8683S8SessionChairLee,Sung-Woo[8683-15]S4Lee,Su-Young[8679-2]S1Lee,Taehyeong[8683-15]S4Lee,Tzu-Lih[8679-23]S5Lee,YongHaPaul[8681-46]S12,[8681-

5]S2,[8681-51]S13Leewis,Christian[8681-53]S14Lefebvre,Pierre[8681-14]S4LeGratiet,Bertrand[8681-26]S7,[8681-

4]S2,[8681-52]S14Lemaillet, Paul[8681-25]S7Leray,PhilippeJ.[8679-55]S11,[8683-

27]S6,[8683-5]S2Lercel,MichaelJ.8679Program

Committee,8679S3SessionChair

Index of Authors, Chairs, and Committee Members

Page 62: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

60 SPIEAdvancedLithography2013 · www.spie.org/al

Leschok,Andre[8683-57]SPS1,[8683-61]SPS1

Levi,Shimon[8681-110]SPS1,[8681-48]S12,[8681-7]S3

Levinson, Harry J.SymposiumChair,SymposiumCommittee,[8679-41]S9,[8679-97]SPS1

Li,Ang[8682-37]S4Li,Bing[8681-114]SPS2,[8681-117]

SPS2Li,Jia-Han[8681-115]SPS2Li,Jie[8681-107]SPS1,[8681-27]S7,

[8681-28]S7Li,Ling[8681-60]SPS1Li,Mingqi[8682-68]SPS3Li,Shayu[8679-109]SPS1Li,Shuxin[8681-85]SPS1Li,Sikun[8683-63]SPS1Li,Tongbao[8681-118]SPS2,[8681-71]

SPS1Li,Wendy[8681-68]SPS1Li,Wenhui[8681-77]SPS1Li, Yanqiu[8679-74]SPS1Li,Yi[8679-112]SPS1Li,Ying[8679-29]S7Li,Yong[8683-7]S2Li,Zhiqiang[8681-24]S6Liang,Ted8679ProgramCommittee,

8679S9SessionChairLiao,Zhijie[8683-86]SPS1Libera,JosephA.[8680-4]S2Liddle,JamesAlexander8680Program

CommitteeLiebmann,LarsW.8680S9Session

Chair,[8680-32]S4,[8680-32]S9,[8683-1]S1,8684ProgramCommittee,8684S2SessionChair,8684S4SessionChair,[8684-12]S4,[8684-12]S9

Light,ScottL.[8683-31]S7Lille,Jeffery[8685-21]S6Lim,Chin-Teong[8683-61]SPS1Lim,Hee-Youl[8679-54]S11Lin, Burn J.SymposiumCommittee,

[8679-56]SPS1,[8680-48]S12,[8681-66]SPS1,[8683-40]S5,[8683-40]S9

Lin,C.C.[8679-45]S10Lin,ChiaHua[8682-60]SPS2Lin,ChiaHung[8682-68]SPS3Lin,Chia-Chi[8682-12]SPS2Lin,ChihHsun[8681-79]SPS1Lin,Ching-HungBert[8681-97]SPS1Lin,Chua[8681-92]SPS1Lin,Guanyang[8680-15]S4,[8680-15]

S6,[8680-30]S8,[8680-53]S13Lin,J.F.[8681-10]S3Lin,Jun-Jin[8681-97]SPS1Lin,Kuan[8681-94]SPS1Lin,Pei-Chun[8683-66]SPS1Lin,Qin[8685-26]SPSLin, Qinghuang8682Program

Committee,8685ConferenceCoChair

Lin, Shy-Jay8680ProgramCommittee,8680S7SessionChair,[8680-16]S5,[8680-48]S12

Lin,Tim[8681-30]S6,[8681-30]S8Lin,Timothy[8683-6]S2Lin,Tingsheng[8681-29]S6,[8681-29]

S8Lin,Welch[8681-79]SPS1Lin,Wumei[8683-86]SPS1Lin,YiShihAlien[8681-68]SPS1,[8681-

77]SPS1Lin,YouYu[8682-48]SPS1Lin,YuMin[8681-79]SPS1Ling,Moh-Lung[8681-107]SPS1Linnane,Michael[8682-74]SPS5Litchenberg,Gerald[8683-62]SPS1Litt, Lloyd C.8680ProgramCommitteeLiu,Anwei[8679-32]S7Liu,Bin[8681-107]SPS1Liu,Chi-Chun[8680-32]S4,[8680-32]

S9,[8680-52]S13,[8683-3]S2Liu,EndenD.[8680-70]SPS2Liu,Fei[8679-74]SPS1Liu,Huikan[8683-19]S5Liu,Jian[8683-67]SPS1Liu,Juan[8682-67]SPS3Liu,Kent[8681-79]SPS1Liu,LianCong[8682-68]SPS3Liu,Pei-Yi[8680-48]S12Liu,Peng[8679-31]S7Liu,Qigwei[8684-25]S8Liu,Qing[8682-28]S8Liu,Renzhi[8684-12]S4,[8684-12]S9Liu,Ru-Gun[8681-66]SPS1,[8683-40]

S5,[8683-40]S9Liu,Sen[8682-28]S8Liu, Shiyuan[8681-119]SPS2,[8683-75]

SPS1Liu,Suwen[8681-95]SPS1Liu,Wei[8679-31]S7Liu,Weijun[8680-11]S3Liu,Yongdong[8681-107]SPS1Liu,Zhuan[8681-28]S7Liu,Zuwei[8685-23]SPSLo,ChiungYu[8682-35]S9Lo,Fred[8683-73]SPS1Lo,Wei-Cyuan[8683-69]SPS1Locorotondo,Sabrina[8685-24]SPSLoebeth,Steffen[8681-113]SPS1Loeschner, Hans8680Program

Committee,8680S7SessionChair,[8680-19]S5

Lok,Sjoerd[8679-50]S11Lokasani,Ragava[8679-19]S5Lorenzini,Elizabeth[8681-32]S9Lorusso,GianFrancesco[8679-102]

SPS1Lowisch,Martin[8679-50]S11,[8679-52]

S11Lu,Chih-Yuan[8682-60]SPS2,[8683-72]

SPS1,[8683-73]SPS1

Lu,Hailiang[8681-90]SPS1Lu,Junwei[8684-25]S8Lu,Maiying[8682-33]S9Lu, Mark[8683-76]SPS1Lu,Toh-Ming[8679-67]SPS1Lu,Xiaoming[8680-11]S3Lu,Yen-Cheng[8679-56]SPS1Lucas,Kevin[8683-15]S4,[8683-37]S8,

[8683-7]S2Lucatorto,ThomasB.[8679-19]S5,

[8679-22]S5,[8679-71]SPS1Luciani,Vincent[8682-36]S9Ludwicki,Jonathan[8682-14]S4Luehrmann,Paul[8681-91]SPS1Lukaszewicz,Mikolaj[8685-14]S4Luk-Pat,Gerard[8683-37]S8,[8683-7]

S2Luning,Scott[8682-28]S8Luo,Kang[8680-11]S3Lv,Wen[8683-75]SPS1Lyons,Adam[8679-63]SPS1

M

Ma,Andy[8679-62]SPS1,[8681-65]SPS1

Ma,Rui[8681-118]SPS2Ma,Seong-Min[8685-29]SPSMa, Tristan Y.[8682-5]S2Ma,Yan[8681-118]SPS2,[8681-71]

SPS1Maas,DiederikJ.[8681-72]SPS1MacDonald,Paul[8681-14]S4Macdougall,JamesB.[8679-44]S10Mack, Chris A.SymposiumCommittee,

[8681-35]S10,[8684-1]S1Madkour,Kareem[8684-23]S8,[8684-

24]S8Maeda,Shimon[8680-40]S10,[8683-10]

S3Maeda,Shin-ichi[8682-62]SPS3Maekawa,Kazuki[8682-57]SPS2Maeng,ChangHo[8685-11]S3Maher,Chris[8681-14]S4Maher,MichaelJ.[8680-6]S2Makino,Katsushi[8683-26]S6Makinoshima,Takashi[8682-66]SPS3Mallik,Arindam[8679-107]SPS1Maltabes,JohnG.8680Program

Committee,8680S8SessionChairMan,Naoki[8682-52]SPS2Mane,Anil[8680-4]S2Mangat, Pawitter J.[8679-28]S6Mani,Antonio[8681-92]SPS1Mann,Klaus[8679-73]SPS1Manske,Eberhard[8680-44]S11,[8681-

116]SPS2Marchetti,LouisA.[8679-42]S9

Marcuccilli,Gino[8681-92]SPS1Marques-Hueso,Jose[8682-32]S8Martin,PatrickM.[8682-5]S2Martinez-Marco,Mariluz[8682-31]S8,

[8682-32]S8Martinez-Pastor,JuanP.[8682-31]S8,

[8682-32]S8Maruyama,Ken[8679-34]S8,[8681-23]

S6,[8682-22]S7,[8682-38]S10Maruyama,Osamu[8679-26]S6Maruyama,Takashi[8680-75]SPS2Maruyama,Yuko[8679-86]SPS1Mason, Mark E.8683S9SessionChair,

8684ConferenceChair,8684S1SessionChair,8684S5SessionChair

Masukawa,Kazuyuki[8683-23]S5,[8683-8]S3

Matsui,Ryota[8683-12]S3,[8683-24]S5,[8683-9]S3

Matsuki,Kazuto[8681-29]S6,[8681-29]S8,[8681-30]S6,[8681-30]S8

Matsukuma,Masaaki[8680-38]SPS1Matsumiya,Tasuku[8679-102]SPS1,

[8680-62]SPS1Matsunaga,Koichi[8679-100]SPS1Matsunaga,Takashi[8683-51]S12Matsuoka,Ryoichi[8681-88]SPS1Matsuura,Yuriko[8682-41]S10Matsuyama,Tomoyuki[8683-12]S3,

[8683-22]S5,[8683-24]S5,[8683-29]S7,[8683-47]S11,[8683-53]S12,[8683-9]S3

Matsuzaki,Kazuyoshi[8680-38]SPS1Matsuzawa,NobuyukiN.8682Program

Committee,8682S2SessionChairMatyi,Richard[8681-20]S6Maurer, Wilhelm8683Program

Committee,8683S6SessionChairMcClelland,AlexandraL.[8682-25]S7McClelland,Andrew[8680-24]S7McCord,MarkA.[8680-48]S12McGarvey,SteveA.[8681-98]SPS1,

[8681-99]SPS1McGinnis,A.[8685-12]S4McIntyre,GregoryR.[8679-43]S9,

[8679-53]S11,[8679-94]SPS1,[8683-19]S5

McKenzie,DouglasS.[8682-27]S8McLellan,Erin[8681-12]S4McMackin, Ian[8680-29]S8Mead,Sarah[8679-84]SPS1Medikonda,Manasa[8681-21]S6Mehta,SohanSingh[8682-23]S7Mei,Qiuli[8679-74]SPS1Meijer,Henk[8679-3]S1,[8679-50]S11Meiling,Hans[8679-50]S11Meiring,Jason[8683-19]S5Melchior,JohnT.[8683-52]S12Melvin, Lawrence S.[8683-30]S7Melzig,Stephan[8681-113]SPS1Menezes,Marlon[8680-31]S8Meng,Xiangwei[8680-68]SPS2

Mercha,Abdelkarim[8679-107]SPS1Merkus,Emile[8683-62]SPS1Metzler,Dominik[8685-6]S2Mey,Tobias[8679-73]SPS1Meyer,MatthewM.[8682-42]S10Miao,Liyan[8682-5]S2Michel,Jean-Christophe[8683-18]S4,

[8683-39]S8Midoh,Yoshihiro[8680-47]S12Mihardja,Lanny[8681-101]SPS1,[8681-

97]SPS1Miller,MichaelL.[8680-31]S8Milo,Renan[8681-30]S6,[8681-30]S8Miloslavsky,Alexander[8683-37]S8,

[8683-7]S2Mimotogi,Akiko[8683-29]S7Mimotogi,Shoji[8680-40]S10,[8683-10]

S3,[8684-10]S3,[8685-2]S1Minegishi,Shin-ya[8680-58]SPS1,

[8682-15]S4Minekawa,Yohei[8681-64]SPS1Mininni,Lars[8679-45]S10,[8679-47]

S10Miqyass,Mohamed[8681-40]S11Mitsuhashi,Hisashi[8680-12]S3Miura,Seiya[8683-50]S12Miyagi,Ken[8680-62]SPS1Miyagi,Makoto[8683-43]S10,[8683-43]

S6Miyagi,Tadashi[8679-104]SPS1Miyai,Hiroki[8679-17]S4Miyakawa,RyanH.[8679-25]S6,[8679-

57]SPS1Miyake,Akira8679ProgramCommitteeMiyamoto,Shinji[8684-10]S3Miyashita,Kenichiro[8680-62]SPS1Miyazoe,Hiroyuki[8680-32]S4,[8680-

32]S9,[8685-19]S6Mizoguchi, Hakaru[8679-9]S3,[8683-

51]S12Mizuno,Takeshi[8681-82]SPS1Mizuno,Wataru[8682-70]SPS4Mizuno,Yasushi[8683-12]S3,[8683-22]

S5Mizutani,Masaki[8683-50]S12Mochi,Iacopo[8679-28]S6,[8679-44]

S10Mochic,Iacopo[8679-24]S6Mochida,Kenji[8682-52]SPS2Mohamed,Sarah[8684-23]S8Mojarad,NassirM.[8679-35]S8,[8679-

75]SPS1Mokhberi,Ali[8679-32]S7,[8681-76]

SPS1Montgomery,Cecilia[8679-113]SPS1,

[8681-18]S5Montinaro,Enrica[8680-27]S8Moon,EuclidE.[8680-9]S3Moon,Seong-Ho[8681-55]S14Moreau,David[8683-19]S5Moreau,Olivier[8681-14]S4Morgan,Paul[8681-109]SPS1

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

Page 63: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 61

Morgana,Nicolo[8683-35]S8Mori,Ichiro[8679-17]S4Mori,Ken-Ichiro[8683-50]S12Mori,Masakazu[8683-12]S3Mori,Shinichi[8683-9]S3Moriizumi,Koichi[8681-112]SPS1,

[8681-113]SPS1Morimoto, Hiroaki8679Program

CommitteeMorita,Akihiko[8679-104]SPS1Morita,Masamichi[8680-12]S3Morris,MichaelA.[8680-60]SPS1Morrison,Pedro[8682-23]S7Moschak,Pete[8681-32]S9Motokubota,Masaya[8683-10]S3Mowll,Tyler[8679-84]SPS1Mukundhan,Priya[8681-79]SPS1Mülders,Thomas[8683-30]S7Mulkens,Jan[8683-25]S6,[8683-54]

S12Mullen,Salem[8682-27]S8,[8682-41]

S10Mun,Daiyoung[8681-64]SPS1Murachi,Tetsunori[8679-65]SPS1Murakami,Gaku[8682-70]SPS4Murakami,Katsuhiko8679Program

Committee,8679S6SessionChairMurakami,Takeshi[8679-64]SPS1Muramatsu,Makato[8682-19]S4,[8682-

19]S6Muramatsu,Makoto[8680-38]SPS1,

[8681-76]SPS1Murnane,MargaretM.[8681-17]S4,

[8681-22]S6Murray,DanielJ.[8680-14]S4,[8680-14]

S6MurugesanKupuswamy,Vijaya-Kumar

[8679-111]SPS1Muthinti,GangadharaRaja[8681-21]S6Myers,DavidW.[8679-51]S11,[8679-89]

SPS1Myneni,Satya[8681-96]SPS1

N

Naaijkens,Geert-Jan[8683-89]SPS1Nachtwein,Angelique[8683-38]S8Nafus,Kathleen[8679-100]SPS1,[8680-

53]S13,[8680-64]SPS1,[8682-10]S2,[8682-10]S3,[8682-19]S4,[8682-19]S6,[8682-20]S4,[8682-20]S6

Nagahara, Seiji[8681-76]SPS1,[8682-19]S4,[8682-19]S6

Nagai,Tomoki[8680-58]SPS1,[8682-1]S1,[8682-15]S4

Nagashima,Takayuki[8683-51]S12Nagaswami, Venkat R.[8680-20]S6,

[8680-20]S8,[8681-76]SPS1Nainani,Aneesh[8684-19]S7

Nakajima,Fumiharu[8684-10]S3Nakamae, Koji[8680-47]S12Nakamura,Hiroko[8680-59]SPS1Nakamura,Ken[8683-6]S2Nakamura,Shinichi[8682-52]SPS2Nakanishi,Kana[8682-52]SPS2Nakanishi,Tsutomu[8680-59]SPS1Nakano,Takeo[8680-38]SPS1Nakayama,Koichi[8684-10]S3Nam,Jaewoo[8680-36]S10Nam,Seok-Woo[8681-55]S14Nam,YoungSun[8683-60]SPS1Namie,Yuji[8680-58]SPS1,[8682-15]S4NarayanaSamy,Aravind[8683-49]S11Nardi,Damiano[8681-22]S6Naruoka,Takehiko[8680-58]SPS1,

[8682-15]S4Nash,Eva[8679-97]SPS1Nasser,Rajai[8683-44]S10,[8683-44]S6Natman,Spencer[8679-114]SPS1Natori,Sakurako[8682-11]S4,[8682-3]

S2,[8682-49]SPS1,[8682-51]SPS1,[8685-20]S6

Naulleau, Patrick P.8679ConferenceChair,8679SPS1SessionChair,[8679-25]S6,[8679-42]S9,[8679-44]S10,[8679-57]SPS1,[8679-69]SPS1,[8679-76]SPS1

Navarro,Christophe[8680-37]S10,[8680-5]S2

Nealey,PaulF.[8680-13]S4,[8680-13]S6,[8680-53]S13,[8682-10]S2,[8682-10]S3

Negishi,Nobuyuki[8685-28]SPSNeisser, Mark[8679-101]SPS1,[8679-

78]SPS1,[8679-96]SPS1,[8682-43]S11

Neumann,Jens-Timo[8679-40]S9,[8679-57]SPS1,[8679-61]SPS1

Neureuther, Andrew R.[8679-57]SPS1,[8679-69]SPS1,[8679-76]SPS1,8684ProgramCommittee

Newby,Robert[8682-36]S9Ng,Hoi-Tou[8683-40]S5,[8683-40]S9Ngai,ChrisS.8679ProgramCommittee,

8679S8SessionChair,[8679-32]S7,[8682-5]S2,[8683-5]S2,[8684-19]S7

Nicolet,Célia[8680-5]S2Nihashi,Wataru[8682-40]S10Ning,GuoXiang[8683-6]S2,[8683-61]

SPS1Nishimura,Eiichi[8682-19]S4,[8682-19]

S6,[8685-13]S4Nishinaga,Hisashi[8683-9]S3Noda,Tomoya[8683-24]S5Nojima,Shigeki[8683-10]S3,[8683-8]

S3,[8684-10]S3Nooitgedagt,Tjitte[8681-91]SPS1Novikova,Tatiana[8681-39]S11Noya,Go[8680-82]SPS4,[8682-41]S10Nozoe,Mari[8681-64]SPS1Nui,Zhi-Yuan[8683-31]S7

O

Oae,Yoshihisa[8680-72]SPS2Obata,Tsutomu[8682-70]SPS4Ober,ChristopherK.[8679-5]S2,[8679-

5]S3,[8682-26]S7,[8682-58]SPS2Ochiai,Yumi[8682-66]SPS3Ockwell,David[8679-50]S11Ocola,LeonidasE.[8679-7]S2,[8679-7]

S3,[8680-4]S2O’Connell,KathleenM.[8682-68]SPS3Odaka,Tadahiro[8681-45]S12Oehrlein,GottliebS.8685Conference

CoChair,8685S2SessionChair,[8685-6]S2

Ogata,Taro[8683-29]S7,[8683-9]S3Ogino,Kozo[8680-49]S12Oh,Hye-Keun[8679-105]SPS1Oh,Jaehyoung[8681-64]SPS1Oh,Sunghyun[8679-46]S10Oh,Tae-Hwan[8682-24]S7Ohashi,Takeyoshi[8681-19]S5,[8681-

47]S12Ohashi,Yuji[8679-58]SPS1,[8679-86]

SPS1Ohishi,Tetsuya[8685-13]S4Ohmori,Katsumi[8680-62]SPS1Ohmura,Yasuhiro[8683-53]S12,[8683-

55]S12Ohnishi,Ryuji[8679-39]S8,[8682-21]S7,

[8682-9]S2,[8682-9]S3Ohshio,Shuzo[8680-73]SPS2Ohtsu,Motoichi[8679-14]S4Ohya,Kaoru[8681-58]SPS1Ohyi,Hideyuki[8680-17]S5Okada,Takeru[8682-62]SPS3Okada,Yu[8682-66]SPS3Okagawa,Yutaka[8681-42]S11,[8681-

43]S11,[8681-70]SPS1Okazaki, Shinji8679Program

Committee,8679S1SessionChairOkino,Takeshi[8680-66]SPS1Okitou,Haruki[8681-49]S13Okoroanyanwu, Uzodinma8679

ProgramCommitteeO’Leary, Michael J.[8682-59]SPS2Olson,BennettW.[8682-33]S9Olson,Steve[8681-32]S9Olynick,DeidreL.[8680-10]S3,[8681-23]

S6,[8682-22]S7,[8682-69]SPS4,[8685-23]SPS

Ongayi,Owendi[8679-6]S2,[8679-6]S3,[8682-39]S10,[8682-42]S10

Onoda,Naka[8683-85]SPS1Onoue,Takahiro[8679-26]S6,[8679-59]

SPS1Ootera,Yasuaki[8680-66]SPS1Orain,Isabelle[8685-10]S3Orf,BryanJ.[8681-106]SPS1Orihara,Toshihiko[8679-26]S6

Orlando,Bastien[8681-73]SPS1,[8681-74]SPS1

Osaki,Atsushi[8680-47]S12Osawa,Morimi[8680-75]SPS2Osborne,Jason[8681-100]SPS1,[8681-

36]S10Oshima,Akihiro[8679-81]SPS1,[8679-

83]SPS1,[8682-18]S5,[8682-45]S11,[8682-46]S11,[8682-64]SPS3

Osibov,Marcus[8683-58]SPS1,[8683-62]SPS1,[8683-71]SPS1

Ota,Kazuya[8679-98]SPS1Ota,Yoshihiro[8681-88]SPS1Ouyang,ChristineY.[8679-5]S2,[8679-

5]S3,[8682-26]S7Owa, Soichi8683ProgramCommittee,

8683S12SessionChairOwe-Yang,Dah-Chung8682Program

Committee,8682S2SessionChairOyama,Kenichi[8681-108]SPS1,

[8682-11]S4,[8682-3]S2,[8682-49]SPS1,[8682-51]SPS1,[8683-4]S2,[8685-20]S6

Oyama,TomokoGowa[8679-81]SPS1,[8682-46]S11,[8682-64]SPS3

Ozawa,Mariko[8682-19]S4,[8682-19]S6

P

Padmabandu,GunasiriG.[8683-58]SPS1[8683-62]SPS1,[8683-71]SPS1

Padmanaban, Munirathna[8682-27]S8,[8682-41]S10

Pai,YuanChi[8681-43]S11,[8681-69]SPS1

Pain,Laurent8680ProgramCommittee,[8680-18]S5,[8680-26]S7,[8680-37]S10

Painter,BenjaminD.[8683-37]S8Pal,Shyam[8682-23]S7Palmer,RichardE.[8682-25]S7,[8685-

25]SPSPan,DavidZ.8684ProgramCommittee,

[8684-16]S10,[8684-16]S6,[8684-6]S2,[8684-8]S3

Pang, Linyong[8679-29]S7Panning,EricM.8679Program

Committee,8679S7SessionChairPaolucci,Angela[8679-7]S2,[8679-7]S3Paraschiv,Vasile[8685-13]S4,[8685-24]

SPSPargon,Erwine8685S2SessionChair,

[8685-9]S3Park, Byong Chon[8681-37]S10Park,ChanHoon[8683-60]SPS1Park,Chan-Ha[8683-15]S4,[8684-17]

S10,[8684-17]S6

Park,Chul-Hong8684ProgramCommittee,8684S8SessionChair

Park,Haesung[8679-27]S6Park,Hongki[8683-26]S6Park,Jea-Woo[8684-24]S8Park,Jeong-Su[8679-54]S11Park,JiSoong[8683-21]S5Park,Jongchul[8685-16]S5Park,Jong-Moon[8680-79]SPS3Park,Joon-Soo[8681-55]S14Park,Ki-Yeop[8683-60]SPS1Park,Kun-Sik[8680-79]SPS3Park,Oseo[8683-67]SPS1Park,Sang-Il[8681-5]S2Park,Tony[8683-60]SPS1Park,Yonghee[8681-81]SPS1Partlow,MatthewJ.[8679-87]SPS1Pastol,Anne[8681-4]S2Patel,KanaiyalalC.[8680-21]S6,[8680-

21]S8,[8680-30]S8,[8685-21]S6Patrone,PaulN.[8680-63]SPS1Patterson,OliverD.[8681-12]S4Pauer,Hagen[8679-12]S3Paul,Jan[8685-30]SPSPaunescu,Margareta[8680-15]S4,

[8680-15]S6Pavel,Eugen[8680-81]SPS4Pavía-Jiménez,Adriana[8682-37]S4Pawlowski, Georg[8682-41]S10Peale,RobertE.[8682-80]SPS4Pease,RogerW.[8680-9]S3Peck,Jason[8679-88]SPS1Peeters,Rudy[8679-50]S11Pei,Jojo[8683-56]SPS1Peijster,JerryJ.M.[8680-23]S7Peng,Danping[8679-29]S7Peng,Qing[8680-4]S2Penzkofer,Christian[8681-86]SPS1Perampalam,Puvan[8683-43]S10,

[8683-43]S6Pereira,SilvaniaF.[8681-34]S9Perera,PradeepN.[8682-69]SPS4Pérez-Murano,FrancescX.[8680-69]

SPS2Peroz,Christophe[8680-10]S3Perske,Marco[8679-12]S3Peters, Jan Hendrik8679Program

Committee,8679S9SessionChairPeterson,BrennanL.[8681-21]S6Petranovic,Dusan[8684-22]S7Petrillo,KarenE.[8679-101]SPS1,[8679-

20]S5,[8679-36]S8,[8679-94]SPS1,[8682-44]S11

Pieczulewski, Charles N.[8679-104]SPS1

Pierson,Bill[8681-87]SPS1,[8681-92]SPS1

Pileggi,Larry[8684-12]S4,[8684-12]S9PimentaBarros,Patricia[8680-37]S10Pitera,JedW.[8680-32]S4,[8680-32]

S9,[8683-3]S2

Index of Authors, Chairs, and Committee Members

Page 64: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

62 SPIEAdvancedLithography2013 · www.spie.org/al

Plachinda,Pavel[8681-6]S2Planchot,Jonathan[8683-38]S8Platzgummer,Elmar[8680-19]S5,[8680-

3]S1Plönjes-Palm,ElkeC.[8679-73]SPS1Polishchuk,Orest[8680-15]S4,[8680-15]

S6Pollentier,Ivan[8679-19]S5Polo,Alessandro[8681-34]S9Pomplun,Jan[8681-102]SPS1,[8681-

75]SPS1,[8683-47]S11Popescu, Gabriel[8681-15]S4Porter,Hugh[8681-36]S10Postek, Michael T.Symposium

CommitteePradelles,Jonathan[8680-37]S10,

[8680-5]S2,[8680-50]S12Preil,MosheE.[8680-51]S13Progler, Christopher J.Symposium

CommitteeProkofiev,AlexanderV.[8679-110]SPS1Puls,Jana[8679-72]SPS1

Q

Qi, Zhengqing J.[8679-18]S4,[8680-83]SPS4

Qin,Jing[8681-31]S9Qiu,Andy[8681-56]S14Quek,Shyue-Fong[8683-57]SPS1,

[8683-76]SPS1

R

Race,Joseph[8681-21]S6Rachford,Aaron[8682-39]S10Radisic,Dunja[8685-13]S4Rafac,RobertJ.[8683-52]S12Raghunathan,Sudharshanan[8679-24]

S6,[8679-28]S6,[8679-43]S9Rahman,M.Dalil[8682-27]S8Ramadan,Ahmed[8684-11]S3Ramprasad,Shishir[8681-14]S4Ranganatah,Teki[8679-62]SPS1Rangarajan,Srinivasan[8681-12]S4Rangelow,IvoW.8680Program

Committee,8680S11SessionChair,[8680-42]S11,[8680-44]S11,[8680-45]S11

Rasappa,Sozaraj[8680-60]SPS1Rathsack,BenjamenM.8680Program

Committee,8680S10SessionChair,8680S9SessionChair,[8680-51]S13,[8681-76]SPS1,[8682-19]S4,[8682-19]S6,8684S4SessionChair

Rawat,Pawan[8681-53]S14Raymond,ChristopherJ.8681Program

Committee,8681S11SessionChair,[8681-24]S6

Rechtsteiner, Gregory[8683-28]S6Reilly,Michael[8682-16]S4Ren,He[8684-19]S7Renaldo,AlfredF.[8680-29]S8

Resnick,DouglasJ.8680ConferenceCoChair,8680S3SessionChair,[8680-11]S3,[8680-2]S1,[8680-27]S8,[8680-31]S8,[8680-9]S3

Rettner,CharlesT.[8680-33]S4,[8680-33]S9,[8683-3]S2

Rezadad,Imen[8682-80]SPS4Richter,Frank[8683-61]SPS1Riedel,Stefan[8685-30]SPSRieger, Michael L.8684Program

Committee,8684S7SessionChairRinconDelgadillo,PaulinaA.[8680-20]

S6,[8680-20]S8,[8680-53]S13,[8680-64]SPS1,[8681-67]SPS1,[8682-10]S2,[8682-10]S3

Rio,David[8683-46]S11Robert,Frederic[8683-18]S4,[8683-39]

S8Robertson,StewartA.[8682-2]S1,

[8683-33]S7Robinson,AlexP.G.[8682-25]S7,[8685-

25]SPSRobinson, John C.8681Program

Committee,8681S2SessionChair,8681SPS1SessionChair,[8681-92]SPS1

Robinson,TodEvan[8679-53]S11Robinson,ZacharyR.[8679-84]SPS1Rocca,JorgeJ.8679Program

CommitteeRodríguez-Cantó,PedroJavier[8682-31]

S8,[8682-32]S8Rodriguez-Manzo,Julio[8680-83]SPS4Roh,YonghanRokitski,RostislavI.[8683-52]S12,

[8683-58]SPS1Rokitski,Slava[8683-62]SPS1Romo-Negreira,Ainhoa[8680-53]S13,

[8680-64]SPS1,[8682-10]S2,[8682-10]S3,[8682-19]S4,[8682-19]S6,[8682-20]S4,[8682-20]S6

Ronse, Kurt G.8679ProgramCommittee,8679S5SessionChair,[8679-107]SPS1

Rosal,Robert[8683-62]SPS1,[8683-71]SPS1

Rosielle,Nick[8683-89]SPS1Ross,CarolineA.[8680-1]S1Rosseel,Erik[8682-6]S2Rost,DanielL.[8681-109]SPS1Rottenkolber,Erica[8681-53]S14Rudolph,Matthias[8685-30]SPSRueger,NealR.[8685-12]S4Ruhm,Matthias[8683-61]SPS1Ruiz,Ricardo8680ProgramCommittee,

8680S2SessionChair,[8680-21]S6,[8680-21]S8,[8680-30]S8,8685S6SessionChair,[8685-21]S6

Ruoff,Johannes[8679-61]SPS1Rusu,Paul[8683-38]S8Ruzic, David N.[8679-13]S3,[8679-88]

SPS1,[8679-93]SPS1

Ryckaert,Julien[8679-107]SPS1Ryu,Chang-Hoon[8683-60]SPS1Ryu,Yoon-Jung[8679-54]S11

S

Sadeghian,Hamed[8681-121]SPS1Saifullah,MohammadS.M.[8682-83]

SPS3Saito,Takashi[8682-50]SPS1Sakai,Hideo[8681-88]SPS1,[8681-9]S3Sakai,Kei[8681-67]SPS1Sakaida,Yasushi[8682-4]S2Sakamoto,Rikimaru[8679-39]S8,[8682-

4]S2,[8682-9]S2,[8682-9]S3Samukawa,Seiji[8682-62]SPS3,8685

ProgramCommittee,8685S5SessionChair

Sanchez,MarthaI.8680S6SessionChair,8681ProgramCommittee,8681S5SessionChair,8681S8SessionChair,8682S5SessionChair,[8682-44]S11

Sanders,DanielP.[8680-15]S4,[8680-15]S6,[8680-32]S4,[8680-32]S9,[8680-33]S4,[8680-33]S9,8682ProgramCommittee,8682S8SessionChair,[8682-15]S4,[8685-19]S6

Santillan,JuliusJosephS.[8679-38]S8,[8682-17]S5

Sarathy,T.P.[8681-96]SPS1Sarlette,Daniel[8683-35]S8,[8683-59]

SPS1Sarma,Chandrasekhar[8679-101]SPS1,

[8679-96]SPS1Sasaki,Youichi[8683-51]S12Sasamoto,Satoru[8683-26]S6Sasao,Norikatsu[8680-66]SPS1Satake,Makoto[8685-28]SPSSatake,Masaki[8679-29]S7,[8681-109]

SPS1Sato,Hironobu[8680-40]S10,[8680-55]

SPS1Saulnier,Nicole[8682-14]S4Savari,SerapA.[8680-25]S7Scaccabarozzi,Luigi[8679-3]S1Schäfer,Bernd[8679-73]SPS1Schellenberg,FrankM.8680Program

Committee,8680S11SessionChair,8680S13SessionChair

Schiavone,Patrick[8680-76]SPS2Schlief,RalphE.[8679-30]S7Schlosser,Don[8681-98]SPS1Schmeide,Matthias[8683-59]SPS1Schmid,GerardM.[8680-51]S13,[8680-

56]S10Schmidt,Frank[8681-102]SPS1,[8681-

75]SPS1Schmidt,SebastianW.[8681-86]SPS1

Schmitt-Weaver,EmilP.[8681-91]SPS1Schmöller,Thomas[8683-43]S10,[8683-

43]S6Schneider,Jens[8683-35]S8,[8683-59]

SPS1Scholze,Frank[8679-72]SPS1Schramm,Jessy[8683-49]S11Schrinsky,A.J.[8685-12]S4Schuck,P.James[8680-27]S8Schulze,SteffenF.[8683-6]S2Schwartzberg, Adam M.[8682-69]

SPS4Schweikert,EmileA.[8682-37]S4Seaberg,MatthewD.[8681-17]S4Seevaratnam,GitanjaliK.[8681-53]S14Seidel,Robert[8680-46]S12Seino,Yuriko[8680-55]SPS1Seitz,Wolfgang[8679-52]S11Sekiguchi, Atsushi[8682-54]SPS2,

[8682-55]SPS2,[8682-56]SPS2,[8682-64]SPS3,[8682-70]SPS4

Sekiguchi,Tomoko[8681-19]S5Seltmann,Rolf[8683-49]S11Semprez,OlivierR.[8679-8]S3Sendelbach,MatthewJ.8681Program

Committee,8681S12SessionChair,8681S9SessionChair,8681SPS1SessionChair

Senowitz,Corey[8681-6]S2Senthamaraikannan,Ramsankar[8680-

60]SPS1Seo,Hwan-Seok[8679-2]S1,[8679-57]

SPS1Seo,IlSeok[8685-29]SPSSeo,Jaehun[8685-16]S5Seo,Jaekyung[8682-24]S7Seo,Manseung[8683-32]S7Seong,Nakgeuon[8683-28]S6Serebryakov,Alexander[8683-31]S7Seshimo,Takehiro[8680-6]S2Setoguchi,Katsumi[8681-82]SPS1Settens,CharlesM.[8681-20]S6Sevegney,MichaelS.[8682-75]SPS5Shafee,Marwah[8684-24]S8Shaik,Adil[8682-71]SPS4Shaikh,Shahid[8682-61]SPS2Shamiryan,Denis8685Program

CommitteeShao,Feng[8683-56]SPS1Sharma,Rahul[8680-14]S4,[8680-14]

S6Shaw,DaleM.[8680-67]SPS2Shaw,MatthewT.[8680-60]SPS1Sheehan, Michael T.[8682-79]SPS4Shen,Hongliang[8685-11]S3Shen,Yiping[8683-82]SPS1Shen,Yu-Tian[8681-115]SPS2Shi,Irene[8681-60]SPS1Shi,Jian[8681-100]SPS1Shi,Xiaoping[8685-10]S3Shi,Xuelong8683ProgramCommittee,

8683S7SessionChair

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

Introducing a new benefit for SPIE journal authors—

Get the benefit of GOLD OPEN ACCESS for your paper when you pay voluntary publication charges!Gold Open Access-Modestpagecharges-Increasereadershipforyourarticle-Complywithemployerandfundingagencyrequirements-RetaincopyrightwithCreativeCommonslicense

Formoreinformationvisitwww.spie.org/JournalsOAContact:[email protected]

Page 65: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 63

Shibayama,Wataru[8679-39]S8Shibazaki,Yuichi[8683-55]S12Shibuya, Masato[8683-81]SPS1Shieh,JasonJ.[8681-115]SPS2Shiely,JamesP.[8684-13]S5,[8684-13]

S9Shigaki,Syuhei[8679-39]S8Shih,Chih-Tsung[8679-56]SPS1Shih,Todd[8681-112]SPS1Shim,MyoungseobShima,Motoyuki[8682-1]S1Shimizu,Makoto[8679-34]S8Shimizu,Youichi[8680-72]SPS2Shimoaoki,Takeshi[8679-100]SPS1Shimokawa,Tsutomu[8682-1]S1Shimura,Satoru[8682-50]SPS1Shin,Chul-Ho[8680-36]S10Shin,Jaw-Jung[8680-48]S12Shindo,Hiroyuki[8681-10]S3,[8681-88]

SPS1,[8681-9]S3Shiobara,Eishi[8679-21]S5,[8679-82]

SPS1,[8679-85]SPS1Shiono,Daiju[8680-62]SPS1Shirasaki,Hirokimi[8681-78]SPS1Shirata,Yosuke[8683-55]S12Shiratani,Motohiro[8682-38]S10Shoki,Tsutomu8679Program

Committee,8679S10SessionChair,[8679-26]S6

Shriyan, Sameet K.[8680-48]S12Shykind,David[8680-39]S10Si,Jinhai[8680-68]SPS2Siebein,Kerry[8682-36]S9Siebert,Joachim[8679-97]SPS1,[8683-

22]S5Silver,RichardM.8681Program

Committee,8681S6SessionChair,8681S7SessionChair,[8681-13]S4,[8681-31]S9

Sim,Hak-Yong[8683-64]SPS1Simmons, Mark C.[8684-25]S8Simmons,Sean[8685-26]SPSSingh,Arjun[8682-10]S2,[8682-10]S3Singh,SherJang[8679-49]S10Singh, Vivek K.8684Program

Committee,[8685-1]S1Sivakumar, Sam[8679-1]S1,8683

ProgramCommitteeSizyuk, Tatyana[8679-10]S3,[8679-91]

SPS1Skyberg,Bjorn[8681-98]SPS1Slot,Erwin[8680-23]S7Smayling,MichaelC.[8683-4]S2Smith,BruceW.[8679-24]S6,8683

ProgramCommittee,8683S3SessionChair,[8683-16]S4

Smith,Dan[8679-3]S1Smith,Danica[8681-12]S4Smith,DonaldK.[8679-87]SPS1Smith, James H.[8680-24]S7Smith,JeffreyT.[8682-61]SPS2Smith,MarkD.[8682-16]S4,[8682-2]S1

Socquet,Nelly[8681-74]SPS1Sohmen,ErikM.[8679-94]SPS1,[8679-

96]SPS1Sohn,Jaewoong[8679-20]S5Sohn,Martin[8681-13]S4Solecky,Eric[8681-12]S4Soltwisch,Victor[8679-72]SPS1Somervell,MarkH.[8680-51]S13,[8680-

52]S13,[8680-53]S13,[8680-64]SPS1,8682ConferenceChair,8682S1SessionChair,[8682-10]S2,[8682-10]S3,[8682-19]S4,[8682-19]S6,[8682-20]S4,[8682-20]S6

Song,Hua[8683-15]S4,[8684-13]S5,[8684-13]S9

Soufli, Regina[8679-42]S9Sourd,Claire[8680-26]S7Souriau,Laurent[8685-10]S3Spanos,CostasJ.8681Program

Committee,8681S6SessionChairSpaziani,Nicolas[8681-74]SPS1Spiller, Eberhard Adolf[8679-42]S9Spivey,RobertF.[8679-67]SPS1Sporre,John[8679-13]S3,[8679-88]

SPS1,[8679-93]SPS1Sreenivasan,S.V.[8680-11]S3,[8680-

31]S8Srivastava,ShailendraN.[8679-88]SPS1Srivatsa,Arun[8681-12]S4St.Germain,AlanR.[8680-67]SPS2Stach,EricA.[8680-83]SPS4Stadelhoff,Christian[8679-72]SPS1Stamper,Andrew[8681-12]S4Standiford,KeithP.[8679-30]S7Stanton,WilliamA.[8681-110]SPS1Starikov, Alexander8681Conference

Chair,8681S1SessionChair,8681S15SessionChair

Steegen,An[8679-107]SPS1Steenbrink,StijnW.H.K.[8680-23]S7Stegemann,Maik[8683-35]S8Steidel,Katja[8680-46]S12,[8682-34]

S9Stein,GilaE.[8680-22]S6,[8680-22]S8Steinbuch,Maarten[8683-89]SPS1Steiner,Philip[8680-28]S8Stickler,Daniel[8683-83]SPS1Stinzianni,Emilio[8679-45]S10,[8679-

59]SPS1Stobert,Ian[8685-3]S1Stoeldraijer,Judon[8679-50]S11Stokowski,StanleyE.8679Program

Committee,8679S10SessionChairStolberg,InesA.8680Program

Committee,8680S12SessionChairStrauss,Michael[8681-6]S2Strojwas,AndrzejJ.[8684-12]S4,[8684-

12]S9Sturtevant, John L.8683S10Session

Chair,[8683-18]S4,[8683-6]S2,8684ConferenceCoChair,8684S1SessionChair,8684S6SessionChair

Su,Chanmin[8679-45]S10,[8679-47]S10,[8681-100]SPS1

Su,Irene[8683-15]S4Su,Yi-Chen[8682-78]SPS3Subramanian,MahadevanGanapathi

[8680-31]S8Subramany,Lokesh[8681-2]S2,[8682-

23]S7Sugahara,Hitoshi[8681-9]S3Sugatani,Shinji[8680-73]SPS2,[8680-

75]SPS2Sugawara,Katsuya[8680-66]SPS1Sugie,Norihiko[8679-21]S5,[8679-85]

SPS1Suh,HyoSeon[8680-13]S4,[8680-13]

S6Sumioka,Junji[8682-70]SPS4Sun,Gang[8681-85]SPS1Sun,Guorong[8682-37]S4Sun,JeffFuge[8680-16]S5Sun,Lei[8679-24]S6,[8679-28]S6Sunday,DanielF.[8680-22]S6,[8680-22]

S8,[8681-20]S6,[8681-25]S7,[8681-44]S12

Sundberg,LindaK.[8682-44]S11Sung,HyunjuSungauer,Elodie[8683-18]S4,[8683-39]

S8Suri,Mayur[8680-20]S6,[8680-20]S8Suzuki, Hiroyuki[8680-65]SPS1Suzuki,Tomohiro[8679-17]S4Sweis,Jason[8681-76]SPS1Szucs,Anna[8683-38]S8

T

Tabatabaie-Alavi,Kamal[8680-67]SPS2Tabet,Milad[8681-96]SPS1Tada,Yasuhiko[8680-13]S4,[8680-13]

S6,[8685-28]SPSTagami,Masayoshi[8682-14]S4Tagawa, Seiichi[8679-77]SPS1,[8679-

81]SPS1,[8679-83]SPS1,[8682-18]S5,[8682-45]S11,[8682-46]S11,[8682-47]S11,[8682-53]SPS2,[8682-64]SPS3

Tahara,Shigeru[8682-19]S4,[8682-19]S6,[8685-13]S4

Tajima,Keiichi[8683-22]S5Takagi,Isamu[8679-21]S5,[8679-85]

SPS1Takagi,Noriaki[8679-68]SPS1Takahashi,Kazuhiro8683Program

Committee,8683S5SessionChairTakahashi,Masanori[8683-10]S3Takahashi,Satoru[8681-49]S13Takahashi,Toshiya[8679-21]S5,[8679-

85]SPS1,[8682-21]S7Takahashi,Yasushi[8680-73]SPS2Takahisa,Kikuchi[8683-55]S12

Takamasu,Kiyoshi[8681-49]S13Takashi,Koike[8681-54]S14Takashi,Saito[8679-36]S8Takasuka,Masaaki[8682-66]SPS3Takata,Masaaki[8679-58]SPS1Takeda,Takashi[8682-40]S10Takehisa, Kiwamu[8679-17]S4Takei, Satoshi[8682-57]SPS2,[8682-

64]SPS3,[8682-70]SPS4Takimoto,Yoshio[8682-1]S1Takita,Hiroshi[8680-75]SPS2Takizawa,Hiroo[8679-4]S1,[8682-40]

S10Tamada,Osamu[8679-104]SPS1Tamaki,Junichi[8683-81]SPS1Tamaoki,Sayaka[8683-23]S5Tamura,Takao[8683-85]SPS1Tan,Bo[8680-71]SPS2Tan,ChiLim[8685-10]S3Tan,John[8681-79]SPS1Tan,Zhengquan[8681-101]SPS1Tanabe,Shinichi[8681-113]SPS1Tanaka,Hiroyuki[8679-21]S5,[8679-85]

SPS1Tanaka,Hitoshi[8680-72]SPS2Tanaka,Junichi[8681-19]S5Tanaka,Satoshi[8680-40]S10,[8683-10]

S3Tanaka,Yuusuke[8683-85]SPS1Tang,Betty[8682-61]SPS2Tang,Yun[8683-57]SPS1Tang,Yu-Po[8681-66]SPS1Taniguchi,Takashi[8680-54]S13Tanouchi,Keiji[8682-19]S4,[8682-19]

S6Tarrio, Charles[8679-19]S5,[8679-22]

S5,[8679-71]SPS1Tarutani,Shinji[8679-4]S1,[8682-40]

S10,[8682-43]S11Taylor,John[8681-2]S2Tchikoulaeva,Anna8679Program

Committee,[8679-17]S4,[8681-112]SPS1,[8681-113]SPS1

Teeuwen,Leon[8679-94]SPS1Teipel, Ansgar[8683-79]SPS1Tejnil,Edita[8683-6]S2Teki,Ranganath[8679-14]S4,[8679-16]

S4,[8679-48]S10,[8679-63]SPS1,[8679-67]SPS1,[8681-65]SPS1

Tel,Wim[8683-54]S12Teramoto,Yusuke[8679-8]S3Terasawa,Tsuneo[8679-46]S10,[8679-

60]SPS1,[8679-64]SPS1,[8679-66]SPS1,[8679-68]SPS1,[8679-99]SPS1

Terhalle,Bernd[8679-75]SPS1Thackeray,JamesW.[8679-6]S2,[8679-

6]S3,[8682-37]S4,[8682-39]S10Thean,Aaron[8679-107]SPS1Thérèse,Romain[8681-5]S2,[8681-51]

S13Thian,EngSan[8682-83]SPS3

Thomas,Alan[8683-19]S5Thompson, Andrew[8682-77]SPS3Thompson,MichaelO.[8682-58]SPS2Thornes,JoshuaJ.[8683-28]S6,[8683-

52]S12Thrun,Xaver[8682-34]S9,[8685-30]SPSTian,EricMingjing[8681-60]SPS1Tian,Haitong[8684-7]S2Tiberio,Richard[8680-35]S4,[8680-35]

S9Tiedtke,Kai[8679-73]SPS1Tien,DavidC.[8681-43]S11,[8681-56]

S14,[8681-92]SPS1Tien,Hung-Yu[8679-18]S4Tijo,Melia[8682-15]S4Tiron,Raluca[8680-37]S10,[8680-5]S2Tjahjadi,Jovita[8685-21]S6Tjio,Melia[8680-15]S4,[8680-15]S6,

[8680-33]S4,[8680-33]S9Tokashiki,Ken[8685-16]S5Tokei,Zsolt[8679-107]SPS1Tolani,VikramL.[8679-29]S7,[8681-

109]SPS1Tolbert,LarenM.[8682-81]SPS3,[8682-

82]SPS3Tomita,Tadatoshi[8680-38]SPS1Tomita,Tadayuki[8681-76]SPS1Tong,WilliamM.8680ConferenceChair,

[8680-16]S5Torres, Juan Andres[8684-24]S8Toyoda,Yasutaka[8681-88]SPS1Tran,HoangV.[8682-79]SPS4Tran,Jacqueline[8681-39]S11Tran,Natalie[8681-98]SPS1Tran,Stephen[8681-56]S14Trease,David[8681-14]S4Trefonas,Peter[8680-14]S4,[8680-14]

S6,[8680-7]S2,[8682-37]S4,[8682-68]SPS3

Trikeriotis,Markos[8679-5]S2,[8679-5]S3,[8682-26]S7

Tripp,MarieK.[8681-22]S6Tritchkov, Alexander[8683-14]S4,

[8683-17]S4Troetschel,Daniela[8682-63]SPS3Truong,Hoa[8680-33]S4,[8680-33]S9,

[8682-15]S4,[8682-44]S11Tsai,Hsinyu[8680-32]S4,[8680-32]S9,

[8683-3]S2,[8685-19]S6Tsai,HuiHusan[8682-13]S4Tsai,Jenny[8684-25]S8Tsai,Kuen-Yu[8681-115]SPS2Tsai,Meng-Feng[8682-12]SPS2Tsai,Ming-Jinn[8683-36]S8Tsao,WeiChe[8681-79]SPS1Tseng,Yu-Chih[8680-4]S2Tsubaki,Hideaki[8679-4]S1,[8682-43]

S11Tsubata, Kyoichi[8683-85]SPS1Tsujita,Koichiro[8683-4]S2Tsushima,Hiroaki[8683-51]S12

Index of Authors, Chairs, and Committee Members

Page 66: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

64 SPIEAdvancedLithography2013 · www.spie.org/al

Tsuzuki,Shuichi[8682-7]S2Tünnermann, Andreas[8679-12]S3Turner,KevinT.8680Program

CommitteeTurnidge,Martin[8680-24]S7Tyminski, Jacek K.[8683-47]S11Tzai,Wei-Jhe[8681-69]SPS1,[8681-97]

SPS1Tzviatkov,Plamen8682Program

Committee,8682S7SessionChair

U

Ueda, Kazuhiro[8681-82]SPS1Uesugi,Takuji[8682-62]SPS3Ugurlu,Ozan[8681-6]S2Ukraintsev,VladimirA.8681Program

Committee,8681S12SessionChair,8681S13SessionChair

Ullah,MdZakir[8681-56]S14Umeda,Toru[8682-7]S2Upadhyaya,Mihir[8679-113]SPS1Urbach, Hendrik Paul[8681-34]S9Urensky,Ronen[8681-30]S6,[8681-30]

S8Utzny,ClemensS.[8681-8]S3

V

Vacca, Anthony D.[8679-29]S7,[8681-111]SPS1

Vaglio Pret, Alessandro[8679-111]SPS1,[8679-95]SPS1,[8685-7]S2

Vaid,Alok8681ProgramCommittee,8681S7SessionChair,[8681-12]S4,[8681-2]S2,[8681-7]S3

Vaidyanathan,Kaushik[8684-12]S4,[8684-12]S9

Valeri,David[8682-42]S10vanBerkel,Marijn[8683-62]SPS1VandeKerkhove,Jeroen[8681-9]S3,

[8683-46]S11vandenAkker,Theo[8679-94]SPS1vandenDool,TeunC.[8681-121]SPS1VandenHove,LucSymposium

CommitteevanderDonck,JacquesC.J.[8681-72]

SPS1vanderLaan,Hans[8683-25]S6,[8683-

54]S12vanderWalle,Peter[8681-72]SPS1VandrHorst,Jan-Willem[8679-50]S11vanHaren,RichardJ.F.[8681-4]S2VanLook,Lieve[8683-28]S6VanRiet,Mike[8681-14]S4vanSetten,Eelco[8679-50]S11Van,M.P.[8682-65]SPS3

Vandenberghe, Geert[8679-107]SPS1,8683ProgramCommittee,8683S5SessionChair

Vandenbroeck,Nadia[8685-10]S3Vangoidsenhoven,Diziana[8685-10]S3Vannufel,Cyril[8681-39]S11Vansumere,Wim[8679-107]SPS1Vartanian,VictorH.[8681-32]S9Venkatakrishnan,Krishnan[8680-71]

SPS2Ventrice,Carl[8679-7]S2,[8679-7]S3,

[8679-84]SPS1Vergeer,Niels[8680-50]S12Verkest,Diederik[8679-107]SPS1Versluijs,Janko[8685-10]S3Versluis,Richard[8681-72]SPS1Vertommen,Johan[8685-10]S3Vikram,Abhishek[8681-94]SPS1Villaret,Alexandre[8683-14]S4Vinet,Maud[8682-28]S8Vinokhodov,AleksanderYu.[8679-110]

SPS1Vockenhuber,Michaela[8679-35]S8,

[8679-75]SPS1Vogler,Marko[8680-10]S3Volkman,CatherineR.[8681-27]S7,

[8681-28]S7Vora,Ankit[8680-15]S4,[8680-15]S6Vorbringer-Dorozhovets,Nataliya[8680-

44]S11Vuppala,Soujanya[8681-106]SPS1

W

Wada,Akira[8682-62]SPS3Wagner,Heiko[8681-113]SPS1Wagner,MikeD.[8679-6]S2,[8679-6]

S3,[8682-42]S10Wago,Koichi[8680-28]S8Wakamoto,Koichi[8681-29]S6,[8681-

29]S8,[8681-30]S6,[8681-30]S8Wakamoto,Shinji[8683-26]S6Walimbe,Atul[8684-8]S3Wallow,ThomasI.8679Program

Committee,8679S8SessionChair,[8679-24]S6,[8679-28]S6,[8679-97]SPS1,8682ConferenceCoChair,8682S1SessionChair

Wallraff,GregoryM.[8682-44]S11Wan,Jane[8680-15]S4,[8680-15]S6Wan,Lei[8680-13]S4,[8680-13]S6,

[8680-21]S6,[8680-21]S8,[8680-30]S8,[8685-21]S6

Wand,MichaelD.[8681-116]SPS2Wang,AnthonyChunqing[8683-15]S4Wang,Baoliang[8683-84]SPS1Wang,ChangAn[8683-45]S11Wang,Chengqing[8681-20]S6,[8681-

25]S7

Wang,Chunmei[8681-45]S12Wang,Deyan[8682-68]SPS3Wang,Fan[8681-90]SPS1,[8683-90]

SPS1Wang,Fei[8679-18]S4Wang,Haiming[8681-100]SPS1Wang, Li[8679-35]S8,[8679-75]SPS1Wang,Liyuan[8682-67]SPS3Wang,LynnT.[8684-9]S3Wang,Shuangqing[8679-109]SPS1Wang,Vivien[8681-53]S14Wang,Wen-Chuan[8680-16]S5,[8680-

48]S12Wang,Wen-Yun[8682-78]SPS3Wang,Xiangzhao[8683-63]SPS1Wang,Ying[8683-80]SPS1Wang,Yubao[8680-61]SPS1,[8685-26]

SPSWarisawa,Shin’ichi[8680-65]SPS1Washburn,Carlton[8682-76]SPS5Washio,Masakazu[8679-81]SPS1,

[8682-46]S11Watanabe,Hidehiro[8679-17]S4,[8679-

46]S10,[8679-64]SPS1,[8679-66]SPS1,[8679-99]SPS1

Watkins,James8680ProgramCommittee,8680S10SessionChair

Watts,MikeP.[8680-80]SPS3Wege,Stephan[8685-30]SPSWei,Alexander[8683-19]S5Wei,Andy[8685-11]S3Wei,Chih-I[8683-74]SPS1Wei,David[8683-48]S11Wei,Hannah[8681-91]SPS1Wei,Hung-Wen[8682-35]S9,[8685-27]

SPSWei,Yayi[8682-13]S4,[8682-23]S7Weigand,Michael[8685-26]SPSWeilnboeck,Florian[8685-6]S2Weinheimer,CoreyJ.[8680-39]S10Weinhold,JeffreyD.[8680-14]S4,[8680-

14]S6,[8680-7]S2Werner,Thomas[8680-46]S12Westerhoff,Thomas[8683-88]SPS1White, Roy L.[8679-53]S11Whittaker, Andrew K.[8680-8]S2Wiaux,Vincent[8683-33]S7,[8685-10]

S3Wiedemann,Pablo[8680-26]S7Wieland,Marco8680Program

Committee,8680S12SessionChair,8680S5SessionChair,[8680-23]S7

Wilcox,NathanE.[8682-33]S9Wilkens,PeterJ.[8681-96]SPS1Willson, Carlton GrantSymposium

Committee,[8680-6]S2,[8682-30]S8Wilson,Dan[8683-58]SPS1,[8683-62]

SPS1

Wiltshire,TimothyJ.[8681-53]S14Winroth,GustafLars[8679-95]SPS1,

[8682-6]S2,[8685-24]SPSWise,Rich8685S1SessionChairWittich,Gero[8679-52]S11Wolf,Elizabeth[8682-27]S8Wong,Cheuk[8681-53]S14Wong,H.S.Philip[8680-35]S4,[8680-

35]S9,[8680-57]SPS1Wong,MartinD.F.[8684-13]S5,[8684-

13]S9,[8684-7]S2Wong,Patrick[8683-33]S7,[8685-10]S3Wong,Weilong[8683-61]SPS1Wood, Obert R.8679Conference

CoChair,8679SPS1SessionChair,[8679-24]S6,[8679-28]S6,[8679-43]S9,[8679-94]SPS1

Wooley,KarenL.[8682-37]S4Wright,Scott[8679-96]SPS1Wu,Chen-Hao[8682-78]SPS3Wu,ChunghsiJoe[8682-28]S8Wu,Hengpeng[8680-15]S4,[8680-15]

S6Wu,Hung-Ming[8682-12]SPS2Wu,J.Y.[8681-79]SPS1Wu,Jason[8684-25]S8Wu,JimmyC.H.[8681-43]S11Wu,Joanne[8681-60]SPS1Wu,Jui-Ching[8679-23]S5,[8679-80]

SPS1Wu,Ke-Chih[8681-60]SPS1Wu,Steven[8682-78]SPS3Wu,Tsai-Wei[8685-21]S6Wu, Wei8680ProgramCommitteeWu,Wen-li[8680-22]S6,[8680-22]S8,

[8681-20]S6,[8681-25]S7,[8681-44]S12

X

Xia,Qi[8683-75]SPS1Xiao, Hong[8681-14]S4Xiao,ShengWei[8681-71]SPS1Xiao,Shuaigang[8680-28]S8Xie,Peng[8682-5]S2,[8684-19]S7Xie,Xiaobo[8679-31]S7,[8683-39]S8Xing, Tingwen[8683-86]SPS1Xu,Cheng-Bai[8682-68]SPS3Xu,FrankY.[8680-11]S3,[8680-31]S8Xu,Jeff8685ProgramCommitteeXu,Ji[8680-51]S13,[8680-56]S10Xu,Jiajun[8683-86]SPS1Xu,Jian[8679-109]SPS1Xu,Kaidong[8685-10]S3Xu,Verne[8683-56]SPS1Xue,Jun[8682-5]S2Xue,Xiang[8682-25]S7

Y

Yaegashi,Hidetami[8681-108]SPS1,[8682-11]S4,[8682-3]S2,[8682-49]SPS1,[8682-51]SPS1,[8683-4]S2,[8685-20]S6

Yaguchi,Hiroaki[8679-39]S8,[8682-9]S2,[8682-9]S3

Yakushev,OlegF.[8679-110]SPS1Yamada,Akio[8680-72]SPS2Yamada,Naofumi[8679-86]SPS1Yamaguchi, Atsuko[8681-19]S5,[8681-

47]S12Yamaguchi,Satoru[8681-42]S11Yamaguchi,Yoshikazu[8680-58]SPS1,

[8682-1]S1,[8682-15]S4Yamakawa,Masako[8682-66]SPS3Yamamoto,Hajime[8683-53]S12Yamamoto,Hiroki[8682-47]S11Yamamoto,Kazuhito[8681-112]SPS1Yamamoto,Ryousuke[8680-66]SPS1Yamanaka,Takuya[8681-58]SPS1Yamane,Takeshi[8679-46]S10Yamashita,Tsuneo[8680-12]S3Yamato,Masatoshi[8682-11]S4,[8682-

3]S2,[8682-49]SPS1,[8682-51]SPS1,[8685-20]S6

Yamauchi,Shohei[8682-11]S4,[8682-3]S2,[8682-49]SPS1,[8682-51]SPS1,[8685-20]S6

Yang,Chaoxing[8683-63]SPS1Yang,Chin-Cheng[8683-72]SPS1Yang,Chu-Ya[8682-35]S9Yang,DaeGeun[8685-11]S3Yang,DongXu[8682-25]S7Yang,Ellyn[8683-57]SPS1,[8683-76]

SPS1Yang,Elvis[8682-60]SPS2,[8683-72]

SPS1,[8683-73]SPS1Yang,Guoqiang[8679-109]SPS1Yang,Hyun-Jo[8683-15]S4,[8684-17]

S10,[8684-17]S6Yang,Jack[8680-31]S8Yang,Jason[8680-16]S5Yang,Jeehong[8680-25]S7Yang,Jinfeng[8682-45]S11Yang,Qing[8680-68]SPS2Yang,Qing[8683-43]S10,[8683-43]S6Yang,Seung-Hune[8683-11]S3Yang,SiyuanFrank[8681-68]SPS1,

[8681-77]SPS1Yang,Ta-Hung[8682-60]SPS2,[8683-

72]SPS1,[8683-73]SPS1Yang, XiaoMin[8680-28]S8Yang,Zhengmao[8680-11]S3Yao,Huirong[8682-27]S8,[8682-41]S10Yasuda,Atsushi[8682-62]SPS3Yatsuda,Koichi[8682-19]S4,[8682-19]

S6,[8685-13]S4

Index of Authors, Chairs, and Committee MembersBold=SPIECorporateMember

Page 67: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 65

Yatsui,Takashi[8679-14]S4Yeh,Tsung-Ju[8682-68]SPS3Yeh,Wei-Ming[8682-81]SPS3Yen, AnthonySymposiumCommittee,

[8679-23]S5,[8679-56]SPS1,[8679-80]SPS1,8685ProgramCommittee

Yeo,HyunyoungYeo, Jeongho8679ProgramCommittee,

[8681-37]S10,[8681-80]SPS1Yeo,Yee-Chia[8681-107]SPS1Yesilada,Emek[8683-14]S4,[8683-18]

S4,[8683-38]S8,[8683-39]S8Yi,He[8680-35]S4,[8680-35]S9,[8680-

56]S10,[8680-57]SPS1Yi,Shiyong[8680-36]S10Yim,DongGyu[8683-15]S4,[8684-17]

S10,[8684-17]S6Yin,Jian[8680-15]S4,[8680-15]S6,

[8680-30]S8Yin,Vic[8681-112]SPS1Yin,Yunpeng[8680-52]S13Yokokawa,Natsumi[8682-40]S10Yokosuka,Toshiyuki[8681-61]SPS1Yokoyama,Yoshiyuki[8682-57]SPS2,

[8682-70]SPS4Yonemitsu,Hiroki[8680-55]SPS1Yonenaga,Dean[8681-109]SPS1Yong,Jiale[8680-68]SPS2Yoo,Gyun[8681-16]S4Yoo,Hyungwon[8681-64]SPS1Yoo,Seong-Ook[8680-79]SPS3Yoo,Sungchul[8681-97]SPS1Yoon,Kwang-sun[8682-24]S7Yoshida,Hiroshi[8680-13]S4,[8680-13]

S6,[8680-21]S6,[8680-21]S8,[8681-67]SPS1,[8685-28]SPS

Yoshida,Takashi[8680-17]S5Yoshida,Yoichi[8682-45]S11Yoshihara,Kosuke[8682-50]SPS1Yoshimoto,Kenji[8680-54]S13Yoshimoto,Kenji[8680-56]S10Yoshioka,Masaki8679Program

Committee,[8679-8]S3Youn,Bumjoon[8682-24]S7Younkin,ToddRoss[8679-95]SPS1,

8682ProgramCommittee,8682S10SessionChair,[8682-10]S2,[8682-10]S3,[8682-20]S4,[8682-20]S6

Yu,Bei[8684-16]S10,[8684-16]S6,[8684-6]S2

Yu,Chih-Chieh[8683-72]SPS1Yu,ChunChi[8681-43]S11,[8681-69]

SPS1,[8681-97]SPS1,[8682-68]SPS3

Yu,Hangeun[8680-36]S10Yu,Liya[8682-36]S9Yu, Shinn-Sheng[8679-56]SPS1Yu,Tianjun[8679-112]SPS1Yuan,Chi-Min8684ProgramCommittee,

8684S3SessionChairYuan,Qiao[8683-80]SPS1Yulin,Sergiy[8679-12]S3

Z

Zangooie,Shahin[8681-96]SPS1Zeng,Aijun[8683-80]SPS1,[8683-87]

SPS1Zhang,Bosheng[8681-17]S4Zhang,Chuanwei[8681-119]SPS2Zhang,DongQing[8683-57]SPS1Zhang,Dongshi[8680-68]SPS2Zhang,Haizheng[8681-95]SPS1Zhang,Hongbo[8684-7]S2Zhang,Liping[8685-14]S4Zhang,Pingping[8681-118]SPS2Zhang,Shanhua[8683-87]SPS1Zhang,Xingui[8681-107]SPS1Zhang,Ying8685ConferenceChair,

8685S5SessionChairZhang,Yulin[8681-113]SPS1Zhang,Yunbo[8683-80]SPS1Zhao,Hong[8681-114]SPS2,[8681-

117]SPS2Zhao,Qiang[8681-101]SPS1Zheng,Jing[8681-109]SPS1Zheng,Xin[8679-32]S7Zhou,CongShu[8683-76]SPS1Zhou,Han[8682-29]S8Zhou,Hui[8681-13]S4,[8681-31]S9Zhou,Jessica[8681-7]S3Zhou,Ke[8681-60]SPS1Zhou, Renjie[8681-15]S4Zhu,Cynthia[8683-56]SPS1Zhu,Linglin[8683-87]SPS1Zhu,Sherry[8684-25]S8Zou,Yi[8679-30]S7Zschiedrich,Lin[8681-102]SPS1,

[8681-75]SPS1,[8683-47]S11Zuniga,ChristianD.[8683-48]S11Zurita,Omar[8683-28]S6

Page 68: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

66 SPIEAdvancedLithography2013 · www.spie.org/al

The results you hear will live far beyond the conference roomAllproceedingsfromthiseventwillbepublishedintheSPIEDigitalLibrary,promotingbreakthroughresults,ideas,andorganizationstomillionsofkeyresearchersfromaroundtheworld.

Proceedings of SPIE

Vol# Title(Editor)PrepublicationPrice

8679 Extreme Ultraviolet (EUV) Lithography IV (Naulleau) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .$125

8680 Alternative Lithographic Technologies V (Tong) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .$105

8681 Metrology, Inspection, and Process Control for Microlithography XXVII(Starikov) . . . . . . . . . . . . . . . . .$130

8682 Advances in Resist Materials and Processing Technology XXX (Somervell). . . . . . . . . . . . . . . . . . . . .$100

8683 Optical Microlithography XXVI(Conley) . . . . . . . . . . .$120

8684 Design for Manufacturability through Design-Process Integration VII (Mason) . . . . . . . . . . . . . . . . . . . . . . . . . . $53

8685 Advanced Etch Technology for Nanopatterning II (Zhang, Lee, Samukawa). . . . . . . . . . . . . . . . . . . . . . . . . $53

Order Proceedings volumes now and receive low prepublication prices.

Proceedings on CD

SPIE Advanced LithographySearchableCDwithMultipleConferences.CDsarenowavailablewithin8weeksofthemeeting.Full-textpapersfromall7Proceedingsvolumes.PC,Macintosh,andUnixcompatible.

Advanced Lithography 2013(IncludesVols.8679-8685)OrderNo.CDS505Est.pub.April2013Meetingattendee:$135Nonattendeememberprice:$480Nonattendeenonmemberprice:$635

Page 69: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

+13606763290 · [email protected] · #SPIELitho 67

Helping engineers and scientists stay current and competitive

SPIEDigitalLibrary.orgFind the answer

Defense& Security

SensorsBiomedicalOptics

Optics & Astronomy

Nano/Micro Technologies

Optoelectronics & Communications

Energy Lasers

Page 70: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

68 SPIEAdvancedLithography2013 · www.spie.org/al

Page 71: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition

SPIE would like to express its deepestappreciation to the symposium chairs,conference chairs, program committees,and session chairs who have sogenerously given their time and advice tomake this symposium possible.

The symposium, like our other conferencesand activities, would not be possiblewithout the dedicated contribution of ourparticipants and members. This programis based on commitments received up tothe time of publication and is subject tochange without notice.

The international technical group of SPIE dedicated to the advancement of photomask technology

Conferences & Courses10–12 September 2013

Exhibition10–11 September 2013

LocationLocation: Monterey Conference Center, Monterey, California, USA

Technologies- Mask Making

- Emerging Mask Technologies

- Mask Application

- Mask Business

Mask design, production, integration, and next-generation mask technologies

Call for Papers Submit your abstract by 25 February 2013 www.spie.org/pm2013

Page 72: Technical Programdenki.iee.jp/wp-content/uploads/smas/19-calender/rireki...Hilton Hotel San Jose Marriott, Second Floor Speaker Check-in Desk Internet Pavilion SPIE Marketplace Exhibition