3
Nombre: Zulema Guadalupe Garcia Lozano Programa: Sistemas Autónomos de Navegación Aérea y Submarina Materia: Electrónica Digital Profesor: Dr. Mario Alfredo Reyes Barranca Descripción y Simulación de Circuitos Digitales con VHDL. Tarea # 3. 1.Obtener la ecuacion booleana en VHDL del cicuito de la figura: x 1= a b x 1a xnor b x 2= ( cd+ ( a b) )(( e f)( cd)) x 2( ( cd )( axnorb ) ) nand( ( e xor f )( cd) ) x 3=(e f )( cd ) x 3≤( exorf)∧( cd) 2.Mencione la principal diferencia entre las declaraciones secueciales y concurrentes. En las declaraciones concurrentes no importa el orden de las sentencias, ademas de que estas se obtienen con when-else o con ecuaciones booleanas, mientras que en las declaraciones secuenciales es muy importante el orden de las sentencias y

T3_ZGGL_MC_SANAS

Embed Size (px)

DESCRIPTION

Descripción y simulación de Circuitos Digitales con VHDL

Citation preview

Descripcin y Simulacin de Circuitos Digitales con VHDL

Nombre: Zulema Guadalupe Garcia Lozano Programa: Sistemas Autnomos de Navegacin Area y Submarina Materia: Electrnica DigitalProfesor: Dr. Mario Alfredo Reyes Barranca

Descripcin y Simulacin de Circuitos Digitales con VHDL.Tarea # 3.1. Obtener la ecuacion booleana en VHDL del cicuito de la figura:

2. Mencione la principal diferencia entre las declaraciones secueciales y concurrentes. En las declaraciones concurrentes no importa el orden de las sentencias, ademas de que estas se obtienen con when-else o con ecuaciones booleanas, mientras que en las declaraciones secuenciales es muy importante el orden de las sentencias y estas requieren dentro de las intrucciones de process el if-then-else o case.3. Elabore un programa en VHDL utilizando estructuras del tipo if then elseif en la descripcin y que describa el funcionamiento mostrado en la siguiente tabla:ABCXYZ

000101

001110

010001

011101

100000

101010

110010

111100

library ieee;use ieee.std_logic_1164.all;entity tabla isport (ABC: in std_logic_vector(2 downto 0);XYZ: out std_logic_vector(2 down to 0));end tabla;architecture arq_tabla of tabla isbeginprocess (ABC) beginifABC = 000 then XYZ