24
First TWINSCAN NXT:1950i systems shipped Eclipse: the sign of a perfect alignment EUV system assembly underway at new factory ASML’s customer magazine | 2009 Fall Edition

systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

  • Upload
    ngobao

  • View
    225

  • Download
    2

Embed Size (px)

Citation preview

Page 1: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

First TWINSCAN NXT:1950i systems shipped

Eclipse: the sign of a perfect alignment

EUV system assembly underway at new factory

ASML’s customer magazine | 2009 Fall Edition

Page 2: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

3 Editor’s note

4 ASML in the News

6 First TWINSCAN NXT:1950i

systems shipped

8 Metrology stars in holistic lithography

10 Eclipse: the sign of a perfect alignment

12 Getting pupils into better shape fast

14 Make optimal overlay and focus

your baseline

16 Accelerate your ramp-up tempo

19 Keeping defectivity down

20 EUV system assembly underway

at new factory

21 New 200 mm competence center

23 The Apple® iPod® touch is won by

images | Colofon

Editorial Board

Sunny Stalnaker, Peter Jenkins, Craig DeYoung

Publisher

Ryan Young

Managing Editor

Guus van der Meulen

Contributing Writers

Frank van de Mast, Christian Wagner,

Judon Stoeldraijer, Bernardo Kastrup, Robert

Kazinczi, Jos Maas, Jan-Evert van de Wetering,

Venu Vellanki, Joerg Mallmann, Marinus Jochemsen,

Rob van Keulen

Circulation

Emily Leung, Michael Pullen, Guus van der Meulen

For more information, please see:

www.asml.com/images

© 2009, ASML Holding BV

ASML, ASM Lithography, TWINSCAN, PAS 5500,

PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS,

FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching,

3DMetrology, Brion Technologies, LithoServer,

LithoGuide, Scattering Bars, LithoCruiser, Tachyon

2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+,

LithoCool, AGILE, ImageTuner, EFESE, Feature Scan,

T-ReCS and the ASML logo are trademarks of ASML

Holding N.V. or of affiliate companies. The trademarks

may be used either alone or in combination with

a further product designation. Starlith, AERIAL,

and AERIAL II are trademarks of Carl Zeiss. TEL is

a trademark of Tokyo Electron Limited. Sun, Sun

Microsystems, the Sun Logo, iForce, Solaris, and the

Java logo are trademarks or registered trademarks of

Sun Microsystems, Inc. in the United States and other

countries. Bayon is a trademark of Kureha Chemical

Industry Co. Ltd. Nothing in this publication is intended

to make representations with regard to whether any

trademark is registered or to suggest that any sign

other than those mentioned should not be considered

to be a trademark of ASML or of any third party.

ASML lithography systems are Class 1 laser products.

6 8 20

2

Page 3: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Welcome to a slightly different edition

of Images. If you’re a regular reader,

you might have noticed that this issue is

a bit thicker than usual with a few more

articles. That’s because this issue focuses

on some of the many products that

ASML now offers to support its holistic

lithography vision.

Holistic lithography helps manufacturers

maintain high yields as feature sizes shrink.

It does that by using the flexibility of the

scanner to compensate for fingerprints

caused by other process steps, turning

IC manufacturing from a series of isolated

phases into one, interconnected whole.

This vision is now a reality, as our growing

range of holistic lithography products

shows. These products can be split into

two main branches. There are those

products that help you maximize your

process window, either by exploiting

our in-depth scanner knowledge or

by providing extra scanner “knobs” to

give you more process design freedom.

In this branch, you’ll find products like our

Tachyon OPC and verification platform,

LithoTuner in-fab computational tools and

the new FlexRay programmable illuminator.

The second branch includes products

that give you deeper understanding and

tighter control over your process during

production. These include BaseLiner

scanner stability and the unique YieldStar

3-in-1 CD, overlay and focus metrology

tools.

The two branches come together in our

EclipseTM packages. Combining software,

auxiliary hardware and applications support,

Eclipse packages help manufacturers

implement holistic lithography in their

fabs and bring products to market faster.

But Eclipse isn’t a “one size fits all”

solution. The packages are tailor-made to

suit individual manufacturers and target

specific applications.

As feature sizes shrink, holistic lithography

will become increasingly important

for high-yield production. However,

developments in scanner technology

remain fundamental – as you can

discover in some of the other articles

in this issue.

Happy reading,

Guus

A window on a holistic reality By Guus van der Meulen, Marketing Communications Manager

3

ASML Images, Fall Edition 2009

Editor’s note

Page 4: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

ASMLin the News

4

ASML raises the bar in computational lithography Shrinking chip features down to the 32 and 22 nm nodes with the current 193 nm

wavelength illumination is much like painting thin lines with a broad brush. In essence,

silicon structures are produced at a resolution well below the wavelength of light used to

project a pattern onto the wafer. This difficult process is called ‘low k1’ manufacturing,

and printing features down to a quarter of the optical exposure wavelength requires some

serious resolution enhancement techniques.

Source Mask Optimization (SMO)

In February 2009, Brion announced Tachyon SMO, a new source mask optimization

tool that simultaneously optimizes the ASML scanner source and all patterns on the

mask. By incorporating parameters from ASML scanners and proprietary pupil modeling

capabilities, our customers obtain the maximum process window while ensuring that

any standard or custom illumination solutions used are manufacturable. By analyzing

the effects of various scanner and illumination models in Tachyon SMO, the scanner

itself is optimized to print the sharpest possible image on the wafer.

ASML Announces Appointment of New COO to the Board of Management

Veldhoven, the Netherlands,

September 24, 2009 - ASML Holding

NV (ASML) today announces that the

Supervisory Board intends to appoint

Frederic Schneider-Maunoury as

Executive Vice President and Chief

Operating Officer (COO) to the Board

of Management, subject to notification

of the general meeting of shareholders

which is scheduled to be held on

March 24, 2010. Mr. Schneider-Maunoury

(47) is currently Vice President Thermal

Products Manufacturing at French

industrial and transport equipment

group Alstom. He will join ASML on

January 1st, 2010.

4

Page 5: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

For complete information regarding these press announcements, please refer to the press section of www.asml.com.

ASML Brion Reaches Computational Lithography Agreement with Toshiba Corporation San Francisco, California,

July 14, 2009 - Brion Technologies has

reached a preferred supplier agreement

with Toshiba Corporation to implement

a comprehensive suite of computational

lithography products for Toshiba’s

3X nm and 2X nm node devices.

Brion’s extensive portfolio of low

k1 enabling products for immersion

scanner optimization will provide Toshiba

substantial process window expansion

through the combination of Tachyon

source mask optimization (SMO) and

ASML’s freeform illumination shape

capability. Brion will also provide to

Toshiba, Brion’s Tachyon LMC and

Tachyon OPC+ for required resolution

enhancement techniques. Together,

these products enable Toshiba to extend

the use of immersion lithography to

the 2X nm node.

ASML Introduces Holistic Lithography Solutions to Continue Moore’s Law San Francisco, California, July 14, 2009 - ASML Holding NV (ASML) today unveils at

SEMICON West new lithography products that allow chipmakers to continue to shrink

features on semiconductors. As part of ASML’s Holistic Lithography vision, FlexRayTM

programmable illumination and BaseLinerTM scanner stability optimize and stabilize

manufacturing process windows.

ASML Announces 2009 Third Quarter Results; Sales and Orders Rise as Chip Industry Executes Technology Investment Strategy Extract from the press release

14 October 2009 - “ASML’s third quarter sales doubled from the second quarter,

stemming from technology transition demand for our state-of-the-art immersion

lithography systems as new DRAM devices are introduced and as Foundry customers

are ramping 40 nanometer (nm) products,” said Eric Meurice, president and Chief

Executive Officer of ASML. “We shipped our first NXT:1950i system, offering best-in-

class overlay of less than three nanometers and improved imaging, enabling the next

generation of semiconductors with patterning below 30 nm. We kept a close rein on

costs and retained a healthy cash position above EUR 1 billion even as we invest in

working capital to prepare for sales growth,” Meurice added.

5

ASML Images, Fall Edition 2009

Page 6: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

First TWINSCAN NXT:1950i systems shippedBy Frank van de Mast, Senior Product Manager

immersion technology. By measuring one

wafer while the previous one is being

exposed, it reduces the total processing

time per wafer while maximizing the time

available for pre-exposure wafer metrology

– enhancing both throughput and overlay.

In addition, it features a new grid plate

system for measuring the wafer stage

position. By considerably reducing

the distance traveled by the laser beams

in the measurement system, this new

approach enables more accurate and robust

Abstract | The first TWINSCAN NXT:1950i

systems have been shipped to customers.

In pre-shipping factory tests, these systems

exhibited excellent overlay and CDU –

performing beyond specification.

ASML has delivered the first of its

next-generation TWINSCAN NXT:1950i

ArF immersion lithography systems

to customer sites. Offering a unique

combination of ultra-precise overlay and

ultra-high throughput, the NXT:1950i is

designed to power the next phase of

semiconductor manufacturing and enable

manufacturers to continue feature shrink

down to 32 nm and smaller.

As a TWINSCAN system, the NXT:1950i

makes full use of our proven dual-stage

6

Page 7: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

First TWINSCAN NXT:1950i systems shippedBy Frank van de Mast, Senior Product Manager

Fig. 1: NXT:1950i Overlay below 2nm

0

2

4

1 2 3 4 5 6 7 8

X = 1.6

Y = 1.7

Fig. 2: CD uniformity for 40-nm lines

Intra-field 0.7 nm (3σ) and inter-field 1.8 nm (3σ)

40nm isolated linesHorizontal

Interfield

Intrafield

Vertical

1.8nm 1.8nm

0.6nm 0.7nm

40nm dense linesHorizontal Vertical

1.2nm 1.0nm

0.5nm 0.6nm

Overlay below 2 nm

measurements. This in turn reduces wafer

stage contribution to overlay by around 50%.

Combined with a new temperature control

strategy for the wafer table, the grid plate

system gives the NXT:1950i an initial

dedicated chuck overlay specification

of just 2.5 nm. And there is room for

improvement in the future. In fact, in tests

carried out at our factory before shipping,

the first systems achieved overlay below

2 nm throughout the lot. See Fig. 1.

Factory testing also highlighted the

excellent imaging of the NXT:1950i,

performing better than the specification

in many areas. For example, the systems

achieved intra-field CD uniformity of just

0.6 nm at 40 nm resolution. See Fig. 2.

The NXT:1950i also includes a number

of innovations to improve the speed of

the system. These include swapping

chucks under the lens and a magnetically

levitating, planar wafer stage that is just

one-third the mass of previous stages.

With the first systems already shipped and

more to follow in the coming months, these

innovations will be helping manufacturers

process up to 175 wafers per hour in full

production by Q1 2010.

7

ASML Images, Fall Edition 2009

Page 8: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Metrology stars in holistic lithographyBy Jos Maas, Director Marketing for Metrology

By treating IC creation – from R&D to

volume production – as a single whole,

holistic lithography allows manufacturers

to use the flexibility of their scanner to

compensate for fingerprints arising from

other process steps. This enables larger

process windows and higher yields at

smaller feature sizes.

However, to make that kind of compensation,

you need to know exactly what you are

printing and you need to be able to feed that

information back to the scanner in as close

to “real-time” as possible. In other words,

you need extremely fast and extremely

accurate post-patterning metrology.

High throughput, high precision

That’s one of the reasons why ASML

has developed YieldStar. YieldStar is

a brand new type of metrology system.

Using diffractive- rather than image-

based technology, it offers high precision

that supports future technology nodes.

Moreover, YieldStar is the only metrology

solution available that can measure

overlay, focus and CDU in a single wafer

pass. This unique 3-in-1 measurement

approach greatly reduces metrology

Cost of Goods and cycle times.

But YieldStar goes even further. Thanks to

a high-NA objective lens, it makes maximum

Unique 3-in-1

measurement

Abstract | ASML’s new YieldStar metrology

solutions deliver a unique blend of precision,

reliability and throughput. Offering 3-in-1

overlay, focus and CD metrology, YieldStar

is available as both a standalone and an

integrated tool. A number of units have

already been shipped for scanner control

applications and on product overlay

measurements, while further developments

for other applications are planned for the

near future.

8

Page 9: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

scanner stability software. Together,

YieldStar and BaseLiner offer a way

to keep scanners operating at improved

performance levels with minimal impact

on litho-cell productivity. See Fig.1.

Of course, the YieldStar technology

can be used for many other applications

including on-product overlay, focus and

CD metrology. It can also be integrated

into the APC loop, enabling automatic

feedback of metrology data to the scanner

– a capability that will be particularly

useful for double patterning production.

Furthermore, ASML has put in place

a clear roadmap to develop high-end

metrology solutions based on YieldStar’s

unique blend of high precision, high

throughput and higher-order information

in the near future.

Ove

rlay

(mea

n +

3 s

igm

a)

Raw overlay (on two 1900i scanners)before and after correction

Overlay Matching (MMO)(point-to-point delta between two 1900i)

Corrected overlay Uncorrected overlay Corrected overlay delta Uncorrected overlay delta

Fig 1: Overlay stability and matching improvement with BaseLiner (SPIE Asia Conference 2009)

use of the available photons to reduce

measurement time even further. As a result,

it can take up to almost ten thousand

individual measurements per hour – ideal

for high-density sampling schemes.

Coupled with this unrivalled speed,

YieldStar delivers excellent precision,

repeatability and reliability – outperforming

image-based tools. Moreover, it uses

higher diffraction orders, enabling a

number of unique benefits. For example,

YieldStar supports small scatterometry

overlay targets that can be placed in the

scribe line to maximize productive silicon

area on the wafer.

Proven performer

As explained in the last edition of Images,

the diffraction-based technology employed

in YieldStar has been extensively tested

at customer sites. It is now available in

two products.

The first to be launched was the YieldStar

S-100, a standalone tool that supports the

traditional off-line approach to metrology.

It has now been joined by the T-200,

a YieldStar module that is fully integrated

into the track allowing wafers to be

measured directly after exposure and

simplifying wafer logistics. In addition,

the T-200 features next-generation wafer

stages that reduce acquisition times by

half while maintaining the same

measurement precision.

With a number of units already shipped,

the YieldStar systems have proven their

stability and reliability over extended time

periods. Many of these units are currently

being used to support our BaseLiner

9

ASML Images, Fall Edition 2009

Page 10: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Today’s ultra-small feature sizes and

complex pattern geometries mean that it

is no longer enough to optimize individual

IC manufacturing steps in isolation.

Manufacturers need to use the degrees

of freedom available in one step to

compensate for limitations in others.

This is the basis of the Holistic Lithography

vision. And our Eclipse packages are the

way ASML supports you in turning that

vision into a reality in your fab.

An Eclipse package combines a variety

of software, auxiliary hardware and

applications support options that together

help you bring a specific product or layer

to volume production faster. And because

each manufacturer is different, so is each

Eclipse package. It is tailor-made to your

precise requirements.

Abstract | ASML’s new EclipseTM packages

are tailor-made combinations of software,

auxiliary hardware and applications support

to help you bring particular products or

layers to volume production, quickly and

smoothly. By leveraging scanner data

and controls, they let you address and

solve issues beyond the scanner. So you

can minimize delays as you move from

R&D through ramp-up to full production,

accelerating your time-to-money.

Eclipsethe sign of a perfect alignmentBy Bernardo Kastrup, Director Product Marketing, Holistic Lithography

Eclipse means confidence

For example, your Eclipse package could

include software packages such as

BaseLiner, Tachyon tools or LithoTuner

fab-based computational lithography

solutions plus dedicated servers to

run them on. You could also add in

YieldStar metrology solutions or our

novel FlexRay illuminator, which offers

an extra pupil shape scanner “knob” that

can be exploited by your computational

lithography tools. And you could

complement all that with a number of

hours of expert lithography support on,

say, manufacturing poly layers at 28 nm.

R&D to volume production faster

Individually, each of these options brings

specific benefits by allowing you to

leverage scanner data or controls.

But by combining them, you open up new

possibilities and, crucially, you gain the

confidence to adjust scanner settings to

solve one issue safe in the knowledge you

won’t be causing problems elsewhere.

10

Page 11: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Eclipsethe sign of a perfect alignmentBy Bernardo Kastrup, Director Product Marketing, Holistic Lithography

invalidate the design rules or the full-chip

OPC recipes you developed with Tachyon

during R&D.

In other words, you can handle many

of the time- and volume-dependent

issues that always arise during ramp up,

without having to re-do and re-qualify

your process book. That could save you

months as well as a large amount of

money when moving a new design

to production.

Of course, once you are in full production

you simply do not have the time to

compensate for eventual drifts using

the same sophisticated models behind

LithoTuner. However, with sufficient

production monitoring data and scanner

control options, real-time process

performance can be maintained

throughout production.

That’s exactly what the combination

of YieldStar and BaseLiner offers.

With YieldStar it is possible to quickly

measure the large amounts of process

monitoring data needed, with the

additional benefit of using a lower number

of tools. Using that data and a limited

number of control options, Baseliner can

then automatically fine-tune real-time

performance.

In nature, solar eclipses only happen

because the earth, moon and sun

perfectly align to create something

special. ASML’s Eclipse packages

also bring a number of elements

into alignment, but this time it is you,

Brion and ASML. In their own way,

the results can be equally spectacular.

The packages provide links between

the three phases of chip manufacture:

R&D, ramp up and volume production.

So you can move from one to the other

faster, with fewer problems and, therefore,

with less expense.

Working together seamlessly

Let’s look at a couple of examples.

Our Tachyon range includes a number

of high-performance solutions for optical

proximity correction (OPC), design

verification and source-mask optimization.

Meanwhile, our LithoTuner tools help you

calculate the optimal scanner setting

configuration for a specific product or layer.

Significantly, both families are based on

the same highly detailed scanner models,

so the simulations they use are consistent.

Hence, you can use LithoTuner during

ramp-up to compensate for bridging after

etch or a defective mask for example, and

be confident that this optimization won’t

Tailor-made to your

precise requirements

11

ASML Images, Fall Edition 2009

Page 12: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Getting pupils into better shape fastBy Robert Kazinczi, Product Manager FlexRay

Abstract | Chip manufacturers are using

greater numbers and more extreme pupil

shapes, and ASML is launching a powerful

and flexible new illuminator technology

to support this. FlexRay uses an array

of individually adjustable micro-mirrors

to create any pupil shape imaginable. It

slashes the time and cost to create and

switch between pupil shapes. This leads

to higher fab output at smaller feature sizes

and improved chip performance.

Switch between known

pupil shapes in a fraction

of a second

The semiconductor industry is constantly

striving for feature shrink to reduce

production costs and improve chip

performance. But as features sizes shrink,

so do process windows making it harder

to reliably manufacture ICs and affecting

yield. ASML’s revolutionary new FlexRay

technology helps you expand your

process window by improving illuminator

flexibility and performance.

To keep process windows acceptable,

lithographers employ a number of

production tricks to ensure they print

the right pattern time after time. One of

those tricks is to convert the beam spot

into a prescribed pattern of light before it

passes through the mask. This pattern

12

Page 13: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

of light is known as the pupil shape.

Different mask patterns work best with

different pupil shapes. And more complex

mask patterns with smaller features

demand ever more extreme pupil shapes.

In today’s lithography systems, the pupil

shape is created by the illuminator using

a glass disc known as a diffractive optical

element (DOE). Each pupil shape requires

a different DOE, which takes several

weeks to design and order (and at a

significant cost).

What’s more, these extreme pupil shapes

might only be used with one or two masks.

So more masks means more pupil shapes

and, hence, more DOEs. However scanners

only have a limited amount of DOE storage

space and even if all the DOEs you need

are stored in the scanner, switching and

calibrating pupils takes some time. If you

have to use more DOEs than your scanner

can hold, you’ll need to stop production to

open up your system.

FlexRay means flexibility

FlexRay lets you avoid those problems by

making it quicker and easier to create new

pupil shapes and to switch between pupil

shapes you’ve already designed. Instead

of large moving optical components

and DOEs, FlexRay uses a large array of

individually adjustable micro-mirrors to

direct light exactly where it is needed and

create any pupil shape you can imagine.

Thanks to its powerful software, FlexRay

can create new pupil shapes in a matter of

minutes – eliminating the long cycle time

associated with DOEs and accelerating

ramp to yield for low k1 designs. And it

can switch between known pupil shapes

in a fraction of a second. Initial pupil

set-up time is also reduced to around 10

minutes.

What’s more, with FlexRay, it’s as

if an unlimited number of DOEs are

permanently stored in the scanner. This

reduces downtime and re-calibration

effort for manufacturers using numerous

DOEs in production.

Shining performance

FlexRay isn’t just faster and more

flexible than today’s illuminators, it offers

better performance too. An advanced

mirror measurement system ensures a

higher level of control and tighter pupil

specifications for both “library” and

“customized” shapes. This enables up

to 50% better tool-to-tool matching for

greater fab flexibility, and 20% better

CDU through pitch for higher yields

and product performance.

In addition, FlexRay’s innovative optics

and software control focuses all the light

from the laser on to functioning mirrors

with none “wasted” on hinges and

gimbals. This maximizes the available

dose, enabling high throughputs. It also

ensures reliability by directing light away

from any non-functioning mirrors while

re-configuring the mirror array to deliver

the same pupil shape. In this way, FlexRay

guarantees the tightest specifications for

any pupil shape throughout the lifetime of

the scanner.

Enabling holistic lithography

FlexRay’s greater flexibility is the perfect

fit for the holistic lithography approach

that will be needed for tomorrow’s

smaller feature sizes. The new illuminator

complements other ASML holistic

lithography products, providing additional

degrees of freedom to help compensate

for issues beyond the scanner.

For example, together with Tachyon SMO

it enables fast, efficient source-mask

optimization. This speeds up your R&D

and delivers better results by allowing you

to explore a wider range of source and

mask patterns.

In production FlexRay will combine with

LithoTuner to improve Optical Proximity

Effect (OPE) matching, allowing the same

mask to be used on different scanners

for higher system availability and fab

output. Moreover, by enabling the use

of complex and application-specific

freeform pupil shapes in a production

environment, FlexRay reduces the mask

error enhancement factor (MEEF), making

volume production more robust to mask

fabrication errors.

FlexRay will be offered as a factory

option and field upgrade for TWINSCAN

XT:1900i, XT:1950i, NXT1950i and future

systems. It is scheduled to start shipping

in late Q1 2010.

Up to 50% better

tool-to-tool matching

Tachyon SMO & FlexRay: Improve process window & reduce development cycle time

TachyonSMO

Co-optimized mask and ASML source

13

ASML Images, Fall Edition 2009

Page 14: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

BaseLiner

Example

Maintenance Maintenance Maintenance

BaseLiner

WithoutBaseLiner

1 month* Matched, full wafer on XT:1900i

5 nmOverlay

Scannercorrections

Overlayoptimization

Variance

Wafer data

Make optimal overlay and focus your baselineBy Jan-Evert van de Wetering, Product Manager BaseLiner

Holistic lithography is about enabling

manufacturers to continue to shrink feature

sizes while maintaining high yields. It does

this by helping maximize process windows

in the R&D phase, and by optimizing

processes during volume production.

This latter approach is often known as

variance control.

A vital component of variance control is an

increased ability to control CD and overlay

for each lot, each wafer and, ultimately,

each die. For scanners, this means being

Abstract | Part of ASML’s growing range

of variance control products, BaseLiner

keeps scanners calibrated, matched

and operating at optimal levels without

sacrificing availability. It uses YieldStar

measurements on a few monitor wafers

to regularly check for overlay and focus

changes, and automatically calculates

any corrections needed to return scanner

performance to its original baseline. Stored

on the scanner itself, these corrections

are managed through your fab automation

system and applied at exposure.

BaseLiner is a wafer fab solution providing variance control capability for TWINSCAN™ overlay and focus without availability compromise.

able to minimize the machine contribution

to on-product overlay and focus budgets

and eliminate, as far as possible, variations

between machines so that any wafer can

be exposed on any scanner at any time.

This kind of production flexibility is the key

to an efficient fab.

All scanners are equal

ASML’s new BaseLiner scanner stability

product is a large step in that direction:

Through higher-order inter- and intra-

field corrections, it delivers overlay and

14

Page 15: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Reduce the need for scheduled downtime

Overlay and focus

variance control per chuck

and per scan direction

focus variance control per chuck and per

scan direction. This makes every wafer

stage’s grid virtually the same, minimizing

machine-to-machine variations.

To achieve this equality, BaseLiner

introduces a new interface level to the

TWINSCAN. Together with the familiar

wafer stage and lens element actuators,

these new constants are used to correct

inter- and intra-field fingerprints

during exposure.

Maximizing productive time

When a lithography system is first installed,

it must be calibrated to ensure optimal

operation. It is then matched to other

scanners in the fab before production

starts. During production, manufacturers

monitor on-product overlay and CD using

sample wafers and an APC system.

To prevent overlay and CD performance

drifting too far from the ideal, production

is stopped periodically to re-calibrate

or re-match scanners. This keeps the

process centered in the process window,

but means more scheduled downtime.

BaseLiner offers a way to center the

process and reduce the need for

scheduled downtime. Instead of stopping

production, you simply expose four

monitor wafers every few days to capture

the scanner’s overlay grid and focus

calibration state per chuck. Then while

production continues, you measure the

overlay and focus on the monitor wafers

using an external metrology tool such

as ASML’s YieldStar.

Based on these measurements,

the BaseLiner controller instantly and

automatically calculates updated

TWINSCAN constants to correct for any

overlay or focus change and return the

scanner’s performance to its original state.

Non-productive time is reduced from

10 hours or more per scanner per

month for traditional grid matching and

re-calibration to the time it takes to

expose the BaseLiner monitor wafers.

Automation in control

The BaseLiner controller is able to calculate

the necessary corrections to an extremely

high accuracy because it knows exactly

what “knobs” are available plus the

“correction range” of the wafer stage

and lens actuators. However, your fab

automation is always in control of the

process. Via the lot operation or SECS

interface, it decides when the new

constants should be applied and whether

they need to be overwritten or switched

off for a certain lot at a certain time.

This ability to maintain production by

capturing a scanner’s calibration state

on a monitor wafer and off-loading

metrology tasks to YieldStar is very

powerful. And ASML is currently

investigating a number of applications

based on the BaseLiner concept.

For example, “golden grid” reference

wafers could be used to enable advanced

matching or replace the traditional system

set-up procedure with a fine metrology

procedure using a golden reference.

Other possible applications include

off-loading time-consuming metrology

tasks to YieldStar, fast system recovery

to a custom reference after an exception

and the reduction of periodic maintenance.

Look out for updates in future editions

of Images.

15

ASML Images, Fall Edition 2009

Page 16: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Accelerateyour ramp-up tempoBy Venu Vellanki, Product Marketing Manager at Brion Technologies

Time-to-market is a hugely important

factor in a product’s profitability. Delays of

just a few weeks can make the difference

between creating a big money spinner and

not recovering your investment.

Of course, there’s no point being quick

to market if your manufacturing yields are

poor or your product doesn’t perform as it

is supposed to because of manufacturing

problems. That’s why R&D teams put so

much effort into ensuring designs

are “manufacturable”.

Our holistic lithography vision supports

these efforts by opening up new degrees

of freedom to improve imaging performance

and expand process windows. And by

incorporating highly accurate, scanner-

specific models into simulations, it reduces

the amount of experimental testing required

and speeds up the whole R&D process.

However, some issues may not show up

in the one-off, small-lot runs carried out in

R&D – such as the effects of imaging with

a “hot” lens, OPC errors on full production

designs or mask manufacturing errors.

Instead, these issues arise – and need

to be solved – during the product’s

ramp-up phase.

The ramp-up allegro

ASML’s LithoTuner family accelerates ramp

up by bringing the power of computational

lithography into the fab. Just like our

computational lithography tools for R&D,

LithoTuner products are based on highly

accurate scanner models and powerful

simulations so you can find better solutions

faster. And it can be seamlessly integrated

into your fab’s manufacturing flow.

Based on design layout, reticle and wafer

measurements and the scanner fingerprint

file, LithoTuner helps you determine the

Abstract | ASML has expanded its

range of LithoTuner in-fab computational

lithography tools. These tools use highly

accurate scanner models and powerful

simulations to tune a wide range of

scanner settings and ensure optimal

scanner performance. The two new

additions optimize scanners for specific

designs or reticles, helping you solve

typical ramp-up issues more quickly and

with improved imaging performance and

litho-cell productivity.

optimal scanner “knob” settings to solve

your ramp-up issue. These knobs include

dose, numerical aperture, stage tilt and

illumination options. The settings are

output as correction sub-recipes that

are implemented by the scanner during

lot exposure.

The performance of these recipes can be

verified across the whole design by the

same engine that power’s ASML’s Tachyon

Lithography Manufacturability Check (LMC)

lithography verification solution for post-

OPC mask sign-off. Doing this ensures

the LithoTuner recipes are not just the best

solution for your critical design but also

safe for use in production. See Fig. 1.

A growing chorus

The first product in the range, LithoTuner

PatternMatcher, was launched last year.

It has now been joined by two more family

members: LithoTuner Design Specific

Optimization (DSO) and LithoTuner Reticle

Specific Optimization (RSO). See Fig.2.

LithoTuner DSO optimizes your scanner

performance for a specific design.

For example, its first release lets you

address “hotspots” or lens heating effects.

Hotspots, which often arise in the first

12 months of ramp up, can be caused by

aggressive design rules, bad OPC models

or reticle manufacturing errors, and lead

to defects that ruin your yield. By tuning

the scanner settings, LithoTuner DSO

eliminates hotspots while keeping critical

patterns in specification without the need

for costly, time-consuming re-spins.

See Fig. 3.

Find better solutions faster

16

Page 17: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

As feature sizes continue to shrink,

more extreme “custom” illumination

sources are needed. These extreme

illumination modes can lead to lens

heating which in turn affects overlay and

CDU performance. Previously, any lens

heating effects would only show up once

production had started and solutions

typically involved continuing production

at a lower throughput to minimize heating

while a complete design re-spin was

carried out.

However, LithoTuner DSO allows you

to predict lens heating effect during the

R&D phase, and choose a combination of

design and scanner settings that minimizes

their impact. It outputs sub-recipes the

scanner can use to compensate for lens

heating during production, increasing

imaging performance while eliminating

the need for on-tool scanner calibration.

This improves CDU, overlay and scanner

throughput and reduces ramp-up time.

See Fig. 4.

The second new family member,

LithoTuner RSO, optimizes your scanner

for a specific reticle. It creates sub-recipes

that compensate for reticle manufacturing

errors, such as reticle CD non-uniformity.

In its first release, LithoTuner RSO computes

a dose recipe based on a mask bias map.

Implemented through scanner setting like

Unicom and Dosicom, this recipe improves

full-wafer CDU.

A chart topper

LithoTuner products give you the flexibility

to tune your scanner to address specific

ramp-up issues and the peace of mind that

those adjustments won’t cause unforeseen

problems elsewhere. This allows you to

keep your R&D and ramp-up moving

forward where previously you would have

needed to stop while the design, OPC or

mask was re-spun.

It can potentially reduce ramp-up time

by a month or more for a specific issue.

And it could deliver that saving perhaps

two or three times over a two year

development project. Given the rapid fall

off in product margins over time, that head

start over your rivals could dramatically

improve your profits.

OPC DB

Target DB

Recticle BiasMap

Recipe: DoseMapper, Lens Heating, Scanner Matching...

zernikesdoseilluminator

Measured or predicted

pupil

Measured or predicted aberrations

Stray-lightDose, focal,leveling

Input Data

LithoTuner

Wafer Metrology

Scanner Fingrprint File

Scanner Knobs (sub-recipe)

Etc.

Fig. 1: Litho Tuner products can be easily integrated into your fab manufacturing flow

Fig. 2: The advantages of using the LithoTuner family

-8,48-6,36

-4,240

2,12

6,36

8,48

1112

1323

2425

54

3

8-

2,12

6,36

8,48

1111111111112

13

554444

3

8-6,36

-4,240

11323

2425

Before After

ImageTuner Correction(across slit)

>40% CDU improvement

Z10Tilt

Z2 Z7 Z10No Tilt

WaferNumber

SlitPosition

-8,48-6,36

-4,240

2,12

6,36

8,48

1112

1323

2425

54

3

WaferNumber

SlitPosition

Fig. 4: CDU improvement with LithoTuner DSO

Fig. 3: Example of hot-spot elimination with DSO on product reticle from customer

Condition Details Target CD

Defect CD

TAT

Original Original mask & original setting

64 Ṿ 50 Ẋ Ṿ

Respin New mask, new OPC & original settings

64 Ṿ 57 Ṿ Ẋ

DSO (hotspot fix)

Original mask & new “Knob” recipe

64 Ṿ 59 Ṿ Ṿ

• Problem: Specific pattern is bridging• Goal: Keep target CD at 64.0 ± 0.5 nm and increase defect CD to > 55 nm• Result: Scanner tuning recipe was successful with minimal Turn Around Time (TAT) impact

Target CD

Bridging Defect CD after etch

LithoTuner product family Scanner utilization / productivity

CD performance

Common process window

Time to 1st good chip

Pattern Matcher Ṿ Ṿ ṾDesign Specific Optimization (DSO) Ṿ Ṿ Ṿ ṾReticle Specific Optimization (RSO) Ṿ Ṿ Ṿ

17

ASML Images, Fall Edition 2009

Page 18: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Abstract | TWINSCAN immersion

systems consistently deliver excellent

defectivity levels in high-volume

production. To ensure defectivity stays

low as we move towards smaller features,

higher throughputs and topcoat-less

processes, ASML has developed a

brand new immersion hood. At customer

sites, the new hood has already reduced

defects significantly for 45-nm processes.

Keeping defectivity downBy Joerg Mallmann, Group Leader Processing & Patterned Defects and Marinus Jochemsen, Application engineer

0

10

20

30

6/1/08

6/4/08

6/7/08

6/10/08

6/13/08

6/16/08

6/19/08

6/22/08

6/25/08

6/28/08

7/1/08

7/4/08

7/7/08

7/10/08

7/13/08

7/16/08

7/19/08

7/22/08

7/25/08

7/28/08

7/31/08

8/3/08

8/6/08

8/9/08

8/12/08

8/15/08

8/18/08

8/21/08

2008/June - 2008/August

Chuck 1

Chuck 2

Fig. 1: Panasonic PDT (PCM) graph

Like cassette tapes and typewriters,

immersion defectivity problems are pretty

much a thing of the past. As far back as

Spring 2007, Images carried champion

data showing how our TWINSCAN

XT:1700i could process wafers with single-

digit defect levels at maximum throughput.

Single-digit defect performance has now

become commonplace in high-volume

production fabs using TWINSCAN

immersion systems. See Fig.1.

So why are we writing about defectivity

again? Because we’d like to tell about the

efforts we’re taking to make sure it remains

a non-issue for years to come.

There are a number of current trends within

the semiconductor manufacturing industry

that could re-open the door to defectivity.

For example, higher throughput could lead

to more water-related defects while the use

We want to make sure defectivity remains a non-issue

for years to come

of topcoat-less processes risks increasing

the number of particles and the chance of

water reacting with the resist stack. And of

course, the industry is constantly striving

for smaller features, which are inherently

more sensitive to defects of any kind.

ASML aims to help you enjoy the

benefits of these trends without having

to worry about the threat of defects and

the potential damage they could do

to your yield. That was the key reason

we developed a brand new immersion

hood for our TWINSCAN NXT:1950i and

XT:1950i. The new hood can also be

retro-fitted to earlier TWINSCAN

immersion systems.

A new hood

The new immersion hood includes a

number of design features specifically to

18

Page 19: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Keeping defectivity downBy Joerg Mallmann, Group Leader Processing & Patterned Defects and Marinus Jochemsen, Application engineer

0.0

1.0

2.0

3.0

4.0

5.0

6.0

65o 68o 70o 71o 72o 73o 78o

Immersion Hood 5.1

Mea

n Im

mer

sion

spe

cific

def

ects

(a.u

.)

Receding Contact Angle (deg)

Fig. 2: High contact angle resist having less immersion specific defects

-10

-5

0

5

10

PWP 1 x > 60 nm

# ad

ded

defe

cts

Wafernumber

1 2 3 4 5 6 7 8 9 10

Fig. 3: Results from PWP tests

reduce defect levels at high throughput

and small feature sizes. Perhaps the

most noticeable of these is a unique

cornered-shape water extraction. This can

reduce the disturbing shear force on

the meniscus of the immersion pool by

a factor of √2. A novel, high-flow-rate

air-drag concept further increases the

meniscus stability.

Together, these features allow the system

to operate at higher scan speeds (up to

610 mm/s in our latest systems, with even

higher scan speeds supported for later

generations) with minimal water loss from

the pool. This means fewer water-related

defects at higher throughputs. This is

especially true when the hood is used

with the high contact angle resists that

are now available and increasingly

common in the industry. See Fig. 2.

Moreover, the larger extraction holes used

in the air-drag mean the new hood is less

sensitive to contamination. Any particles

finding their way into the immersion pool

are simply “hoovered up” as the wafer is

scanned and removed through the wide

extraction holes. This significantly reduces

printed-particle levels, a particular plus for

topcoat-less processes.

In fact, during particle per wafer pass (PWP)

tests on systems with the new hood, wafers

often had fewer particles when they left the

scanner than when they entered it. The new

hood was apparently cleaning the wafers.

See Fig. 3.

Low defects always

The new hood has already been tested

in the field by a number of our customers.

Many of them reported defect levels as

low as with the XT:1700i but with 45-nm

resolution and at higher throughput.

In some cases, scanner-related defects

fell by 50% compared to previous

45-nm resolutions.

Importantly, the defectivity performance

was found to be very robust with little

maintenance required. Low defect levels

were observed as soon as the system

was closed. And when contamination

events did happen, the hood simply

removed the particles rather than

escalating the problem.

In the words of one anonymous process

engineer: “With that new immersion hood,

it doesn’t matter what happens during

the shift, I know I will be going home

on time!”Scanner-related defects

fell by 50%

19

ASML Images, Fall Edition 2009

Page 20: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

EUV system assembly underway at new factory By Christian Wagner, Director Product Management EUV, and Judon Stoeldraijer, Senior Director Program Management EUV

Abstract | In April 2009, ASML opened a

new clean room facility dedicated to EUV

system production. A number of source,

optics, and stage modules have already

been delivered to the facility, and assembly

of the first complete NXE:3100 prototypes

is well under way.

Extreme ultraviolet (EUV) lithography is

set to take IC feature size to a new level.

But as a near-vacuum technology, it also

demands a new level of cleanliness in

system production. That’s why ASML built

a brand new clean room facility dedicated

entirely to assembling our soon-to-be

released TWINSCAN NXE EUV systems.

The new EUV clean room is located at our

headquarters in Veldhoven, the Netherlands.

It has a working surface area of 5500 square

meters, including 8 large bays for assembling

NXE systems and an additional area for

state-of-the-art test rigs integrating optics

and stages.

With the production hardware installed and

in full working order, deliveries of actual

system hardware have already started.

In May, Cymer, Inc. started shipping the

world’s first fully integrated laser-produced

plasma (LPP) EUV sources to the new clean

room. Key optical components have also

started arriving, with our optics partner Carl

Zeiss having delivered the first three EUVL

lens and illumination mirror systems.

In addition to the new clean room also the

production and building process of the

exposure tool has been optimized. The NXE

systems are constructed from three main

building blocks: the wafer stage module, the

reticle stage module and the optical module.

This last module includes the Cymer source

plus the Zeiss lens and illuminator. Our EUVL

team is busy integrating and evaluating

these three parts in separate test rigs in

Veldhoven and Wilton, USA. Meanwhile the

construction of two complete machines

for system integration has begun. And in

November, work began on building the first

customer system.

This puts us on track for a production

ramp-up next year, allowing us to deliver

NXE:3100 systems to customers in 2010.

Customers will then be able to start EUV

process development in earnest with a target

of starting pilot line insertion at the 22-nm

half-pitch node in 2011/12 and volume

manufacturing at the same node in 2013.

For a virtual fab tour, go to

www.youtube.com/user/ASMLcompany

Industry progress on EUV

In October, SEMATECH held its 2009

International Symposium on Extreme

Ultraviolet Lithography in Prague, the

Czech Republic. The symposium reported

on industry progress in EUV lithography.

Several chip makers and research consortia

presented details of logic and memory

devices produced with layers exposed

on ASML’s Alpha Demo Tools (ADTs).

Alongside developing exposure tools, effort

is also required on the infrastructure side of

this new technology. SEMATECH’s steering

committee has rated mask defectivity

as the number one most critical item.

Although existing mask infrastructure and

known enhancements are sufficient for pilot

line insertion, a dedicated EUV solution is

needed for high-volume manufacturing.

One of the biggest developments at the

Symposium was that a number of IC

manufacturers suggested sharing the

costs of developing EUV mask inspection

gear across the industry to accelerate the

development of this critical part of the EUV

infrastructure. The idea has found a lot of

support in the industry, and SEMATECH

has formed working groups to investigate

business models and coordinate efforts.

20

Page 21: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Abstract | For small-wafer (< 200 mm)

lithography systems, three market trends

are clear. Firstly, thanks to fast wired and

wireless communications, sensors,

bioelectronics, and new specialty

applications, emerging markets are growing

steadily — despite the economic downturn

and the availability of advanced 300 mm

systems. Secondly, current 200 mm logic,

mixed-signal (analog/digital chip) and

analog markets are still growing.

Thirdly, 200 mm memory manufacturing

is phasing out, so ASML can readily source

surplus systems. To capitalize on these

changes, ASML has invested in a new

200 mm global competence center.

Its goals: to continue comprehensive

support for current customers and renew

ASML 200/150 mm systems for current

and new applications.

New 200 mm competence center focuses on support and specialty- market growthBy Rob van Keulen, Business Manager, ASML 200 mm products

Changing gear

With 300 mm immersion and EUV

lithography much in the spotlight, it’s

surprising to learn that half of the world’s

wafer production is still on 200 mm (or

smaller) wafers. That’s about 35% by wafer

area. However, big changes are taking place

concerning the applications, opportunities

and availability of smaller-wafer systems.

To ensure customers can continue their

current activities, but also help them exploit

new opportunities, ASML has opened a 200

mm competence center. Based at the ASML

Center of Excellence (ACE) in Linkou, Taiwan,

the center combines and concentrates 200

mm expertise in one place. This will better

serve customers in retrieving, refurbishing,

enhancing, servicing and supplying parts for

these systems — for their current and new

roles in the electronics industry.

The new competence center has 65

people in the engineering team dedicated

to manufacturing and supporting ‘PAS’

systems — ASML’s stepper and scanner

families for wafers of 200 mm, 150 mm,

and smaller. The center’s engineering

activities range from R&D to Production

Engineering and Customer Support.

The center’s focus is on sustaining the

installed base and developing tailored

solutions for specialty customers.

Manufacturing activities cover all parts

and assembly up to and including fully-

qualified, factory-refurbished systems.

A further 250 people in Europe, the US

and other parts of Asia provide support to

customers, who range from huge foundries

and IDMs, to niche companies and R&D

institutes. Located at ACE, the center

21

ASML Images, Fall Edition 2009

Page 22: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

specific solutions, for a broad range of

applications. After the engineering team

has fulfilled new customer/customization

requests, the final stage of refurbishment

is a full Factory Acceptance Test. This is

performed just before shipping the system

to the next customer.

Ongoing support

Having a large installed base of 2000

PAS systems, the new competence center

also takes up the support activities.

The center’s engineering team can sustain

systems by taking care of end-of-life

components, more common in a maturing

platform, and assure parts availability for

years to come. The team also addresses

improvement proposals — for software

or hardware — to help customers get

the most out of their systems. Furthermore,

the team takes responsibility for 3rd line

escalation support in Asia. For Europe and

the USA, dedicated regional escalation

channels are available with the competence

center as their back up.

Back to the future

Today, more than half of all chips inside

an electronic device, like a digital video-

camera or a smartphone, are built on 200

mm systems. In a smartphone or camera,

for example, these chips enable features

like image stabilization and Bluetooth™

connectivity. Now these chips are also

meeting a growing demand to enable

‘retro’ design in consumer electronics,

with more natural ways of equipment

interaction. Like the sensors that enable

virtual reality sports, or speech processors

than replace keyboards with voice control.

Such capabilities come from new analog

chips and micromachines (MEMS) made

on 200 mm systems. Complement by a

growing number of biomedical, wireless,

optical, power and storage applications,

refurbished 200 mm systems now offer

golden opportunities for manufacturers.

Thanks to our new competence center,

ASML can help customers drive, exploit

and sustain the 200 mm future.

will benefit from synergies and cross-

functional competencies with other ACE

activities. For example, ACE Sourcing,

Technical Support, Applications

Development and the Worldwide Training

Center will further strengthen the capabilities

of the 200 mm competence center.

Value-added refurbishment

With more 200 mm systems becoming

available from memory manufacturers

moving to 300 mm production tools, there

is a large and active open marketplace for

pre-owned 200 mm systems. When systems

become available, the ASML competence

center will be able to renew and maintain

surplus equipment to a level unmatched

in the second-hand market. As the original

manufacturer of these tools, ASML:

• has more then ten years’ experience in

refurbishing 200 mm systems

• can guarantee the best quality and lead

time for a renewed 200 mm system

• has the in-house expertise to customize

utilization and boost performance.

The bottom line is that customers will now

get a litho tool offering the best qualities of

a new system, at the cost of pre-owned one.

At the competence center, refurbishment

starts with a visual inspection of the

incoming pre-owned system. Detailed

machine audit data, obtained from the

system before shipping, is used to make

a thorough refurbishment plan. Selected

main modules are removed from the system

and refurbished in dedicated module repair

centers. These modules could include,

for example, the wafer handler, wafer stage,

reticle handler and electronic cabinets.

All modules are assembled in dedicated tool

cabins, where consumables are replaced

and the systems are updated to the latest

safety and software standards

The next stage is customization —

enhancing the system with as many

options as required. Apart from the

available standard options, ASML has

long-experience in designing customer-

Support centre in Asia

22

Page 23: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

Thank you for participating

in our reader survey.

We appreciate your input

to our survey as it helps us

improve the magazine.

The Apple® iPod® touch is won by

Kirk Kamberg

from Freescale Semiconductor.

200 mm — the digital bridge to our analog world

Popular gadgets, professional equipment and faster communications

increasingly rely on special chips and discrete devices that can’t be

manufactured economically, or not at all, using a mainstream silicon

CMOS process on a 300 mm wafer. These devices may perform a special

task — like sensing movement, pressure, magnetic fields, heat, light,

smoke; or generating light and radio signals. They can be non-silicon:

made from delicate materials such as compound semiconductors.

They can combine different technologies on-chip (digital and analog

BiCMOS/RFCMOS). And they might also require very thin, or double-

sided, wafer processing (3D MEMS). Consequently, many niche devices

can only be built, handled and economically manufactured using

specialized, smaller-wafer (200 mm) lithography. The real world is analog.

The digital world is increasingly virtual. 200 mm lithography systems are

building devices to bridge these worlds so we can use the most powerful

chips in better ways. Devices like sensors, actuators and subsystems that

prevent cars from skidding, fires from starting and hearts from stopping.

And chips that send our messages through the air or glass fibers.

This eclectic mix of components comprises:

• Silicon digital logic, mixed-signal and analog ICs — control systems,

power management and Radio Frequency (RF) wireless ICs, amplifiers,

voltage regulators, automotive ICs, temperature and magnetic sensors,

LCD TV drivers

• Compound semiconductor and SiGe alloy chips — mobile phone and

base station Radio Frequency (RF) transmitters, radar, Surface Acoustic

Wave (SAW) filters, power electronics

• Compound semiconductor optoelectronics, sapphire substrates —

fiber-optic lasers and detectors, Blu-ray lasers and LED lamps

• Silicon optoelectronics — image sensors (CCD and CMOS)

• Thin-film hard-disk heads — portable compact high-capacity hard

drives and MP3 players

• MEMS (Micro-Electro-Mechanical Systems) — ASML’s technology

produces micro motion sensors (accelerometers, gyroscopes,

pressure sensors), micro actuators and mirror arrays (LCD projectors,

lithography systems)

• Biomedical electronics — DNA/RNA/protein analysis, LAOC

(Lab On A Chip), fast drug-screening

• Flexible/wearable electronics — rollable displays, RFID tags,

solar panels.

Though the world has gone digital, it will always be an analog place. And

analog/specialty chips made on 200 mm systems are finding ever more

interesting ways to bring the ‘digital bits’ to life.

23

ASML Images, Fall Edition 2009

Page 24: systems shipped perfect alignment underway at new factory · PDF fileunderway at new factory ... Source Mask Optimization (SMO) In February 2009, ... Senior Product Manager immersion

www.asml.com

Corporate Headquarters

De Run 6501

5504 DR Veldhoven

The Netherlands

Phone +31 40 268 30 00

U.S. Main Office

8555 South River Parkway

Tempe, AZ 85284 USA

Phone +1 480 383 4422

Asia Main Office

Suite 1702-3 17th Floor

100 Queen’s Road Central

Hong Kong, SAR

tel: +852 2295 1168