50
Formal Supervisory Control and Coordination for Many-core Systems Resource Management Amir M. Rahmani Bryan Donyanavard Tiago Mück Kasra Moazzemi Axel Jantsch Onur Mutlu Nikil Dutt SPECTR 23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems Williamsburg, VA, March 2018

SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

FormalSupervisoryControlandCoordinationforMany-coreSystemsResourceManagement

AmirM.Rahmani BryanDonyanavard TiagoMück Kasra MoazzemiAxelJantsch Onur Mutlu NikilDutt

SPECTR

23rd ACM International Conference on Architectural Support for Programming Languages and Operating SystemsWilliamsburg, VA, March 2018

Page 2: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

ExecutiveSummary• Motivation:

• Formalsupervisorycontroltheory(SCT) cancombine thestrengths ofclassicalcontroltheorywithheuristicapproachestoefficientlymeetchangingruntimegoals.

• SCTenableshierarchical control andfacilitatesautomaticsynthesisofthehigh-levelsupervisorycontrolleranditspropertyverification.

• Problem:Currentresourcemanagementtechniquesdonotoffer1)robustness,2)formalism,3)efficiency,4)coordination,5)scalability,and6)autonomyalltogether.

• Goal:Addressallsixkeychallengesinheterogeneousmultiprocessors(HMPs)resourcemanagement,inparticularscalability andautonomy

• OurProposal:SPECTR usesSCTtechniquessuchasgainscheduling toallowautonomyforindividualcontrollers,andmodulardecompositionofcontrolproblemstomanagecomplexity.

• Evaluation:1. WeimplementSPECTRonanExynos platformcontainingARM’s

big.LITTLE-based HMP2. SPECTRcanmanagemultipleinteractingresources(e.g.,chippowerand

processingcores)inthepresenceofcompetingobjectives(e.g.,satisfyingQoS vs.powercapping)

3. SPECTRachievesupto8x and6x bettertargetQoS andpower trackingoverstate-of-the-art,respectively(inourcasestudy).

2

Page 3: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

3

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 4: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

4

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 5: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● Severalconflicting goals/constraints

● Multipletunableknobs

● Adhocheuristics○ Canbesub-optimal○ Noformalmethodology○ Noguarantees

ResourceManagementinMany-coreSystems

5

Page 6: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

ChallengesinResourceManagement

Majoron-chipresourcemanagementapproachesandthekeyquestionstheyaddress(∗ =partiallyaddressed)

Methods Robustness Formalism Efficiency Coordination Scalability Autonomy

A Machinelearning ✔ ✔ ✔

B Estimation/Modelbasedheuristics

✔ ✔

C SISOControlTheory ✔ ✔ ✔ ✹

D MIMOControlTheory ✔ ✔ ✔ ✔

E SupervisoryControlTheory[SPECTR]

✔ ✔ ✔ ✔ ✔ ✔

Guaranteed coordination ofmultipleconflictinggoalshasbeenrecentlyaddressedforsingle-core systemsviaMIMO

controltheory[ISCA’16].Whatifgoalchangesatruntime(Autonomy)?Canweofferasystematicdesignflowforhierarchicalcontrol(Scalability)?

6

TheGoal

Page 7: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

7

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 8: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Benefits:● Simultaneouslyandrobustly trackmultipleobjectives

8

MIMOControlTheoryforCoordination

Page 9: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Benefits:● Simultaneouslyandrobustly trackmultipleobjectives

Shortcomings:● Thegoal isfixed atdesign-time

9

MIMOControlTheoryforCoordination

Theweighted TrackingErrorCostmatrix isfixed.

FPS:Power <=1:10whenMaximizingFPSunderaPowercap

Page 10: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Benefits:● Simultaneouslyandrobustly trackmultipleobjectives

Shortcomings:● Thegoal isfixed atdesign-time● DoesNOT scale whenhavingseveralcontrolinputsand

measuredoutputs.

10

MIMOControlTheoryforCoordination

Page 11: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

11

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 12: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

12

TheAutonomyChallenge:AnExample

Whatifthegoalchangesatruntime?

Weneedtheabilitytoswitchmodesatruntime

AMIMOcontrollerdesignedwithhigherpriorityonQoSoverpower

Poweriscapped.QoS isnotmet!

QoS ismet.Powerviolation!

AMIMOcontrollerdesignedwithhigherpriorityonpoweroverQoS

Page 13: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

13

System(x)

Black-boxIdentificationof

SystemDynamics

TheScalabilityChallenge:Example1

Whatifthe# controlinputsandmeasuredoutputs islarge?

Page 14: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

14Weneedtolimitthesystemsize

System for 2 x 2 MIMO

Asetofworkloads

Asetofworkloads

TheScalabilityChallenge:Example1

Prediction is very accurate

Prediction greatly diverges from reality

F level 1

F level N

1 core is active

All cores are active

Page 15: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

15

Whatifthe# controlinputs andmeasuredoutputs islarge?

System(x)

Controller

TheScalabilityChallenge:Example2Controller

DesignComplexity

Page 16: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

16

Usingonelargecontrollerisnotfeasible!

TheScalabilityChallenge:Example2

Howmanyoperations areexecutedineachcontrolepochforasinglelargeMIMOcontrollingNcores?

Page 17: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

17

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 18: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

UsingSupervisoryControlTheorywe…

● Provideautonomy viaadaptation inresponsetochangesinpolicy○ Computecontrolparametersfordifferentpolicies

offline

● Providescalability viadecomposition ofsystemintomultiplesubsystemsorganizedinahierarchy○ Supervisor provideshigh-levelmanagement

SPECTR

18

Page 19: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

ScalabilityviaSupervisoryControl

High-levelPlantModel(Phi)

Plant(Plo)

SupervisoryController(Chi)

Low-levelController(Clo)

Comhi_lo

Conlo

Inflo

Inflo_hi

Conhi

Infhi

High-level Virtual Control

Combininglogicwithdiscrete

dynamics

19Low-levelTraditionalControlLoop

Representsanabstraction ofthePlant

Informationchanneltoupdatethehigh-levelmodel

SupervisoryControllerusesthischanneltocontrolthehigh-levelmodel

Theactual controlhappensviadiscreteevent-basedcommands

Page 20: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

AutonomyviaSupervisoryControl

ThisSCTtechnique iscalledGainScheduling

FPS:Power <=1:10

FPS:Power <=10:1

20

Controlparameterspre-designedtoprioritize onemeasured

outputovertheother(s)

Trackingpower is10xmoreimportant than

trackingQoS

TrackingQoS is10xmoreimportant than

trackingpower

Page 21: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

21

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 22: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Sub-plant1 Sub-plant2 Sub-plantN

SupervisoryController

VariableGoalsandPolicies

High-levelPlantModel

ClassicController1

ClassicController2

ClassicControllerN

Userinputs

Con_lo1

Inf_lo1

Con_lo2

Inf_lo2

Con_loN

Inf_loN

Gains1 Refs1Gains2 Refs2

GainsN RefsN……

……

Con_hiInf_hi

Inf_lo_hiLeaf

Cont

rolle

rs

Phys

ical

Plan

t

Systemevents

SPECTR

SPECTRoverview

22

Puttinghierarchicalcontrolandgainschedulingtogether!

Thesupervisorupdatesgoalsandallocatesresourcesatruntime

Page 23: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

23

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 24: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● 8-corebig.Little HMP● Twosetofapplications:

○ AforegroundapplicationwithQoSrequirements(e.g.,FPS)

○ AnumberofbackgroundapplicationswithnoQoSrequirements

CaseStudy

24

ODROID-XU3 platform contains an Exynos 5422 Octa-core SoC

Page 25: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● Controlknobs:per-cluster DVFS,numberofidlecores● Systemgoals:

○ MeettheQoSrequirementoftheforegroundapplication○ Ensurethetotalsystempower alwaysremainsbelowthe

ThermalDesignPower(TDP)○ Minimizeenergyconsumption

CaseStudy

25

Page 26: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

26

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 27: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

5stepstodesign andverify asupervisor:

SupervisoryController

SupervisorSynthesisProcess

27

Page 28: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SupervisoryController

Step1:PlantModel

28Manuallymodeledsub-plants Synthesizedplant

MultiplecharacteristicsareautomaticallysynthesizedusingSCTtools

E.g.Supremica

To develop possible actions inhigh-level plant model in a form ofdiscrete-event dynamics

PrioritizeQoS:Thepowerreference isupdatedtomeettheQoS referenceinanenergy-efficientmanner.

Powerbudgetviolationgeneratesacritical eventandresultsingainswitchingtowardsthepower-drivengoal.

Page 29: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SupervisoryController

Step2:IntendedBehaviorSpecification

29

ForbiddenState

A specification defines theaccepted and forbidden states viarestrictions on the behavior of theplant model.

This examplespecificationprevents exceedingthe power budget forno more than threecontrol intervals.

Note:ThemodelinStep1hasno limitations!(e.g.,onexceedingthepowerbudget)

Page 30: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SupervisoryController

Steps3-5:SynthesisandVerification

30

The synthesizer generates aminimally-restrictive controllerfor the given plant model andspecifications.

Automatically generatedandverifiedusing synchronouscompositionoperationsin

Supremica SCTtool.

Page 31: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SupervisoryController

Steps3-5:SynthesisandVerification

31

SCTtools(e.g.,Supremica)alsoverify thenon-blocking andcontrollability propertiesofthesyntheziedcontroller.

Non-blocking: Acceptedstates(e.g.,idealstates)canalwaysbereached.

Stable

Controllability: Thereisapathtotheacceptedstatesfromeveryothervalidstate.

Page 32: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

32

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 33: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● QoSapplications:○ PARSECapplications:x264,bodytrack,canneal,

streamcluster○ Data-intensivemachinelearningworkloads:k-

means,KNN,leastsquares,linearregression

● ComparedSPECTRwiththreealternativeresourcemanagers○ MM-Pow: 2x2MIMOs(onepercluster)with

gainsoptimizedtotrackpower○ MM-Perf: 2x2MIMOs(onepercluster)with

gainsoptimizedtotrackperformance/QoS○ FS:singlesystem-wide 4x2MIMOwithgains

optimizedtowardspower

Evaluatedresourcemanagerconfigurations

Fixed-Objective

33

Page 34: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Executionscenariowiththreephases(x264):

1. Phase1- SafePhase:only theQoS applicationruns;powerlimitedbyTDP

2. Phase2- Emergencyphase:powerlimitsetto1WbelowTDPtoemulateathermalemergency

3. Phase3- Workloaddisturbancephase:powerlimitrestoredtoTDP,butnowseveralbackgroundtasksstart,interferingwiththeQoSapplication

ExperimentalResults– ContollerEvaluation

34

EnoughpowertotrackQoS

Onlyfeasibletotrackpower

TrackQoSunderapowercap

MM-Pow

MM-Perf

MM-FS

SPECTR

Page 35: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● QoStask:x264● Controller:MM-Pow(power-oriented)

○ 2x2MIMOs(onepercluster)withgainsoptimizedtotrackpower

ExperimentalResults-- ContollerEvaluation

35

~40%morethennecessaryFPSinPhase1

Wastingenergy!

UnderapowercapWastedperformance

ItworksfineinPhase2 and3 byfocusingonpowercapping!

Page 36: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

36

ExceedsTDPby~30%inPhase3!

TrackingFPS Exceedingpowerlimit

ExperimentalResults-- ContollerEvaluation● QoStask:x264● Controller:MM-Perf(performance-oriented)

○ 2x2MIMOs(onepercluster)withgainsoptimizedtotrackQoS

ItworksfineinPhase1 and2 byfocusingonQoS tracking!

Page 37: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● QoStask:x264● Controller:FS(large4x2power-oriented)

○ Singlesystem-wide 4x2MIMOwithgainsoptimizedtowardspower

37

~40%morethannecessaryFPSinphase1(akintoMM-POW)

SluggishresponseWastedperformance

ExperimentalResults-- ContollerEvaluation

LongersettlingtimeduetolargeMIMOcontroller.

Page 38: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● QoStask:x264● Controller:SPECTR

38

Let’sTakeacloserlookateachphase

ExperimentalResults-- ContollerEvaluation

Page 39: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SafePhase:QoSApponlySPECTR focusesonsatisfyingFPSwiththeminimumpower

39

<5%FPSsteadystateerror(minimalwastedperfomance)

PowerbelowTDP

ExperimentalResults-- ContollerEvaluation

Page 40: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

EmergencyPhase:TDPreducedinresponsetothermaleventSPECTR satisfiesthereferenceFPSandpower

40

<5%FPSsteadystateerror

ExperimentalResults-- ContollerEvaluation

Page 41: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

DisturbancePhase:TDPreturnedtonormal,backgroundtasksaddedSPECTR focusesonpowercapping

41

NoTDPviolations,but~23%FPSsteadystateerror

(impossibletotrackwithoutviolatingTDP)

ExperimentalResults-- ContollerEvaluation

Page 42: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● Accuracy oftheidentifiedsystemmodelsofdifferentsizedMIMOcontrollers

● Amodeloutputwithintheconfidenceinterval indicatesthatthedeterministic componentofthemodeloutputwillbenearthetrueoutput.

42

ExperimentalResults-- Scalability

Confidencelevelof99% Confidencelevelof99%

Outsidetheconfidenceinterval

Black-boxsystemidentificationisnotfeasibleforlargeandcomplexMIMOsystems!

Withintheconfidenceinterval

Page 43: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● AdetailedContollerEvaluationon:○ PARSECapplications:bodytrack,canneal,streamcluster○ Data-intensivemachinelearningworkloads:k-means,

KNN,leastsquares,linearregression

● ModelAccuracyAnalysisofdifferentsizedMIMOcontrollers:○ 2x2->feasibleandefficient○ 4x2->feasiblebutsluggish○ 10x10->notfeasible

● Furtherdiscussionon:○ Controllerresponsiveness(settlingtime)○ Controllerstability 43

OtherResultsinthePaper

Page 44: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

SPECTR Outline

44

MotivationMIMOControl TheoryforCoordinatedManagementUnaddressedChallengesinResourceManagement

AutonomyScalability

SupervisoryControlTheory(SCT)viaSPECTRScalabilityand AutonomythroughSCTSPECTR OverviewCaseStudy

CaseStudyResultsSummary

SupervisorSynthesisProcess

SummaryResults

Page 45: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

● Resourcemanagersneedtooffer 1)robustness,2)formalism,3)efficiency,4)coordination,5)scalability,and6)autonomyalltogether

● SPECTR offersthemall!○ SPECTRadapts to changinggoalsatrutime○ SPECTR decomposesthecontrolproblemsto manage

itscomplexity

● SPECTR achieves upto8x and6x bettertargetQoS andpower tracking overstate-of-the-art,respectively(inourcasestudy)

● SPECTRisapplicabletoanyresourcetypeandobjectiveaslongasthemanagementproblemcanbemodeledusingdynamical systemstheory

45

Summary

Page 46: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

FormalSupervisoryControlandCoordinationforMany-coreSystemsResourceManagement

AmirM.Rahmani BryanDonyanavard TiagoMück Kasra MoazzemiAxelJantsch Onur Mutlu NikilDutt

SPECTR

23rd ACM International Conference on Architectural Support for Programming Languages and Operating SystemsWilliamsburg, VA, March 2018

Page 47: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

47

Page 48: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

Step1

Step2

Step3

Step9

Step6

Step5

Step7 Step8

SPECTRDesignFlow

48

Page 49: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

49

Steady-stateErrorforAllBenchmarks

Steady-stateerrorforallbenchmarks,groupedbyphase.Anegativevalueindicatestheamountofpower/QoS exceedingthereferencevalue(bad),apositivevalueindicatestheamountofpowersaved(good)orQoS degradation(bad)

Page 50: SPECTR - duttgroup.ics.uci.eduduttgroup.ics.uci.edu/wp-content/uploads/2018/05/SPECTR-formal... · 11 Motivation MIMO Control Theory for Coordinated Management Unaddressed Challenges

50

ModelAccuracy• Autocorrelationof

residualsforidentifiedsystemmodelsofdifferentsizedMIMOcontrollers.

• Weshowasingleperformanceandpoweroutputforeachmodeledsystemacrossmultiplesampleinputs.