Serial to Parellel Convertion

Embed Size (px)

Citation preview

  • 8/17/2019 Serial to Parellel Convertion

    1/1

    SERIAL TO PARRELLEL CONVERTION

    -- library declarationlibrary IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_!SIG!ED."LL;

    -- entity declarationentity SI#O_$_n is%ort&CL' ( in STD_LOGIC; -- )lobal cl*D_I! ( in STD_LOGIC; -- serial data inE! ( in STD_LOGIC; -- enable+ESET ( in STD_LOGIC; -- async,ronous resetD_OT ( out STD_LOGIC_ECTO+&1 donto /0; -- %arallel data outalid_out ( out std_lo)ic -- alid out0;end SI#O_$_n;-- arc,itecture declarationarc,itecture SI#O4_$_"+C2 o3 SI#O_$_n issi)nal dout_i ( std_lo)ic;si)nal to) ( std_lo)ic;be)in-- %rocess to coneert serial to %arallel data

    %rocess &cl* reset0be)ini3 reset 5 1 t,enalid_out 75 /;to) 75 /;dout_i 75 /;D_out 75 8//8;elsi3 risin)_ed)e&cl*0t,eni3 en 5 1 t,ento) 75 not to);elseto) 75 /;end i3;dout_i 75 D_I!;i3 to) 5 1 t,enD_OT 75 dout_i9 D_in;alid_out 75 1;elsi3 to) 5 / t,enalid_out 75 /;end i3;end i3;end %rocess;

    end SI#O4_$_"+C2;