185
M.E. / M. Tech PART TIME xx REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE TITLE L T P C PAGE No. THEORY 1. SEC51 01 Transforms and Random Process for Electronics Engineering 4 0 0 4 2 2. SEC5104 Advanced Digital System Design using VHDL 4 0 0 4 5 3. SEC51 10 Digital CMOS VLSI Design 4 0 0 4 11 PRACTICAL SEC6532 Circuit Simulation Lab 0 0 6 3 68 TOTAL CREDITS 15 SEMESTER 2 Sl. No. COURSE CODE COURSE TITLE L T P C PAGE No. THEORY 1. 2. 3. Modeling and Simulation of MOS Devices VLSI Fabrication Technology DSP Integrated Circuits SEMESTER 3 COURSE TITLE 4 0 0 4 12 4 0 0 4 13 4 0 0 4 15 TOTAL CREDITS 12 L T P C PAGE No. 4 0 0 4 18 4 0 0 4 4 0 0 4 0 0 6 3 69 TOTAL CREDITS 15 L - LECTURE HOURS, T TUTORIAL HOURS, P PRACTICAL HOURS, C CREDITS SEC5111 SEC51 12 SEC51 14 Sl. No. COURSE CODE SEC51 17 Advanced HDL Programming Elective 1 Elective 2 SEC6533 Programming in HDL Lab

PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

  • Upload
    buikiet

  • View
    221

  • Download
    2

Embed Size (px)

Citation preview

Page 1: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

M.E. / M. Tech PART TIME xx REGULATIONS 2015

PROGRAMME : M.TECH - PART TIME

VLSI DESIGN

CURRICULUM

SEMESTER 1

Sl. No. COURSE CODE COURSE TITLE L T P C PAGE No.

THEORY

1. SEC51 01 Transforms and Random Process for Electronics Engineering 4 0 0 4 2

2. SEC5104 Advanced Digital System Design using VHDL 4 0 0 4 5

3. SEC51 10 Digital CMOS VLSI Design 4 0 0 4 11

PRACTICAL

SEC6532 Circuit Simulation Lab 0 0 6 3 68

TOTAL CREDITS 15

SEMESTER 2

Sl. No. COURSE CODE COURSE TITLE L T P C PAGE No.

THEORY

1.

2.

3.

Modeling and Simulation of MOS Devices

VLSI Fabrication Technology

DSP Integrated Circuits

SEMESTER 3

COURSE TITLE

4 0 0 4 12

4 0 0 4 13

4 0 0 4 15

TOTAL CREDITS 12

L T P C PAGE No.

4 0 0 4 18

4 0 0 4

4 0 0 4

0 0 6 3 69

TOTAL CREDITS 15

L - LECTURE HOURS, T – TUTORIAL HOURS, P – PRACTICAL HOURS, C – CREDITS

SEC5111

SEC51 12

SEC51 14

Sl. No. COURSE CODE

SEC51 17 Advanced HDL Programming

Elective – 1 Elective – 2

SEC6533 Programming in HDL Lab

Page 2: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME xx REGULATIONS 2015

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY

FACULTY OF ELECTRICAL AND ELECTRONICS

Sl. No. COURSE CODE

SEMESTER 4

COURSE TITLE L T P C PAGE No.

THEORY

1. SEC5115 Mixed Signal Integrated Circuit Design 4 0 0 4 16

2. Elective – 3 4 0 0 4

3. Elective – 4 4 0 0 4

TOTAL CREDITS 12

Sl. No. COURSE CODE

SEMESTER 5

COURSE TITLE

C PAGE No. L T P

THEORY

1. SEC5203 Testing of VLSI Circuits 4 0 0 4 41

2. Elective – 5 4 0 0 4

3. Elective – 6 4 0 0 4

PRACTICAL

SEC6542 VLSI Design Lab

0 0 6 3 70 1.

TOTAL CREDITS 15

Sl. No. COURSE CODE

SEMESTER 6

COURSE TITLE L T P C PAGE No.

THEORY

S82PROJ Project Viva voce 0 0 40 20

1.

TOTAL CREDITS 20

TOTAL CREDITS FOR THE PROGRAM 89

Sl. No. COURSE CODE

ELECTIVE COURSES

COURSE TITLE L T P C PAGE No.

1. SEC5207 Algorithms and Architecture for Signal Processing ICs 4 0 0 4 45

2. SEC5602 Applied Cryptography and Data Security 4 0 0 4 75

3. SEC5605 Wireless Sensor Networks 4 0 0 4 78

4. SEC5606 Intelligent Computing Techniques 4 0 0 4 79

5. SEC5610 VLSI for Wireless Communication 4 0 0 4 83

6. SEC5618 Physical Design of Integrated Circuits 4 0 0 4 91

7. SEC5619 High Level Synthesis of Digital Circuits 4 0 0 4 92

8. SEC5620 Real Time Embedded System Design 4 0 0 4 93

9. SEC5621 System on Chip Design and Verification 4 0 0 4 94

Page 3: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

M.E. / M. Tech PART TIME xx REGULATIONS 2015

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY

FACULTY OF ELECTRICAL AND ELECTRONICS

10. SEC5622 High Speed VLSI Design 4 0 0 4 95

11. SEC5623 Algorithms for VLSI Design Automation 4 0 0 4 96

12. SEC5625 Low Power VLSI Design 4 0 0 4 98

13. SEC5628 RF Circuit Design 4 0 0 4 101

14. SEC5629 ASIC Design 4 0 0 4 102

15. SEC5630 Advanced Digital Signal Processors and Applications 4 0 0 4 103

16. SEC5631 Design of Semiconductor Memories 4 0 0 4 104

17. SEC5645 Basics of Nanotechnology 4 0 0 4 118

18. SEC5646 Nano electronics and Sensors 4 0 0 4 119

19. SEC5668 Programming in PERL 4 0 0 4 141

20. SIC561 6 Robotics and Computer Vision 4 0 0 4 182

Page 4: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

ADVANCED MATHEMATICS L T P Credits Total Marks

SMT5104 (Common to all M.E Branches and M.Tech Bio -

3 1 0 4 100 Medical)

COURSE OBJECTIVE

x The ability to identify, reflect upon, evaluate and apply different types of information and knowledge to form independent judgements.

UNIT 1 MATRIX THEORY 11 Hrs.

QR decomposition – Eigen values using shifted QR algorithm- Singular Value Decomposition - Pseudo inverse- Least square approximations

UNIT 2 CALCULUS OF VARIATIONS 13 Hrs.

Concept of Functionals - Euler’s equation – functional dependent on first and higher order derivatives – Functionals on several dependent variables – Iso perimetric problems - Variational problems with moving boundaries

UNIT 3 TRANSFORM METHODS 12 Hrs.

Laplace transform methods for one dimensional wave equation – Displacements in a string – Longitudinal vibration of a elastic bar – Fourier transform methods for one dimensional heat conduction problems in infinite and semi infinite rod.

UNIT 4 ELLIPTIC EQUATIONS 11 Hrs.

Laplace equation – Properties of harmonic functions – Fourier transform methods for Laplace equations – Solution for Poisson equation by Fourier transforms method.

UNIT 5 LINEAR AND NON-LINEAR PROGRAMMING 13 Hrs.

Simplex Algorithm - Two Phase and Big M techniques – Duality theory - Dual Simplex method. Non Linear Programming – Constrained extremal problems - Lagranges multiplier method - Kuhn - Tucker conditions and solutions.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Richard Bronson, Schaum’s Outlines of Theory and Problems of Matrix Operations, McGraw-Hill, 1988.

2. Venkataraman M K, Higher Engineering Mathematics, National Pub. Co, 1992.

3. Elsgolts, L., Differential Equations and Calculus of Variations. Mir, 1977.

4. Sneddon,I.N., Elements of Partial differential equations, Dover Publications, 2006.

5. Sankara Rao, K., Introduction to partial differential equations. Prentice – Hall of India, 1995

6. Taha H A, “Operations research - An introduction, McMilan Publishing co, 1982.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 14 Marks 70 Marks

Page 5: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 2 REGULATIONS 2015

SEC5101

TRANSFORMS AND RANDOM PROCESS

FOR ELECTRONICS ENGINEERING L T P Credits Total Marks

(For AE, EMB & VLSI)

4 0 0 4 100

COURSE OBJECTIVES

x To reinforce the mathematical foundation with advanced topics

x To enable the student to appreciate the engineering aspect of mathematics

x To equip the student with tools to confront continual mathematical challenges

UNIT 1 2D TRANSFORMS 12 Hrs.

Need for transform – Review of 1D Transform – 2D DFT – IDFT – properties – Image transforms – 2D

Orthogonal and Unitary transform and its properties – Separable transforms – Walsh, Hadamard, Haar, DST, DCT,

Slant, SVD & KL transforms.

UNIT 2 WAVELET TRANSFORMS & ITS APPLICATIONS 12Hrs.

Wavelet transforms – 1D & 2D Wavelet transform – basis and orthogonal basis – Time and frequency

decompositions – STFT – CWT, DWT, Haar wavelet and Shannon wavelet – MRA – Orthonormal Wavelets – Fast

Wavelet transform – Wavelet Packets – Biorthogonal Wavelet Bases – SPIHT Algorithm – Wavelet Denoising –

Wavelet based Signal Processing – Signal & Image compression.

UNIT 3 PROBABILITY & RANDOM VARIABLES 12 Hrs

Probability concepts – Random variable – moment generating function – discrete types, continues types –

Distributions - Binomial, Poisson, Geometric, Uniform, Normal and Exponential – Transformation of random variables

– 2D random variables – marginal, conditional, joint probability – Correlation – Regression – Central Limit Theorem.

UNIT 4 RANDOMPROCESS 12 Hrs.

Notion of Stochastic processes – Stationary and Independence; WSS & Ergodicity – Correlation Functions; Auto

Correlation, Cross Correlation & its properties – expectations – variance, co variance – Power Spectral Density

– properties – energy spectral density – Parseval’s theorem – Wiener Khintchine relation – Linear systems with

Random inputs – response of linear systems to white noise – simulation of white noise – Noise Bandwidth – low pass

filtering of white noise.

UNIT 5 QUEUING THEORY 12 Hrs.

Introduction to queuing theory – Characteristics of Queuing Systems – Little’s Law – Markovian Queues –

Single server models – Multiple server models – Non-Markovian Queues – Pollaczek-Khinchine formula – Machine

interference model – steady state analysis – self service queue – Priority Queues – Open and Closed Networks –

queuing applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rafael C.Gonzalez & Richard E Woods, Digital Image Processing, Third Edition, Pearson Prentice Hall, 2009.

2. Peyton Z.Peebles, Probability, Random Variables and random signal principles, 4th edition, TMH publication, 2001.

3. Anil K Jain, Fundamentals of Digital Image Processing, Prentice Hall, 1989.

4. Raghuveer M Rao & Ajit S Bopardikar, Wavelet Transform: Introduction to Theory & Applications, Pearson Education, 1998.

5. Donald Gross, John F. Shortle, James M. Thompson, and Carl W. Harris, Fundamentals of Queuing Thoery, 4th edition, Wiley

2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 5 Questions of 4 Marks each-No choice 20 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 6: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 3 REGULATIONS 2015

SEC5102

APPLIED MATHEMATICS FOR

TELECOMMUNICATIONS L T P Credits Total Marks

(For CS)

4 0 0 4 100

COURSE OBJECTIVES

x To provide mathematics fundamentals necessary to formulate, solve and analyze problems in the field of

telecommunications

x To solve the model by selecting and applying a suitable mathematical method

x To inculcate the habit of mathematical thinking

UNIT 1 2D TRANSFORMS 12 Hrs.

Need for transform – Review of 1D Transform – 2D DFT – IDFT – properties – DCT – 1D & 2D Wavelet

transform – basis and orthogonal basis – CWT, DWT, Haar wavelet and Shannon wavelet – MRA – Orthonormal

Wavelets – Fast Wavelet transform – Wavelet Packets – Biorthogonal Wavelet Bases – SPIHT Algorithm – Wavelet

Denoising

UNIT 2 ONE DIMENSIONAL RANDOM VARIABLES 12 Hrs.

Random variables - Probability function – moments – moment generating functions and their properties –

Binomial, Poisson, Geometric, Uniform, Exponential, Gamma and Normal distributions – Function of a Random

Variable.

UNIT 3 TWO DIMENSIONAL RANDOM VARIABLES 12 Hrs.

Joint distributions – Marginal and Conditonal distributions – Functions of two dimensional random variables–

Correlation – Rank Correlation – Correlation Coefficient – Regression.

UNIT 4 RANDOM PROCESS 12 Hrs.

Random processes – stationary, WSS and ergodic process – properties – linear systems – Power spectral

Density – Parsevel’s theorem – Energy spectral density – Auto correlation and Cross Correlation – properties –

Weiner Process – Weiner-Khintchine theorem – poisson and exponential process – markov process – birth-death

process.

UNIT 5 UNIT V QUEUEING MODELS 12 Hrs.

Random processes – stationary, WSS and ergodic process – properties – linear systems – Power spectral

Density – Parsevel’s theorem – Energy spectral density – Auto correlation and Cross Correlation – properties –

Weiner Process – Weiner-Khintchine theorem – poisson and exponential process – markov process – birth-death

process.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Peyton Z.Peebles, Probability, Random Variables and Random Signal Principles, 4th edition, TMH publication, 2001

2. Rafael C.Gonzalez & Richard E Woods, Digital Image Processing, Third Edition, Pearson Prentice Hall, 2009.

3. Raghuveer M Rao & Ajit S Bopardikar, Wavelet Transform: Introduction to Theory & Applications, Pearson Education, 1998.

4. Donald Gross, John F. Shortle, James M. Thompson and Carl W. Harris, Fundamentals of Queuing Thoery, 4th edition, Wiley

2008.

5. Hisashi Kobayashi, Brian L. Mark and William Turin, Probability, Random Processes and Statistical Analysis, Cambridge

University, 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 7: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 4 REGULATIONS 2015

SEC5103 ADVANCED DIGITAL SYSTEM DESIGN L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To explain how digital circuit of large complexity can be built in a methodological way

x To illustrate how the concepts presented in the lectures are applied in practice, and how the need to

accommodate different practically-motivated trade-offs can lead to alternative implementations

x To expose students to the advanced design techniques and methodology

UNIT 1 INTRODUCTION TO COMBINATIONAL AND SEQUENTIAL LOGIC CIRCUITS 12 Hrs.

Combinational: Introduction; General Approach to Combinational Logic Design; Introduction to Digital

Integrated Circuits; Decoders; Encoders; Digital Multiplexers; Binary Comparators; Array Multipliers; Tristate Buffers.

Sequential: Latches; Flip-Flops; Counters - Ring counter and Johnson Counter; Counter Design; Sequential Circuit Design using

State Graphs - Mealy and Moore Machines.

UNIT 2 SYNCHRONOUS SEQUENTIAL NETWORKS 12 Hrs.

Structure and Operation of Synchronous Sequential Networks; Analysis of Clocked Synchronous Sequential

Networks (CSSN); Design of CSSN; State Table Reduction – Implication Chart method, Equivalence Classes method,

Merger Graph method; State Assignment; Algorithmic state Machines – ASM Charts, ASM Tables, ASM Realizations.

UNIT 3 ASYNCHRONOUS SEQUENTIAL NETWORKS 12 Hrs.

Structure and Operation of Asynchronous Sequential Networks (Fundamental and Pulse Mode); Analysis of

Asynchronous Sequential Networks (ASN); Design of ASN; Primitive Flow Table; Flow Table Reduction; State

Assignment; Races in ASC –Static and Dynamic Hazards; Essential Hazards; Mixed Operating Mode Asynchronous

Circuits.

UNIT 4 PROGRAMMABLE LOGIC DEVICES 12 Hrs.

Basic Concepts; Programming Technologies; Programmable Logic Element(PLE); ROM-Programmable Logic

Array(PLA); Programmable Array Logic(PAL); Structure of standard PLD’s; Complex PLD’s(CPLD); System Design using

PLD’s; Design of Combinational and Sequential Circuits using PLD’s; System Design Using the Concept of Controller.

UNIT 5 STUDY OF FPGA & XILINX 12 Hrs.

Introduction to Field Programmable Gate Arrays; Types of FPGA; Xilinx XC3000 series, Logic Cell Array(LCA); Configurable

Logic Blocks(CLB); Input/Output Block(IOB); Programmable Interconnect Point(PIP); Introduction to ACT2 family and Xilinx XC4000

families; Design examples: Pseudo Random Generator, Traffic Light Controller, Vending Machine Controller.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Donald G. Givone, Digital principles and Design, Tata McGraw Hill, 2002.

2. John M Yarbrough, Digital Logic applications and Design, Thomson Learning, 2001.

3. William I. Fletcher, An Engineering Approach to Digital Design, Prentice Hall of India, 1996.

4. Charles H. Roth,Jr. and Larry L. Kinney, Fundamentals of Logic Design, 6th Edition, Cengage Learning, 2012.

5. Richard F. Tinder, Engineering Digital Design, 2nd Edition Revised, Academic Press, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 8: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 5 REGULATIONS 2015

SEC5104

ADVANCED DIGITAL SYSTEM DESIGN

USING VHDL L T P Credits Total Marks

4 0 0 4 100 (For EMB & VLSI)

COURSE OBJECTIVES

x To explain how digital circuit of large complexity can be built in a methodological way

x To illustrate how the concepts presented in the lectures are applied in practice, and how the need to

accommodate different practically-motivated trade-offs can lead to alternative implementations

x To teach fundamental concepts of hardware description languages

UNIT 1 SYNCHRONOUS SEQUENTIAL NETWORKS 12 Hrs.

Structure and Operation of Synchronous Sequential Networks; Analysis of Clocked Synchronous Sequential

Networks (CSSN); Modeling of CSSN Behavior; State Table Reduction; State Assignment; Design of CSSN – Realization

using Programmable Logic Devices; Algorithmic state Machines – ASM Charts, ASM Tables, Sate Assignments, ASM

Realizations.

UNIT 2 ASYNCHRONOUS SEQUENTIAL NETWORKS 12 Hrs.

Structure and Operation of Asynchronous Sequential Networks (Fundamental and Pulse Mode); Analysis of

Asynchronous Sequential Networks (ASN); Races in ASC; Primitive Flow Table; Flow Table Reduction; State

Assignment; Transition Table; Design of ASN; Static and Dynamic Hazards; Essential Hazards; Data Synchronizers;

Mixed Operating Mode Asynchronous Circuits.

UNIT 3 LOGIC DESIGN USING PROGRAMMABLE DEVICES 12 Hrs.

Sequential Circuit Design Using ROMs, PLAs, CPLDs and FPGAs; Introduction to Field Programmable Gate

Arrays; Types of FPGA; Xilinx FPGA – Architecture of Xilinx 3000, Xilinx 4000 families.

UNIT 4 INTRODUCTION TO VHDL 12 Hrs.

Introduction to VHDL; Modeling Styles; Data Objects, Data Types, Delay Models; Concurrent Statements;

Sequential Statements; Process Statements; Conditional & Selective Signal and Variable Assignments; Synthesis of

Statements; Functions; IEEE Standard Logic Library; Test Bench; Component Declaration; Instantiation;

Configuration- Declaration & Specification.

UNIT 5 LOGIC DESIGN USING VHDL 12 Hrs.

Modeling Using VHDL Processes – Flip flops, Registers, Counters, Combinational Logic, Sequential Machine;

Synthesis of VHDL code.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Donald G. Givone, Digital principles and Design, Tata McGraw Hill, 2002.

2. Stephen Brown and Zvonk Vranesic, Fundamentals of Digital Logic with VHDL Deisgn, Tata McGraw Hill, 2002.

3. John M Yarbrough, Digital Logic applications and Design, Thomson Learning, 2001.

4. William I. Fletcher, An Engineering Approach to Digital Design, Prentice Hall of India, 1996.

5. Charles H Roth Jr., Digital System Design using VHDL, Thomson learning, 2004.

6.J. Bhasker, VHDL Primer, Pearson Education.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 9: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 6 REGULATIONS 2015

SEC5105

ADVANCED DIGITAL SIGNAL

PROCESSING L T P Credits Total Marks

(For AE)

4 0 0 4 100

COURSE OBJECTIVES

x To necessitate students understand the basic principles of random signal processing, spectral estimation methods,

adaptive filter algorithms and their applications

x To facilitate the student to comprehend the different signal detection and estimation methods used in

communication system

UNIT 1 DISCRETE RANDOM SIGNAL PROCESSING 12 Hrs.

Weiner Khitchine relation - Power spectral density – filtering random process, Spectral Factorization Theorem,

special types of random process – Signal modeling-Least Squares method, Pade approximation, Prony’s method,

iterative Prefiltering, Finite Data records.

UNIT 2 SPECTRUM ESTIMATION 12 Hrs.

Non-Parametric Methods-Correlation Method - Co-Variance Estimator- Performance Analysis of Estimators -

Unbiased, Consistent Estimators-Periodogram Estimator-Barlett Spectrum Estimation-Welch Estimation-Model based

Approach - AR, MA, and ARMA Signal Modeling-Parameter Estimation using Yule-Walker Method

UNIT 3 ESTIMATION & PREDICTION 12 Hrs.

Maximum likelihood criterion - Efficiency of estimator - Least mean squared error criterion - Wiener filter -

Discrete Wiener Hof equations - Recursive estimators - Kalman filter – Linear prediction, Prediction error - Whitening

filter, Inverse filter - Levinson recursion, Lattice realization, Levinson recursion algorithm for solving Toeplitz system of

equations.

UNIT 4 ADAPTIVE FILTERS 12 Hrs.

FIR Adaptive filters - Newton's steepest descent method - Adaptive filters based on steepest descent method -

Windrow Hof LMS Adaptive algorithm - Adaptive channel equalization – Adaptive echo canceller - Adaptive noise

cancellation - RLS Adaptive filters

UNIT 5 MULTIRATE SIGNALS PROCESSING 12 Hrs.

Mathematical description of change of sampling rate - Interpolation and Decimation , Decimation by an integer

factor - Interpolation by an integer factor, Sampling rate conversion by a rational factor, Filter implementation for

sampling rate conversion- Direct form FIR structures, Polyphase filter structures, time-variant structures.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Monson H.Hayes, Statistical Digital Signal Processing and Modeling, John Wiley and Sons, Inc., Singapore, 2002.

2. John G.Proakis, Dimitris G.Manolakis, Digital Signal Processing Pearson Education, 2002.

3. John G.Proakis et.al.,’Algorithms for Statistical Signal Processing’, Pearson Education, 2002.

4. Dimitris G.Manolakis et.al.,’Statistical and adaptive signal Processing’, McGraw Hill, Newyork,2000.

5. Ifeachor.E.C., Jarvis.B.W., “Digital Signal Processing: A Practical Approach”, 2nd edition, Prentice Hall, 2002.

6. Glenn Zelinkar, Fred J. Taylor, “Advanced digital Signal processing, Theory and Applications”, Mc Graw Hill, 2000.

7. Sopocles J.Orfanidis, “Optimum Signal Processing”, McGraw Hill, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 10: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 7 REGULATIONS 2015

SEC5106 APPLIED DIGITAL SIGNAL PROCESSING L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To provide knowledge of fundamental and widely applied digital signal processing methods

x To introduce some advanced signal processing concepts and algorithms using Matlab

x To identify and apply appropriate signal processing techniques to analyse signals for specific real-world

applications

UNIT 1 DISCRETE TIME SIGNALS AND SYSTEMS 12 Hrs.

Overview of signals systems and signal processing-Discrete-time signals - Signal generation and plotting in

MATLAB- Discrete-time systems- Convolution description of linear time invariant systems - Properties of linear time

invariant systems- Analytical evaluation of convolution - Numerical computation of convolution-Real-time

implementation of FIR filters -FIR spatial filters

UNIT 2 TRANSFORM ANALYSIS OF LTI SYSTEMS 12 Hrs.

Sinusoidal response of LTI systems - Response of LTI systems in the frequency domain- Distortion of signals

passing through LTI systems- Ideal and practical filters-Frequency response for rational system

functions-Dependence of frequency response on poles and zeros- Design of simple filters by pole-zero

placement-Relationship between magnitude and phase responses-All pass systems-Invertibility and minimum-phase

systems

UNIT 3 SAMPLING OF CONTINUOUS-TIME SIGNALS 12 Hrs.

Ideal periodic sampling of continuous time signals- Reconstruction of a band limited signal from its

samples-The effect of under sampling: aliasing-Discrete-time processing of continuous-time signals- Practical

sampling and reconstruction-Sampling of band pass signals- Image sampling and reconstruction

UNIT 4 STRUCTURES FOR DISCRETE-TIME SYSTEMS & DESIGN OF FIR FILTERS 12 Hrs.

Block diagrams and signal flow graphs- IIR system structures- FIR system structures- Lattice

structures-Structure conversion, simulation, and verification (using MATLAB)

The filter design problem-FIR filters with linear phase- Design of FIR filters by windowing- Design of FIR filters by

frequency sampling- Chebyshev polynomials and minimax approximation- Design of some special FIR filters (Using

MATLAB)

UNIT 5 DESIGN OF IIR FILTERS AND FINITE WORD LENGTH EFFECTS 12 Hrs.

Introduction to IIR filter design- Design of continuous-time low pass filters- Transformation of continuous time

filters to discrete time IIR filters- Design examples for low pass IIR filters- Frequency transformations of low pass

filters- Design examples of IIR filters using MATLAB

Number representation- Statistical analysis of quantization error- Oversampling A/D and D/A

conversion-Quantization of filter coefficients- Effects of finite word length on digital filters- Finite word length effects

in FFT algorithms.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Dimitris G. Manolakis and Vinay K. Ingle, Applied Digital Signal Processing: Theory and Practice, Cambridge University Press.

2. John G.Proakis, Dimitris G.Manolakis, Digital Signal Processing Pearson Education, 2002.

3. John G.Proakis et.al.,’Algorithms for Statistical Signal Processing’, Pearson Education, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 11: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 8 REGULATIONS 2015

SEC5107 ADVANCED DIGITAL IMAGE PROCESSING L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To describe and explain basic principles of digital image processing

x To design and implement algorithms that perform basic image processing

x To design and implement algorithms for advanced image analysis

UNIT 1 DIGITAL IMAGE FUNDAMENTALS 12 Hrs.

Elements of Visual Perception; Image Sensing and Acquisition; Image Sampling and Quantization; Basic

Relationships between Pixels; Monochromatic Vision Models; Colour Vision Models; Colour Fundamentals; Colour

Models; Conversion of Colour Models; Colour Transformations.

UNIT 2 ENHANCEMENT & RESTORATION 12 Hrs.

Introduction; Point Processing – Image Negatives, Log transformations, Power Law Transformations,

Piecewise-Linear Transformation Functions; Arithmetic/Logic Operations – Image Subtraction, Image Averaging;

Histogram Processing – Histogram Equalization, Histogram Matching; Spatial filtering – Smoothing, Sharpening;

Smoothing Frequency Domain Filters – Ideal Low Pass, Butterworth Low Pass, Gaussian Low Pass; Sharpening

Frequency Domain Filters – Ideal High Pass, Butterworth High Pass, Gaussian High Pass; Model of Image

Degradation/Restoration Process; Noise Models; Inverse Filtering; Geometric Transformations.

UNIT 3 IMAGE ANALYSIS 12 Hrs.

Introduction; Image Segmentation – Point, Line, Edge, Boundary Detection; Colour Image Segmentation;

Thresholding – Basic Global Thresholding, Multiple Thresholding, Variable Thresholding; Region Based

Segmentation; Feature Extraction – Amplitude Features, Histogram Features, Shape Features, Texture Features,

Spectral Features.

UNIT 4 MORPHOLOGICAL PROCESSING & COMPRESSION 12 Hrs.

Morphological Image Processing – Logic Operations involving Binary Images; Dilation and Erosion; Opening

and Closing; Basic Morphological Algorithms – Boundary Extraction, Region Filling, Thickening, Thinning; Image

Compression – Compression Model, Huffman Coding, Arithmetic Coding.

UNIT 5 3D IMAGE PROCESSING & APPLICATIONS

Sources of 3D Data; 3D Data Sets; Slicing the Data set; Volumetric display;

processing in 3D; Measurements on 3D images; Applications of Image Processing – Motion

Image Classification.

TEXT / REFERENCE BOOKS

12 Hrs.

Stereo Viewing; Image

Analysis, Image Fusion,

Max. 60 Hours

1. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, 2nd Edition, Pearson Education, Inc., 2004.

2. Anil K. Jain, Fundamentals of Digital Image Processing, PHI Learning Private Limited, New Delhi, 2002.

3. John C. Russ, The Image Processing Handbook, 6th Edition, CRC Press, Taylor & Francis Group, 2011.

4. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, 3rd Edition, Pearson Education, Inc., 2004.

5. William K. Pratt, Digital Image Processing, 3rd Edition, John Wiley & Sons, Inc., 2001.

6. Bernd Jähne, Digital Image Processing, 5th Revised and Extended Edition, Springer, 2002.

7. Rafeal C.Gonzalez, Richard E.Woods and Steven L. Eddins, Digital Image Processing using Matlab, Pearson Education, Inc.,

2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 12: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 9 REGULATIONS 2015

SEC5108

ADVANCED DIGITAL SIGNAL AND IMAGE

PROCESSING L T P Credits Total Marks

(Common to EMB, E&C)

4 0 0 4 100

COURSE OBJECTIVES

x To study the various filtering algorithms

x To study the concept of multi rate signal processing, interpolation, application of sub-band coding x

To explain the various operators, image restoration and enhancement techniques x To teach

fundamental concepts of MATLAB with DSP applications.

UNIT 1 ADAPTIVE FILTERS 12 Hrs.

FIR adaptive filter- Newton steepest descent method – Widrow-Hoff LMS adaptive algorithm- adaptive channel

equalization- adaptive echo cancellation- adaptive noise cancellation- RLS adaptive filter- simplified IIR LMS adaptive

filter.

UNIT 2 MULTI RATE SIGNAL PROCESSING 12 Hrs.

Mathematical description of change of sampling rate- interpolation- decimation- continuous time model- direct

digtal domain approach- decimation by an integer factor- interpolation by an integer factor- single and multistage

realization-poly phase realization- filter bank implementation- application to sub band coding .

UNIT 3 IMAGE ENHANCEMENT AND RESTORATION 12 Hrs.

Point operators in enhancement, neighborhood operators, Average filters, weighted average filters, order

statistics filters, gradient operators, Laplacian operators, edge crispening, image degradation/restoration model,

Estimating the image degradation function, inverse filtering, Wiener filter, Homomorphic filtering, spatial and gray scale

transformation (interpolation) for image restoration

UNIT 4 IMAGE SEGMENTATION AND COMPRESSION 12 Hrs.

Need for image segmentation, discontinuity based segmentation, similarity based segmentation, thresholding,

watershed segmentation, detection of motion from images, Need for compression, types of redundancies, Error free

compression techniques, transform coding, Wavelet coding

UNIT 5 MATLAB PROGRAMMING 12 Hrs.

MATLAB programs for solving the Toeplitz matrix, determining the co-efficients of adaptive Wiener filter,

Realizing an adaptive IIR filter, Sub band coding and extracting features from speech signals, Image smoothening and

sharpening in frequency domain, region growing based segmentation in images and generating Huffman codes and

arithmetic coding for image compression

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Monson H.Hayes “Statistical digtal signal processing and modeling”, John Wiley & Sons, 2002.

2. R.C. Gonzalez, R.E.Woods, “Digtal image processing”, Pearson Prentice Hall, 2008.

3. R.C. Gonzalez, R.E.Woods, “Digtal image processing in MATLAB”, Pearson Prentice Hall, 2008.

4. John G Proakis “Digtal signal processing”, Pearson Prentice Hall, 2007.

5. Simon Haykin “Adaptive filter theory”, Prentice Hall, 2002.

6. Anil K Jain “Fundamental of Digtal image processing”, Prentice Hall, 1989.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 5 Questions of 4 Marks each – No choice 20 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 13: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 10 REGULATIONS 2015

SEC5109 CMOS CIRCUIT DESIGN L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce basic theories and techniques of digital VLSI design in CMOS technology

x To learn the fundamental concepts and structures of designing digital VLSI systems include CMOS devices and

circuits, standard CMOS fabrication processes, CMOS design rules, static and dynamic logic structures,

interconnect analysis, CMOS chip layout, simulation and testing, low power techniques, design tools and

methodologies, VLSI architecture

UNIT 1 CMOS AND MOS TRANSISTOR PRINCIPLE 12 Hrs.

Silicon semiconductor technology an overview-CMOS technology n-well p-well process- Twin tub - Silicon on

insulator - CMOS process enhancements - Interconnect - Circuit elements - latchup - Latch up prevention techniques -

Threshold voltage equation and second order effects-MOS models-small signal AC characteristics.

UNIT 2 ELECTRICAL PROPERTIES AND LAYOUT DESIGN OF MOS TRANSISTOR 12 Hrs.

The MOS invertors, CMOS AND NMOS inverters, Inverter ratio, Static and Dynamic characteristics-Power

consumption -Static Dissipation- Dynamic Dissipation -Energy and Energy delay parameter-combinational logic

implementation using NMOS and CMOS - Design rules-Stick diagram and Layout design, NAND-NAND, NOR- NOR,

and AOI Logic.

UNIT 3 CMOS CIRCUIT AND LOGIC DESIGN 12 Hrs.

CMOS logic design- Typical CMOS NAND and NOR delays-Transistor sizing-CMOS logic

structures-Complementary logic BICMOS logic- Pseudo NMOS logic-Dynamic CMOS logic-Clocked CMOS

logic-Precharge domino CMOS logic-Pass transistor logic-CMOS domino logic-NP domino logic-Cascade voltage

switch logic-Source follower pull up logic(SFPL)-Clocking strategies- I/O structures.

UNIT 4 CMOS TESTING 12 Hrs.

The need for testing-Manufacturing test principles, Fault models, observability, controllability, fault

coverage,automatic test pattern generation, Delay fault Testing, Statistical fault analysis, Fault sampling-Design

strategies for test-Chip level Test Techniques, System level test techniques-Layout design for improved testability

UNIT 5 CMOS SUBSYSTEM DESIGN 12 Hrs.

Data path operations, Addition/subtraction,. Parity generations, Comparators, Zero/one detectors, Binary

Counters, Implementation of ALU functions with an adder-carry look ahead adder- Multiplication Array-

Radix-n-Wallace tree and Serial parallel Multiplication, Pipelined multiplier array, Design of 4 bit Shifters- Memory

Architectures and Memory control circuits - FSM, PLA Control Implementation.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Neil. H. E. Weste and K.Eshragian, “Principles of CMOS VLSI Design”, 2nd Edition, Addison-Wesley, 2000

2. Eugene D Fabricius, “Introduction to VLSI Design”, Mc Graw Hill, 2000

3. Douglas A. Pucknell and K.Eshragian, “Basic VLSI Design”, 3rd Edition PHI, 2000

4. Jan M Rabaey, Chandrakasan A, Nikolic B, “ Digital Integrated Circuits”, Pearson Education, New Delhi, Third Indian Reprint,

2004. / Prentice Hall of India, New Delhi.

5. Amar Mukherjee, "Introduction to nMOS and CMOS VLSI system design", Prentice Hall, USA, 1986.

6. .Wayne Wolf, "Modern VLSI Design: Systems on Silicon", Third Edition, Pearson Education Indian Reprint, New Delhi,2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 14: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 11 REGULATIONS 2015

SEC5110 DIGITAL CMOS VLSI DESIGN L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x Basics of Semiconductor device, MOS transistors and device sizing, stick diagram and layout design of

combinational and sequential circuits.

x The challenges of digital VLSI design ,Conceptual thinking and design methodology over detailed circuit analysis

techniques

UNIT 1 INTRODUCTION TO MOSFET 12 Hrs.

Introduction to MOSFET – MOS transistor switches - modes of operation – MOSFET ID S versus VD S relationship

- Expression for Threshold voltage - Energy band structure - Secondary effects - MOSFET capacitance model – review

of CMOS and Bi-CMOS technologies.

UNIT 2 CMOS LOGIC DESIGNS 12 Hrs.

Basic inverter –CMOS inverter – CMOS inverter logic levels – combinational logic design - Static CMOS design

- device sizing –CMOS design rules – stick diagram and layout – Static and Dynamic Power Dissipation - clocked

CMOS structures - Dynamic CMOS Design –- Domino logic- np CMOS logic - Pass transistor logic design.

UNIT 3 SEQUENTIAL CIRCUIT DESIGN 12 Hrs.

CMOS Static latches and registers –Bistability principle - Dynamic sequential circuits – C2MOS register – True

single phase clocked register –pulse registers - pipelining - NORA CMOS – nonbistable sequential circuits –CMOS

Schmitt trigger – monostable sequential circuits.

UNIT 4 PROGRAMMABLE LOGIC DESIGN 12 Hrs.

Programmable logic array designs – Folded PLA‘s – Multilevel minimization – Weinberger arrays – gate

matrices – Capacitive Parasitics - Capacitance and performance in CMOS – driving large capacitance – Resistive

parasitic – Resistance and performance in CMOS.

UNIT 5 COMBINATIONAL CIRCUIT DESIGN 12 Hrs.

Design of addres: Static and Dynamic adder, Manchester carry chain adder, Carry bypass adder, CSA, Carry

look ahead adder – Multipliers : Array multiplier, carry save multiplier, Booth Multiplier – Barrel shifter – NOR and

NAND ROMs – operations in CMOS SRAM – Sense amplifiers.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Jan M . Rabaey “Digital Integrated Circuits”, second edition, Prentice Hall of India Private Ltd-2003.

2. Eugene D. Fabricius “Introduction to VLSI Design”, McGraw Hill 1990.

3. Douglas A. Pucknell “Basic VLSI Design”, Prentice Hall of India, 1994.

4. Neil H.E. Weste and Kamran Eshraghian, Principles of CMOS VLSI Design, Pearson Education ASIA, 2nd edition, 2000.

5. Randall L, Geigar and Allence “VLSI Design for Analog and Digital circuits”, McGraw Hill Co -1990

6. Abdellatif Bellaouar “Low-Power Digital VLSI Design: Circuits and Systems”, Kluwer Academic Publishers-2000

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 qestions from each unit with internal choice, each carrying 10 Marks 70 Marks

Page 15: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 12 REGULATIONS 2015

SEC5111

MODELING AND SIMULATION OF MOS

DEVICES L T P Credits Total Marks

(For VLSI)

4 0 0 4 100

COURSE OBJECTIVES

x To understand the modeling and characteristics of MOSFET

x To learn the SPICE programming that simulates the MOS Devices x

To learn and understand the operation of advanced devices

UNIT 1 FUNDAMENTALS OF DEVICE DYNAMICS 12 Hrs.

Characteristics of a MOS transistor- Small Signal model of MOSFET – High frequency Limitations -

Mathematical model of

IV characteristics –– Change in velocity with electric field-Secondary effects - Energy band diagram and band

bending-Calculation of the threshold voltage (vt) – Significance of threshold voltage - Non ideal effects- CV plots:

importance –High frequency CV plots – low Frequency CV plots – Equations to CV plots

UNIT 2 RADIATION AND SHIFT IN THRESHOLD VOLTAGE 12 Hrs.

Dose –Drain current due to photo generated carriers– Interface traps - Cit - Effect of AC signal on the interface

states – Techniques to measure Cit – Sources of oxide trapped charge – radiation created oxide trapped charge and its

annealing - Shifts in threshold voltage in P channel and N channel MOSFET – Shifts at dynamic bias – radiation

hardening – Other alternative dielectrics

UNIT 3 DOPING, WEAK INVERSION AND SMALL GEOMETRY EFFECTS 12 Hrs.

Weak inversion - Id in the sub threshold region of operation- Doping Profiles - Non uniform doping and effect on

threshold voltage - Short channel effect – Narrow width effect – Shrink and Scaling – NMOS versus PMOS Devices -

MOS Device Layout

UNIT 4 SIMULATION USING PSPICE 12 Hrs.

MOSFET Model Parameters: SPICE level1, level2, level 3, level 4, level 5, level 6, level 7 and BSIM– Types of

Analysis: AC-DC-TF- Frequency – Noise -Transient – Parametric – Sensitivity –Temperature – Worst-case - Monte

Carlo. Sub circuit.

UNIT 5 ADVANCED DEVICES 12 Hrs.

Basics of Structure and Operation: DMOS, UMOS, VMOS, MESFET, MODFET, CNTFET and FinFET. MOS Device

application: Driver- Load–Resistor-Switch-Static protection. Device scaling and change in properties of the device.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Dewitt G. Ong “Modern MOS technology: processes, Devices and Design”, Mcgraw Hill, 1984.

2. YannisTsividis “Operation and Modeling of MOS Transistors”, Mcgraw Hill, 1999

3. Shoji.M “CMOS Digital circuit Technology”, Prentice Hall, 1988.

4. SorabK.Ghandhi “Semiconductor device principle”, John wiley and sons, 1983.

5. S.M.Sze “VLSI Technology“, Tata Mcgraw Hill, 2003.

6. PSpice Reference guide by Cadence Design Systems.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 16: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 13 REGULATIONS 2015

SEC5112 VLSI FABRICATION TECHNOLOGY L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the integrated circuit design philosophy x To understand the methods in fabrication steps

x To learn the assembling and packaging techniques

UNIT 1 CRYSTAL GROWTH, WAFER PREPARATION, EPITARY AND OXIDATION 12 Hrs.

Electronic grade silicon – Basic steps in IC fabrication-crystal plane and orientation – Defects in the lattice–

Czochralski crystal growing – silicon shaping – Processing consideration – Vapour phase epitaxy - Molecular beam

epitaxy - Epitaxial Evaluation – Growth mechanism and kinetics – Thinoxides – Oxidation Techniques and systems –

Oxide properties – Redistribution of dopants at interface – Oxidation of polysilicon – Oxidation induced effects.

UNIT 2 LITHOGRAPHY AND RELATIVE PLASMA ETCHING 12 Hrs.

Mask Making – Optical lithography – Electron lithography – X-ray lithography – Ion lithography – Plasma

properties– Feature size control and Anisotropie Etch mechanism – Lift off Techniques – Plasma reactor – Relative

plasma etching Techniques and Equipments.

UNIT 3 DEPOSITION, DIFFUSION , ION IMPLANTATION AND METALIZATION 12 Hrs.

Deposition process – polisilicon - plasma assisted deposition – models of diffusion in solids – Fick’s one

dimensional diffusion equation – Atomic diffusion mechanism – measurement techniques .

recovery due to annealing - Implantation equipment – Annealing Shalloe junction – high energy implantation –

Physical vapour deposition – patterning.

UNIT 4 METALLIZATION 12 Hrs.

Metallization applications – metallization choices – Patterning – Metallization problems – New role of

metallization –metallization systems – sputtering – problems associated with Al – Cu interconnect – Comparison of RC

delay of Polysilicon, Al.

UNIT 5 ANALYTICAL,ASSEMBLY TECHNIQUES & PACKAGING OF

VLSI DEVICES – NANOMETER TECHNIQUES 12 Hrs.

Analytical beams – Beams specimen interaction – Chemical methods – package types – baking design

considerations – VLSI assembly technology – Package Fabrication Technology.Fabrication challenges at nanometer

technologies.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. S.M.Sze “VLSI Technology“, Tata Mcgraw Hill, 2003.

2. Sorab. K. Gandhi “VLSI Fabrication and Principles“, John wiley and sons, 1983.

3. Amar Mukherjee “Introduction to NMOS & CMOS VLSI system Design“, Prentice Hall, 1986.

4. Mccanny and J.C.White “VLSI Technology and design”, Academic Press, 1987.

5. Dasgupta “VLSI Technology“, Pearson Education Pvt Ltd 2001

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 17: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 14 REGULATIONS 2015

SEC5113 ADVANCED ANALOG INTEGRATED CIRCUITS L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To encourage students to develop working knowledge of linear circuits

x To study and understand the fundamental concepts of BJT, MOSFET and operational amplifiers

x To deal about specific design issues related to single and multistage amplifier and differential amplifiers

UNIT 1 INTEGRATED CIRCUIT BJT AND MOSFET MODELING 12 Hrs.

Small signal models of BJTs and MOSFETs, short channel effects and scaling, and its impact on small signal

parameters, biasing and operating regimes, parasitic elements, Frequency response of BJTs and MOSFETs.

UNIT 2 SINGLE AND MULTISTAGE AMPLIFIERS 12 Hrs.

Small signal single stage amplifier such as Common Emitter stage, Common source stage, Source follower,

Common gate stage, Cascode stage configurations and properties, multistage amplifier stages such as Darlington

and cascade configurations, Small signal analysis of differential amplifiers, Balanced differential amplifiers, device

mismatch effects, feedback configurations, properties, gain-bandwidth product, instability Nyquist criteria,

compensation, root locus, slew rate.

UNIT 3 CURRENT MIRRORS AND LOADS 12 Hrs.

Basic current mirrors, cascode current mirrors, active current mirrors, current mirror configurations, low

current biasing sources, current matching considerations, temperature compensation, active load configurations,

Miller effect, voltage references, supply independent biasing, temperature independent references, input bias current,

offset voltage, common-mode rejection ratio, power supply rejection ratio.

UNIT 4 OPERATIONAL AMPLIFIERS AND FREQUENCY COMPENSATION 12 Hrs.

Operational amplifier performance parameters, analysis of the simple op amp, Two-stage Op Amps, Input

range limitations, Gain boosting, slew rate, power supply rejection, design considerations of integrated op-amps,

Statistical characteristics of noise, noise in single stage amplifiers, noise in differential amplifiers, noise figure and

noise temperature, General considerations, Multipole systems, Phase Margin, Frequency Compensation,

Compensation of two stage Op Amps, Slewing in two stage Op Amps, Other compensation techniques.

UNIT 5 ANALOG MULTIPLIER AND IC DESIGN 12 Hrs.

Bipolar analog multiplier, simple emitter coupled multiplier, a complete analog multiplier, Gilbert multiplier,

Analysis of four quadrant and variable transconductance multiplier, voltage controlled oscillator, analysis of

phase-locked loops, Low Power Analog Design, Bandgap References, Introduction to Switched Capacitor Circuits,

Analog Layout Considerations and Packaging Issues.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Gray and Meyer, Analysis and Design of Analog ICs, Wiley International, 1996.

2. Gray, Wooley, Brodersen, Analog MOS Integrated Circuits, IEEE Press, 1989.

3. Kenneth R. Laker, Willy M.C. Sansen, William M.C.Sansen, Design of Analog Integrated Circuits and Systems ", McGraw

Hill, 1994.

4. Behzad Razavi, Principles of Data Conversion System Design, S. Chand & Company Ltd, 2000.

5. Behzad Razavi, Design of Analog CMOS Integrated Circuits, Tata McGraw Hill, 2002.

6. P.E. Allen and D.R. Holberg, CMOS Analog Circuit Design, Oxford University Press, 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 18: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 15 REGULATIONS 2015

SEC5114 DSP INTEGRATED CIRCUITS L T P Credits Total Marks

(FOR VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x To familiarize the concept of DSP and DSP algorithms.

x Introduction to Multirate systems and finite word length effects

x To know about the basic DSP processor architectures and the synthesis of the processing elements x

To gather an idea about the VLSI circuit layout design styles

UNIT 1 DIGITAL SIGNAL PROCESSING 12 Hrs.

Digital signal processing, Sampling of analog signals, Selection of sample frequency, Signal-processing

systems, Frequency response, Transfer functions, Adaptive DSP algorithms, DFT-The Discrete Fourier Transform,

FFT-The Fast Fourier Transform Algorithm- Butterfly Diagram, DIT-FFT, DIF-FFT, Image coding, Discrete cosine

transforms.

UNIT 2 DSP INTEGRATED CIRCUITS AND VLSI CIRCUIT TECHNOLOGIES 12 Hrs.

Standard digital signal processors, Application specific IC’s for DSP, DSP systems, DSP system design,

Integrated circuit design, MOS transistors, MOS logic, VLSI process technologies, Trends in CMOS technologies

UNIT 3 DIGITAL FILTERS AND FINITE WORD LENGTH EFFECTS 12 Hrs.

FIR filters, FIR filter structures, IIR filters, Specifications of IIR filters, Mapping of analog transfer functions,

Mapping of analog filter structures, Multi rate systems, Interpolation with an integer factor L, Sampling rate change

with a ratio L/M, Multirate filters. Finite word length effects - Parasitic oscillations, Scaling of signal levels, Round-off

noise, Measuring round-off noise, Coefficient sensitivity.

UNIT 4 DSP ARCHITECTURES AND SYNTHESIS OF DSP ARCHITECTURES 12 Hrs.

DSP system architectures, Standard DSP architecture, Ideal DSP architectures, Multiprocessors and

multicomputers, Systolic and Wave front arrays, Shared memory architectures. DSP Processor manufacturers, Types:

Fixed and Floating-point processors. Features of DSP Processor: Single Cycle execution, Parallel operation /

Multifunction, Zero overhead looping and pipe line concept. Mapping of DSP Algorithms onto hardware,

Implementation based on complex PEs, Shared memory architecture with Bit – Serial PEs.

UNIT 5 ARITHMETIC UNITS AND INTEGRATED CIRCUIT DESIGN 12 Hrs.

Conventional number system, Redundant Number system, Residue Number System. Bit-parallel and Bit-Serial

arithmetic, Basic shift accumulator, Reducing the memory size, Complex multipliers, Improved shift-accumulator.

Layout of VLSI circuits, FFT processor, DCT processor and Interpolator as case studies.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Lars Wanhammer, “DSP Integrated Circuits”, Academic press, New York.,1999.

2. A.V.Oppenheim, etal, “Discrete-time Signal Processing” Pearson education, 3rd Edition, 2009.

3. Emmanuel C. Ifeachor, Barrie W. Jervis, “Digital signal processing – A practical approach”, Pearson Edition, 2nd Edition,2009.

4. Keshab K.Parhi, “VLSI digital Signal Processing Systems design and Implementation”, John Wiley & Sons, 2nd Edition, 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 19: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 16 REGULATIONS 2015

SEC5115 MIXED SIGNAL INTEGRATED CIRCUIT DESIGN L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the Mixed circuit designing

x To understand the Data Acquisition System x

To get expertise Neural networks

UNIT 1 CURRENTMIRRORS 12 Hrs.

Analog Octagon –Mixed Cell Layout - Simple CMOS current mirror - source degenerated current mirrors –

high output impedance current mirrors – Bipolar current mirrors – Advanced current mirrors : Cascode stage Wilson

current mirror- Bipolar current mirrors – Bipolar gain stages - Widlar current mirror - folded cascade and current

mirror op amp- Frequency response.

UNIT 2 OP AMP DESIGN 12 Hrs.

Performance Parameters - All NMOS enhancement mode Operational Amplifier Design - Two stage CMOS op

amp – Gain Boosting - op amp as a comparator – Charge injection errors– Linear settling time revisited, fully

differential op amp - Stability and Frequency Compensation – Phase margin - Latched Comparators - Basics of OTA

Amplifiers Design

UNIT 3 SWITCHED CAPACITOR CIRCUITS 12 Hrs.

Basic SC circuits: Parallel - Series -Series-Parallel – Bilinear. Operation and Analysis - Switched capacitor

amplifier- Switched capacitor integrators – SC Transresistance circuits - Z Domain Model Representation of Switched

Capacitor Circuits – Switched Capacitor filter Design - First order and Second order - Switched-Capacitor

Common-Mode Feedback.

UNIT 4 DATA ACQUISITION SYSTEMS 12 Hrs.

Sample and hold circuits – examples of CMOS S/H circuits – Bipolar and BiCMOS S/H circuits – translinear gain

cell – Translinear multiplier - Band gap reference basics: Supply Independent Biasing, Temperature Independent

References, PTAT Current Generation- High speed A/D and D/A converters – High resolution converters – Sigma

delta A/D converter – Interpolative Modulators - Testing of converters

UNIT 5 PLL AND NEURAL INFORMATION PROCESSING 12 Hrs.

Voltage-Controlled Oscillators - Mathematical Model of VCO - Phase-Locked Loops: Basic PLL Topology -

Phase Detector- Loop Filter – Charge Pump PLL – Linearized PLL - Problem of Lock Acquisition - Nonideal Effects in

PLLs - Jitter in PLLs - Frequency Synthesizer - Biologically Inspired Neural Networks – ANN Models –Genetic algorithm

- Low Power Neural Networks Max. 60 Hours

TEXT / REFERENCE BOOKS

1. BehzadRazavi “Design of Analog CMOS Integrated circuits”, Tata Mcgraw Hill India Pvt.Ltd, 2008.

2. David A Johns and Ken Martin “Analog Integrated circuit design”, John wiley& Sons,2004.

3. Allen Holberg, CMOS Analog Circuit Design, Oxford Publications, 2002

4. Franco Maloberti “Analog Design for CMOS VLSI Systems”, Kluwer Academic Publisher, 2001.

5. Roger T.Howe and Charles G.Sodini“ Micro Electronics an Integrated Approach”, Pearson Education Pvt Ltd 2004.

6. Baker, Li, Boyce, CMOS Mixed Circuit Design, Wiley Publications, 2002

7. Roubik Gregorian “Analog MOS Integrated Circuits for Signal Processing”, John wiley and sons, 2004

8. Rudy Van de Plassche “CMOS Integrated A/D and D/A converters”, Kluwer Academic Publisher, 2003.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 20: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 17 REGULATIONS 2015

SEC5116

MICROCONTROLLERS FOR EMBEDDED

SYSTEM DESIGN L T P Credits Total Marks

(For AE & EMB)

4 0 0 4 100

COURSE OBJECTIVES

x To study the architecture of 8051 and 8 bit PIC Microcontrollers

x To understand the concepts of Memory and Peripheral Interfacing with microcontrollers x

To learn assembly language programming for microcontrollers

x To learn about software design tools used for programming microcontrollers

UNIT 1 REVIEW OF 8051 ARCHITECTURE 12 Hrs.

Architecture – memory organization – addressing modes – instruction set –Timers - Interrupts -I/O ports,

Interfacing I/O Devices – Serial Communication- Assembly language programming – Arithmetic Instructions – Logical

Instructions –Single bit Instructions – Timer Counter Programming – Serial Communication Programming- Interrupt

Programming

UNIT 2 8 BIT PIC MICROCONTROLLER 12 Hrs.

Architecture – memory organization – addressing modes – instruction set – PIC programming in Assembly& C

–I/O port, Data Conversion, RAM & ROM Allocation, Timer programming

UNIT 3 PERIPHERALS OF PIC MICROCONTROLLER 12 Hrs.

Timers – Interrupts, I/O ports- A/D converter-UART- I2C bus –SPI- CCP modules -Flash and EEPROM

memories-ADC, DAC and Sensor Interfacing

UNIT 4 DEVELOPMENT TOOLS 12 Hrs.

Host and Target Machines- Linker/Locators for Embedded Software, Debugging Techniques- MPLAB

overview: Using MPLAB, Toolbars, Select Development Mode and Device Type, Project, Text Editor-Assembler,

MPLAB Operations – Emulators.

UNIT 5 SYSTEM DESIGN – CASE STUDY 12 Hrs.

Interfacing LCD Display – Keypad Interfacing – Servo motor Control – Controlling DC/ AC appliances –

Measurement of frequency – Stand alone Data Acquisition System- Interfacing Wireless Communication modules - RF,

Zigbee and GSM modules with microcontrollers

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Muhammad Ali Mazidi, Rolin D. Mckinlay, Danny Causey ‘ PIC Microcontroller and Embedded Systems using Assembly and C

for PIC18’, Pearson Education 2008

2. John Iovine, ‘PIC Microcontroller Project Book ’, McGraw Hill 2000

3. MykePredko, “Programming and customizing the 8051 microcontroller”, Tata McGraw Hill 2001.

4. Muhammad Ali Mazidi, Janice G. Mazidi and Rolin D. McKinlay, ‘The 8051 Microcontroller and Embedded Systems’ Prentice

Hall, 2005.

5. Scott Mackenzie and Raphael C.W. Phan, “The Micro controller”, Pearson, Fourth edition 2012

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 21: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 18 REGULATIONS 2015

SEC5117 ADVANCED HDL PROGRAMMING L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the programming in Verilog and System Verilog x

To understand the RTL design

x To get expertise in HDL programming

UNIT 1 VERILOG HDL 12 Hrs.

Basic concepts – Module – Delays - Language elements – Compiler directives, Value set, Data types,

Parameters

Expressions - Operands & Operators - Gate level modeling –User defined Primitives – Combination UDP,

Sequential UDP.

UNIT 2 MODELING IN VERILOG 12 Hrs.

Data flow modeling – Continuous Assignment, Net Declaration Assignment - Behavioral modeling -

Procedural constructs, Timing Controls, Block Statement, Procedural Assignments – Conditional Statement – Case

Statement – Loop Statement – Structural modeling – Module Instantiation, Generate Statement - Hardware modeling

examples : Moore FSM, Mealy FSM.

UNIT 3 FEATURES IN VERILOG HDL 12 Hrs.

Tasks, Functions - System Tasks and Functions – Attributes - Value Change Dump – Race condition -

Verification – Modeling a Test Bench – Reading/Writing Vectors from/to a Text File – Switch level modeling

UNIT 4 SYSTEM VERILOG 12 Hrs.

Introduction to System Verilog – Data types, Arrays, Operators & Expressions – Procedural Statements and

Control flow – Tasks and Functions.

UNIT 5 FEATURES IN SYSTEM VERILOG 12 Hrs.

Classes – Random constraints – Interprocess synchronization and Communication – Scheduling Semantics –

Clocking Blocks – Program Block – Assertion – Hierarchy – Interfaces

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. J.Bhasker “Verilog HDL”, Prentice Hall, 2000

2. Simon Davidmann, Stuart Sutherland & Peter Flake “System Verilog For Design”, Springs Science Business Media, 2006.

3. Stephen Brown "Foundamental of Digital logic with Verilog Design", Tata McGraw Hill, 2008.

4. Mark Zwolinski “Digital System Design with System Verilog”, Pearson Education, 2009.

5. Accellera – System Verilog Language Reference Manual, 2004

6. Chris Spear “System Verilog for Verification”, Springer 2006

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 22: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 19 REGULATIONS 2015

SEC5118 EMBEDDED SYSTEM PROGRAMMING L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To study the basic concepts of Embedded programming x

To discuss the different embedded OS

x To study the fundamental of LINUX

x To understand the concept of embedded java and embedded linux

UNIT 1 EMBEDDED PROGRAMMING 12 Hrs.

C and Assembly - Programming Style - Declarations and Expressions - Arrays, Qualifiers and Reading Numbers

- Decision and Control Statements - Programming Process - More Control Statements - Variable Scope and Functions

- C Preprocessor - Advanced Types - Simple Pointers - Debugging and Optimization – In-line Assembly

UNIT 2 EMBEDDED C AND EMBEDDED OS 12 Hrs.

Adding Structure to ‘C’ Code: Object oriented programming with C, Header files for Project and Port,

Examples. Meeting Real-time constraints: Creating hardware delays - Need for timeout mechanism - Creating loop

timeouts - Creating hardware timeouts. Creating embedded operating system: Basis of a simple embedded OS,

Introduction to sEOS, Using Timer 0 and Timer 1, Portability issue, Alternative system architecture, Important design

considerations when using sEOS.

UNIT 3 LINUX FUNDAMENTALS 12 Hrs.

Introduction to Linux - Basic Linux commands and concepts - Logging in - Shells - Basic text editing - Advanced

shells and shell scripting - Linux File System - Linux programming - Processes and threads in Linux - communication -

Devices - Linux System calls

UNIT 4 INTRODUCTION TO EMBEDDED LINUX 12 Hrs.

Embedded Linux – Introduction – Advantages – Embedded Linux Distributions – Architecture – Linux kernel

architecture – User Embedded Linux – Introduction – Advantages- Embedded Linux Distributions - Architecture -

Linux kernel architecture - User space – linux startup sequence - GNU cross platform Tool chain.

UNIT 5 EMBEDDED JAVA 12 Hrs.

Introduction to Embedded Java and J2ME – Smart Card basics – Java card technology overview – Java card

objects – Java card applets – working with APDUs – Web Technology for Embedded Systems

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Steve Oualline, ‘Practical C Programming 3rd Edition’, O’Reilly Media, Inc, 2006.

2. Michael J Pont, “Embedded C”, Pearson Education, 2007.

3. Mark Mitchell, Jeffrey Oldham and Alex Samuel ‘Advanced Linux Programming’ New Riders Publications.

4. Zhiqun Chen, ‘Java Card Technology for Smart Cards: Architecture and Programmer’s Guide’, Addison-Wesley Professional,

2000.

5. P. Raghavan ,Amol Lad , Sriram Neelakandan, ‘Embedded Linux System Design and Development’, Auerbach Publications

2006

6. Stephen Kochan, “Programming in C”, 3rd Edition, Sams Publishing, 2009.

7. KarimYaghmour, ‘Building Embedded Linux Systems’, O'Reilly Publications 2003

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 23: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 20 REGULATIONS 2015

SEC5119 REAL TIME OPERATING SYSTEMS L T P Credits Total Marks

(For AE & EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce the student to the fundamental problems and approaches in the design and analysis of real-time

systems

x To learn the fundamental differences between OS and RTOS

x To develop skills necessary to develop software for embedded systems using a real-time operating system

UNIT 1 INTRODUCTION TO REAL TIME SYSTEMS 12 Hrs.

Introduction to real time systems- Hard Versus Soft Real-time Systems- Structure of a Real Time System –

Typical Real-time systems Applications- Task Specification in RT system -Task States-Approaches to Real-time Task

Scheduling-Cyclic Scheduling - Priority-Driven Scheduling of Periodic Tasks (RMA-DMA-EDF)- Scheduling Aperiodic

and Sporadic Jobs - Critical Section - Scheduling of Periodic Tasks with Resource Constraints-Shared Data problem

UNIT 2 GENERAL PURPOSE OS 12 Hrs.

Operating system functions and services- architecture of Windows and Linux operating system-–System Calls

and APIs- OS kernel – File System – Processes – Design and Implementation of processes – Communication between

processes : Message passing , shared memory-Remote procedure call-Sockets-–Issues in distributed system

UNIT 3 REAL TIME KERNEL 12 Hrs.

Difference between general purpose OS and RTOS- Real time kernel architecture-Polled loop-cyclic executive -

Interrupt service routine-function queue scheduling- RTOS based system design- RTOS Porting to Target – Features of

freeware and commercial real time operating systems: Vxworks, Micrium OS, RTLinux, FreeRTOS and C Executive

UNIT 4 MICRIUM-OS AND RT LINUX APIS 12 Hrs.

Task Management– Inter task communication and Synchronization-semaphores-Mutex-Message queues–

Mail box –Time Management-Event Management -Memory Management-Scheduling and Dispatching - POSIX

Threads-Developing simple multitasking applications using ucos-II and RTLinux

UNIT 5 RTOS APPLICATION DOMAINS 12 Hrs.

Case studies-RTOS for Image Processing – Embedded RTOS for Network communication –RTOS for fault

tolerant Applications – RTOS for Control Systems Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Jane W. S Liu, “ Real Time Systems” Pearson Higher Education ,3rd Edition, 2000.

2. Philip.A. Laplante, “Real Time System Design and Analysis”, Prentice Hall of India, 3rdEdition.2006

3. Raj Kamal, “Embedded Systems- Architecture, Programming and Design” Tata McGraw Hill, 2006.

4. Jean J. Labrosse, “ Micro C/OS-II : The real time kernel” 2nd Edition,CMP Books

5. Li Q, Yao C: Real-Time Concepts for Embedded Systems. CMP Books, 1st Edition, 2003.

6. Doug Abbott, “ Linux for Embedded and Real-time Applications”, Newnes,3rd Edition, 2006

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 24: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 21 REGULATIONS 2015

SEC5120 EMBEDDED SYSTEM DESIGN L T P Credits Total Marks

(For PEID, MI) 4 0 0 4 100

COURSE.OBJECTIVES

x To study the ARM processor and ARM instruction sets

x To understand RTOS concepts and Embedded networking concepts

x To understand basic ARM interfacing

UNIT 1 ARM ARCHITECTURE

ARM Architecture ARM Design Philosophy, Registers, Program Status

Interrupts and Vector Table, Architecture Revision, ARM Processor Families.

12 Hrs.

Register, Instruction Pipeline,

UNIT 2 ARM INSTRUCTION SET 12 Hrs.

Data Processing Instructions, Addressing Modes, Branch, Load, Store Instructions, PSR Instructions,

Conditional Instructions. Thumb Instruction Set: Register Usage, Other Branch Instructions, Data Processing

Instructions, Single Register and Multi Register Load -Store Instructions, Stack, Software Interrupt Instructions

UNIT 3 REAL TIME OPERATING SYSTEM 12 Hrs.

Real time operating systems (RTOS) – real time kernel – OS tasks – task states – task scheduling – interrupt

processing – clocking communication and synchronization – control blocks – memory requirements and control –

kernel services.

UNIT 4 EMBEDDED NETWORKS 12 Hrs.

Embedded Networks - Distributed Embedded Architecture – Hardware and Software Architectures, Networks

for embedded systems– I2C, CAN Bus, Ethernet, Internet, Network–Based design– Communication Analysis, system

performance Analysis, Hardware platform design, Allocation and scheduling, Design Example: Elevator Controller.

UNIT 5 SYSTEM DESIGN 12 Hrs.

Switches and LED interfacing-LCD Display interfacing- Analog sensors interfacing for digital data conversion -

Access control using analog keypad - Pulse width modulation technique for motor speed control

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Andrew N.Sloss, Dominic Symes, Chris Wright, “ARM Systems Developer’s Guide: Designing & Optimizing System Software”,

Elsevier, 2004.

2. Jonathan W. Valvano, “ Embedded Microcomputer Systems: Real Time Interfacing”, Cengage Learning, 2011

3. Wayne Wolf, “Computers as Components: Principles of Embedded Computing System Design”, Morgan Kaufman

Publishers, 2008.

4. C.M. Krishna, Kang G. Shin, “Real time systems”, Mc-Graw Hill, 3rd reprint, 2010.

5. Herma K., “Real Time Systems: Design for Distributed Embedded Applications”, Kluwer Academic Publishers, 1997.

6. William Hohl, “ARM Assembly Language, Fundamentals and Techniques”, Taylor & Francis, 2009.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 25: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 22 REGULATIONS 2015

SEC5121 EMBEDDED SYSTEM DESIGN USING ARM L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To impart knowledge on Concepts of designing embedded systems

x To teach the Fundamentals on embedded system and quality attributes of Embedded system x

To discuss on architecture of ARM processor and C programming for ARM processor

x To teach interfacing of ARM processor with other peripherals and system design using ARM processor

UNIT 1 INTRODUCTION TO EMBEDDED SYSTEM 12 Hrs.

Definition of Embedded system-Embedded System Vs General computing system-history of Embedded

system-Classification of Embedded system-Major application of area embedded system- purpose of Embedded

system-characteristics and quality attributes of Embedded system

UNIT 2 ARM PROCESSOR ARCHITECTURE 12 Hrs.

CISC & RISC Architecture - ARM: The ARM architecture-ARM organization and implementation-The ARM

instruction set-The Thumb instruction set-Basic ARM assembly language program-ARM CPU cores.

UNIT 3 EMBEDDED C PROGRAMMING FOR ARM 12 Hrs.

Support for high-level language-review of C-Programming-c, program elements, Macros and functions-Use of

pointers-NULL pointers-Use of function calls-Function queues and Interrupt service routines queue pointers-Concept of

Embedded programming in C- “C” program compilers- Cross compilers.

UNIT 4 ARM INTERFACING WITH PERIPHERALS 12 Hrs.

Switches and LED interfacing-LCD display interfacing-Analog sensor interfacing for digital data

conversion-Access control using analog keypad-Pulse width modulation technique for motor speed control.

UNIT 5 ARM APPLICATIONDEVELOPMENT 12 Hrs.

Introduction to DSP on ARM –FIR Filter – IIR Filter – Discrete Fourier transform – Exception Handling –

Interrupts – Interrupt handling schemes- Firmware and boot loader – Example: Standalone - Embedded Operating

Systems – Fundamental Components - Example Simple little Operating System.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. KVKK Prasad, Embedded / Real Time Systems –, Dreamtech Press, 2005.

2. David Simon, An Embedded Software Primer, Pearson Education Asia, 2000.

3. Raj Kamal, ‘Embedded system-Architecture, Programming, Design’, Tata McGraw Hill, 2011.

4. Wayne Wolf,” Computer as components principle of Embedded computing system Design” Harcourt India, Morgan Kaufinan

publisher, 2001

5. Jean J.Labrosse Micro C/OS-II The Real Time Kernel. Second Edition. CMP books

6. Steve Furber, ARM System on-chip Architecture, Addison Wesley IBN:0201 6751 96

7. Andrew N. Sloss, Dominic Symes, Chris Wright, John Rayfield ‘ARM System Developer’s Guide Designing and

8. Optimizing System Software’, Elsevier 2007

9. William Hohl, ‘ ARM Assembly Language- Fundamentals and Techniques”.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 26: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 23 REGULATIONS 2015

SEC5122

INTRODUCTION TO NANOSCIENCE AND

NANO TECHNOLOGY L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Understand the Classification and dimension of NanoTechnology

x To Learn about the recrystallization and grain growth of materials

x To Study the electrical and optical properties of magnetic materials

UNIT 1 GENERIC METHODOLOGIES FOR NANOTECHNOLOGY 12 Hrs.

Introduction and classification - What is nanotechnology - Classification of nanostructures - Nanoscale

architecture; Summary of the electronic properties of atoms and solids - The isolated atom - Bonding between atoms -

Giant molecular solids - The free electron model and energy bands - Crystalline solids - Periodicity of crystal lattices -

Electronic conduction; Effects of the nanometre length scale - Changes to the system total energy - Changes to the

system structure - How nanoscale dimensions affect properties.

UNIT 2 IMPACT OF NANOTECHNOLOGY 12 Hrs.

Potential risks of nanotechnology in Health, Environmental and Social issues. Introduction to nano toxicology,

nanopollution, Studies on impact of nanotechnology.

UNIT 3 INTRODUCTION TO MATERIALS 12 Hrs.

Introduction, classification of materials, dislocations, types, Burgers’ Vector, Dislocation movement by climb and

cross slip. Dislocation sources, Dislocation point defect interaction and pileups. Plastic deformation of single crystals.

Deformation by slip, CRSS for slip.Deformation of single crystal. Deformation by twinning. Stacking faults, hot

working, cold working. Recovery, recrystallization and grain growth. Grain size, Halt-Petch equation.

UNIT 4 ELECTRICAL, ELECTRONIC AND MAGNETIC PROPERTIES OF MATERIALS 12 Hrs.

Electrical and Electronic properties of materials, Free electron theory and its features, Idea of band structure –

Metals, Insulators and Semiconductors. Density of state in bands and its variation with energy, Effect of crystal size on

density of states and band gap – Electronic structure of nanoparticles. Super conductivity,Magnetic properties, Dia,

para, ferro, ferri magnetism. Soft and hard magnetic materials.

UNIT 5 OPTICAL PROPERTIES OF MATERIALS 12 Hrs.

Optical Properties, Photoconductivity, Optical absorption & transmission, Photoluminescence, Fluorescence,

Phosphorescence, Electroluminescence.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Gyorgy Scrinis (2007). "Nanotechnology and the Environment: The Nano-Atomic reconstruction of Nature". Chain Reaction

97: 23–26.

2. James F. Shackelford, Introduction to Materials Science for Engineers, 7/E , Prentice Hall, 2008.

3. William D. Callister Jr., David G. Rethwisch,Materials science and engineering: An introduction, Hard cover, 2009.

END SEMESTER EXAM QUESTION PAPER PATTERN :

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 27: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 24 REGULATIONS 2015

SEC5123

NANOSCALE MATERIALS, DEVICES AND

ITS APPLICATIONS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic concepts of Nanoscale Materials, Devices.

x To acquire the knowledge about fabrication and application of GAS sensor and BIO sensor. x

To learn the fundamentals and recent advancements of Semiconductor Nano Devices.

UNIT 1 BULK NANOSTRUCTURED MATERIALS 12 Hrs.

Quantum wells, wires and Dots – Size and dimensionality effects, Carbon nanotubes (CNTs)- Single walled

carbon nanotubes (SWNTs), Multiwalled carbon nanotubes (MWNTs), graphenes, fullerenes- Structure and

Properties, Metal/oxide nanoparticles, nanorods, nanowires, nanotubes, and nanofibers, Semiconductor Quantum

Dots- Excitons, Magnetic Nanoparticles- Nanostructured Ferromagnetism, Polymer nanoparticles, Core-Shell

Structures, Nanocrystals, Single electron tunneling – Applications.

UNIT 2 GAS SENSOR MATERIALS 12 Hrs.

Criteria for the choice of materials, Experimental aspects – materials, properties, measurement of gas sensing

property, sensitivity; Discussion of sensors for various gases, Gas sensors based on semiconductor devices.

UNIT 3 BIOSENSORS 12 Hrs.

Principles- DNA based biosensors – Protein based biosensors – materials for biosensor

applications-fabrication of biosensors - future potential.

UNIT 4 SEMICONDUCTOR NANODE VICES 12 Hrs.

Single Electron devices- Nano scale MOSFET – Resonant Tunneling Transistor – Single Electron Transistors -

Single Electron Dynamics - Nanorobotics and Nanomanipulation - Mechanical Molecular Nanodevices -

Nanocomputers: Theoretical Models - Optical Fibers for Nanodevices - Photochemical Molecular Devices – DNA

Based Nanodevices – Gas based Nanodevices - Micro and Nanomechanics.

UNIT 5 SEMICONDUCTOR NANODE VICES AND ITS APPLICATIONS 12 Hrs.

Schottky devices - Quantum Structures and Devices - Quantum layers, wells, dots and wires - Mesoscopic

Devices - Carbon Nanotube based logic gates, optical devices - Connection with quantum dots, quantum wires, and

quantum wells- Single Molecule electronic devices – photonic band gap systems: applications and devices.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Charles P.Poole Jr and. Frank J.Owens, “Introduction to Nanotechnology”, Wiley Interscience, 2003.

2. G. Cao, “Nanostructures and Nanomaterials: Synthesis, Properties and Applications”, Imperial College Press, 2004.

3. C.M. Niemeyer and C.A. Mirkin, “Nanobiotechnology, Concepts, Applications and perspectives”, WILEY-VCH, 2004.

4. G.M.Chow and K.E.Gonsalves, “Nanotechnology - Molecularly Designed Materials”, American chemical society Symposium

series 622, 1996.

5. K.P.Jain, “Physics of semiconductor Nanostructures”, Narosa Publishers, 1997.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 28: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 25 REGULATIONS 2015

SEC5124 PHYSICS FOR NANOTECHNOLOGY L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To acquire more knowledge of quantum mechanics

x To learn the various kinds of statistical methods by using nanotechnology x

To study the different properties of a magnetic materials

UNIT 1 QUANTUM MECHANICS 12 Hrs.

Introduction to Quantum Mechanics; Schrodinger equation and expectation values, Solutions of the

Schrodinger equation for free particle, particle in a box, particle in a finite well.

Angular momentum and its operators, Eigen values and Eigen functions of the angular momentum operators,

spin, Pauli spin operators and their properties, hydrogen atom, density of states, free electron theory of metals.

UNIT 2 NANOSTRUCTURES 12 Hrs.

Confinement and Transport in nanostructure, Current, Reservoirs and Electron channels, Conductance

formula for nanostructures, Quantized conductance. Local density of states. Ballistic transport, Coulomb blockade,

Diffusive transport, Fock space.

UNIT 3 NANO STATISTICAL SYSTEMS 12 Hrs.

Statistical Mechanics, Microstates and entropy and its statistical definition, Entropy of mixing, Gibb’s free

energy, Gibb’s paradox, phase space density, ergodic hypothesis, Liouville’s theorem, The micro canonical, canonical

and grand canonical ensemble and their connections, Fluctuations, Classical Statistical systems, Boltzman statistics, and

quantum statistical systems, Fermi-Dirac and Bose-Einstein Statistics.

UNIT 4 ELECTRONIC PROPERTIES 12 Hrs.

Electronic Properties: Free electron theory of metals, Band theory of solids, Bloch theorem, Kroning-Penne

model, Metals and Insulators, Semiconductors: Classification, Transport properties, Size and Dimensionality effects,

Band structures, Brillouin zones, Mobility, Resistivity, Relaxation time, Recombination centers, Hall effects.

UNIT 5 MAGNETIC MATERIALS 12 Hrs.

Optical and Magnetic Properties, Photoconductivity, Optical absorption and transmission, Photoluminescence,

Fluorescence, Phosphorescence, Electroluminescence. Magnetic Materials: Basic Magnetic Phenomena;

Diamagnetism, Paramagnetism, Ferromagnetism, Ferrimagnetisms, Anti-ferromagnetism, Some examples of these

materials and their applications, RKKY Interactions, Ferro fluids, Introduction to superconductivity; London Equation

and Josephson effect.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Quantum Physics – A. Ghatak

2. Quantum Mechanics - Bransden and Joachen

3. Statistical Physics by K. Huang

4. Statistical Mechanics-Landau and Lifshitz

5. Quantum wells, Wires and Dots: Theoretical and Computational Physics of Semiconductors Nanostuructures, Paul Harrison

6. Principles of Quantum Mechanics 2nd ed. - R. Shankar

7. Thermodynamics and Statistical Mechanics - A N Tikhonov, Peter T Landberg, Peter Theodore Landsberg

8. Thermodynamics and Statistical Mechanics by John M. Seddon, J. D. Gale

9. Statistical Mechanics – Sonntag.

10. Statistical Mechanics – Mc Le Leland

END SEMESTER EXAM QUESTION PAPER PATTERN :

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 29: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 26 REGULATIONS 2015

SEC5125

SYNTHESIS AND APPLICATIONS OF

NANOMATERIALS L T P Credits Total Marks

(For NANO)

4 0 0 4 100

COURSE OBJECTIVES

x To Understand the Different approaches of Synthesis

x To Study the Various fabrication methods presented in Nano materials x

To learn the various applications of nano materials

UNIT 1 BASIC ASPECTS OF SYNTHESIS OF NANOMATERIALS 12 Hrs.

Introduction to synthesis of nanostructure materials, Bottom-up approach Sol-Gel synthesis, Hydrothermal

Growth and Top-down approach: Ball Milling, Lithography.

UNIT 2 PHYSICAL METHODS 12 Hrs.

Inert gas condensation, Arc discharge, RF-plasma, electric explosion of wires, molecular beam epitaxy,

sputtering, evaporation.

UNIT 3 CHEMICAL METHODS 12 Hrs.

Nanocrystals by chemical reduction, photochemical synthesis, electrochemical synthesis, co-precipitation

method, Nanocrystals of semiconductors and other materials by arrested precipitation, emulsion synthesis,

sonochemical routes, microwave assisted synthesis,Template based synthesis of nanomaterials.

UNIT 4 THERMOLYSIS ROUTE 12 Hrs.

spray pyrolysis and solvated metal atom dispersion, sol-gel method, Solvothermal and hydrothermal routes,

solution combustion synthesis, Chemical vapor synthesis and Chemical Vapor Deposition.

UNIT 5 APPLICATIONS OF NANOMATERIALS 12 Hrs.

Synthesis of Metal oxide nanoparticles ZnO, TiO2, SnO2, SiO2 and their applications. Synthesis of metal

nanoparticles: Silver, Gold and their applications. Applications of Indium tin oxide and Antimony tinoxide

nanoparticles.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. S.P. Gaponenko, Optical Properties of semiconductor nanocrystals, Cambridge University Press, 1980.

2. W.Gaddand, D.Brenner, S.Lysherski and G.J.Infrate(Eds.), Handbook of NanoScience, Engg. and Technology, CRC Press, 2002.

3. K. Barriham, D.D. Vvedensky, Low dimensional semiconductor structures: fundamental and device applications, Cambridge

University Press, 2001.

4. G. Cao, Nanostructures & Nanomaterials: Synthesis, Properties &Applications , Imperial College Press, 2004.

5. J.George, Preparation of Thin Films, Marcel Dekker, Inc., New York. 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 30: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 27 REGULATIONS 2015

SEC5126 NANO ELECTRONICS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic concepts of Nano electronics design flow.

x To acquire the knowledge about quantum physics and Principles of SET

x To learn the fundamentals and recent advancements of Nano electronic Memory Devices

UNIT 1 BASICS OF NANOELECTRONICS 12 Hrs.

Basics of nanoelectronics – capabilities of nanoelectronics – physical fundamentals of nanoelectronics –

basics of information theory – the tools for micro and nano fabrication – basics of lithographic techniques for

nanoelectronics.

UNIT 2 QUANTUM ELECTRON DEVICES 12 Hrs.

Quantum electron devices – from classical to quantum physics: upcoming electronic devices – electrons in

mesoscopic structure – short channel MOS transistor – split gate transistor – Electron wave transistor – Electron spin

transistor – quantum cellular automate – quantum dot array – Principles of Single Electron Transistor (SET) – SET

circuit design – comparison between FET and SET circuit design.

UNIT 3 NANOELECTRONIC DEVICES 12 Hrs.

Nanoelectronics with tunneling devices and superconducting devices – tunneling element technology - RTD:

circuit design – Defect tolerant circuits - Molecualr electronics – elementary circuits – flux quantum devices –

application of Superconducting devices – Nanotubes based sensors, fluid flow, gas, temperature, Strain – oxide

nanowire, gas sensing (ZnO, TiO, SnO, WO), LPG sensor (SnO powder)- Nano (2 2 3 2) designs and Nanocontacts -

metallic nanostructures.

UNIT 4 LIMITS OF NANOELECTRONICS 12 Hrs.

A survey about the limits – Replacement Technologies – Energy and Heat dissipation – Parameter spread as

Limiting Effect – Limits due to thermal particle motion – Reliability as limiting factor – Physical limits – Final objectives

of integrated chip and systems.

UNIT 5 NANOELECTRONIC MEMORY DEVICES 12 Hrs.

Memory devices and sensors – Nano ferroelectrics – Ferroelectric random access memory – Fe-RAM circuit

design – ferroelectric thin film properties and integration – calorimetric sensors – electrochemical cells – surface and

bulk acoustic devices – gas sensitive FETs – resistive semiconductor gas sensors –electronic noses – identification of

hazardous solvents and gases – semiconductor sensor array.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. K.Goser, P.Glosekotter & J.Dienstuhl, “Nanoelectronic and Nanosystems – From Transistors to Molecular Quantum Devices”

Springer, 2004

2. Rainer Waser, “Nanoelectronics and Information Technology: Advanced Electronic Materials Novel and Devices” Wiley VCH,

2005.

3. Mick Wilson, Kamali Kannangara, Geoff smith, “Nanotechnology: Basic Science and Emerging Technologies”, Overseas press,

2005.

4. W.R. Fahrner, “Nanotechnology and Nanoelectronics: Materials, Devices, Measurement Techniques”, Springer, 2010.

5. Branda Paz, “A Handbook on Nanoelectronics”, Vedams books, 2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 31: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 28 REGULATIONS 2015

SEC5127

NANOTECHNOLOGY FOR WATER, ENERGY

AND ENVIRONMENTAL SYSTEMS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Study about the Classification of energy conversion x To

learn about the Micro and Renewable technology x To Study

the Various methods for Water purification

UNIT 1 INTRODUCTION 12 Hrs.

Nanotechnology for sustainable energy- Energy conversion process, indirect and direct energy

conversion-Materials for light emitting diodes-batteries-advanced turbines-catalytic reactors-capacitors-fuel cells.

UNIT 2 RENEWABLE ENERGY TECHNOLOGY 12 Hrs.

Energy challenges, development and implementation of renewable energy technologies - nanotechnology

enabled renewable energy technologies -Energy transport, conversion and storage- Nano, micro, and poly crystalline

and amorphous Si for solar cells, Nano-micro Si-composite structure, various techniques of Si deposition.

UNIT 3 MICRO FUEL CELL TECHNOLOGY 12 Hrs.

Micro-fuel cell technologies, integration and performance for micro-fuel cell systems -thin film and

microfabrication methods - design methodologies - micro-fuel cell power sources.

UNIT 4 NANOPARTICLES FOR WATER PURIFICATION 12 Hrs.

Introduction, Overview of Chlorinated Organic Solvents, Biodegradation of Chlorinated Organic

Solvents,Nanoscale zero-valene iron (NZVI), Application of other Nanoscale metallic particles in chlorinated organic

compound degradation. TiO2 as a semiconductor photocatalyst,Photo catalytic Mechanism,general pathways &

kinetics, Intrinsic Photocatalytic activity,Reaction variables,Photocatalytic Degradation of Specific Waterborne

pollutants.

UNIT 5 NANOPARTICLES FOR TREATMENT OF ARSENIC 12 Hrs.

Introduction, Environmental Chemistry of Arsenic, Treatment of Arsenic using Nanocrystalline TiO2,Treatment of

Arsenic using nanoparticles other than TiO2. Nanomembranes in Drinking water treatment, Nanomembranes in Sea

desalination.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Renewable Energy Resources by J. Twidell and T.Weir, E&FN Spon Ltd.

2. Hydrogen from Renewable Energy Source by D.Infield

3. Fundamentals of Industrial Catalytic Process by C.H. Bartholomew and Robert J. Farraoto, John Wiley & Sons Inc.

4. Fuel cell Technology Handbook by Hoogers, CRC Press

5. Hand book of fuel cells: Fuel cell technology and applications by Vielstich, Wiley:CRC Press

6. T. Eugene Cloete1, Michele de Kwaadsteniet, Marelize Botes and J. Manuel López-Romero, Nanotechnology in water

treatment applications, Caister Academic Press2010.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 32: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 29 REGULATIONS 2015

SEC5128

SINGLE ELECTRON DEVICES AND ITS

MODELING L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Study about Single electron Transistor and its Tunneling

x To understand about the logical devices designed by using SET

x To Learn about the SET based memory devices and its manufacturing methods

UNIT 1 INTRODUCTION TO SET 12 Hrs.

Definiton- Circuit Diagram and Operation of SET – the coulomb blockade, theoretical quantum dot

transistor;-energy of quantum dot system, conductance oscillation and potential fluctuation, transport under finite

temperature and finite bias, , modeling of transport: tunneling- tunneling in oxide, quantum kinetic equation, carrier

statistics and charge fluctuations, performance of single- electron transistor, single electron effect.

UNIT 2 SINGLE- ELECTRON DEVICES 12 Hrs.

SINGLE electron box, single electron trap, single electron turnsile and pump, SET oscillators, superconductor

systems; device structure and fabrication-experimental results and analysis-single-electron quantum-dot transistor,

single hole, quantum dot transistor, transport characteristics under finite bias, transport through exited states, artificial

atom, single charge trapping, SET circuit design- wiring and drivers, logic memory circuits, SET adder as an example of a

distributed circuit, comparison between FET and SET circuit designs.

UNIT 3 SET LOGIC DEVICES 12 Hrs.

Introduction to Logic Devices – SET Devices, Fabrication of Si – SETs , Logic Circuit Application of SETs –

Fundamentals of SET Logic, Merged SET and MOSFET Logic, CMOS Type Logic Circuit, Pass Transistor Logic, Multigate

Single Electron Transistor, Multiple Valued Operation.

UNIT 4 SET AND SESO MEMORY DEVICES 12 Hrs.

Introduction to memory devices, floating gate scheme, single electron MOS memory (SEMM)-Structure,

Fabrication Procedure, experimental observations, analysis, effect of trap states effect of thicker tunnel diode

experimental behavior of memories- percolation effects, limitations in use of field effect, confinement and random

effects. In semiconductors, variances due to dimensions, limits due to tunneling, tunneling fabricated SESO

transistor. SESO memory, memory - technology comparison.

UNIT 5 ELECTRON DEVICES AND MEMORY CIRCUITS MANUFACTURING METHODS 12 Hrs.

Current Semiconductor Memories, Limitations of the DRAM, DRAM Gain Cell, PLEDTR, PLEDM Cell, SET

Memory , Single Electron Devices, Operation Principle of Single Electron Memory, SET Memory Array-Manufacturing

methods and material systems- Shadow Evaporation – Step Edge Cut off, Nano Imprint , Plannar Quantum Dots,

Scanning Probe Microscopy, Granular Films, SIMON single- electron software package.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Shunri oda, david ferry, "Silicon Nanoelectronics", CRC press, Taylor and Francis group,2006.

2. Goser K. "Nanaoelectronics and nanosystems", springer, 2005.

3. R.Tsu "supperlatice to nanoelectronics", Elsevier, 2005.

4. Korotkov A.N., averin D.V., Likharev K.K., Vasenko S.A.,"single-electron transistors as ultrasensitive electrometers",single –

electron tunneling and mesoscopic devices",springer,1992.

5. Averin D.V., Nazarov Y.V., "microscopic quantum tunneling of charge and cotunneling",in H.Grabert,

6. M.H. Devoret(eds),"single charge tunneling: columb blockade phenomena in nanostructures",Plenum press and NATO

scientific affairs division,new york and london,1992

7 Christoph wasshubler." Computational single- electronics", Springer,2001.

END SEMESTER EXAM QUESTION PAPER PATTERN :

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 33: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 30 REGULATIONS 2015

SEC5129 THINFILM TECHNIQUES L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Study the formation of Thin Films

x To understand the Various Deposition Techniques used for Thin Films x To

learn the different Processing Techniques for Thin Films

UNIT 1 DEFINITION OF THIN FILMS 12 Hrs.

Environment (gas phase and plasma) for thin film deposition; requirement for substrate; substrate cleaning;

deposition parameters and their effects on film growth,nanocrystalline thin film. structure of thin films: Formation of

thin films (sticking coefficient, formation of thermodynamically stable cluster-nucleation);microstructure, surface

roughness; density; stress in thin films; adhesion; stoichiometry; metastable structure.

UNIT 2 PHYSICAL PARAMETERS FOR EVALUATION OF THIN FILM 12 Hrs.

Mechanical, electrical, thermal, chemical, optical. vaccume technology - Concept of different vaccum

pumps;rotary, diffusion,turbo molecular pump, cryogenic pump, ti-sublimation pump,gas kinetics; concept of different

gauges : pirani, penning, pressure control.

UNIT 3 PHYSICAL VAPOUR DEPOSITION (PVD) TECHNIQUES 12 Hrs.

Thermal evaporation,resistance evaporation ;electron beam evaporation ;laser abalation ;ion vapor evaporation

and cathodic arc deposition. Electrical discharges used in thin film deposition:Sputtering;glow discharge Sputtering;

magnetron Sputtering ; ion beam Sputtering ; ion plating ; oxidizing and nitriding.

UNIT 4 ATOMIC LAYER DEPOSITION (ALD) 12 Hrs.

Importance of ALD technique, atomic layer growth:physics and technology. Chemical vapor deposition

techniques:Advantages and disadvantages of Chemical vapor deposition techniques(CVD) over PVD techniques,

reaction types,boundaries and flow, different kinds of CVD techniques: metallorganic (MO) CVD,photoassisted CVD,

thermally activatedCVD, plasma enhanced( RF, wave) CVD,low pressure(LP) CVD, atmospheric pressure(AP) CVD and

Pulsed laser deposition technique.

UNIT 5 PROCESSING TECHNOLOGIES 12 Hrs.

Pattern transfer: reactive ion etching, ion milling, ion beam dry itching, Molecular beam epitaxy. Applications:

Thin Film Photo voltaic cells,Thin film Batteries.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Chopra K.L., "Thin film phenomenon", Tata McGraw-Hill, 1968.

2. Chang C.Y. and Sze S.M., ’VLSI tehnology’ Tata McGraw-Hill,1996.

3. Ghandhi S.K. , VLSI fabrication principles; silicon and gallium arsenide, 2nd Edition, John Wiley and Sons, 1994.

4. G.L. and Carlson R.W. “Methods of experimental physics” vol 14.’ 3.Vaccume physics and technology’

5. Roth A., "Vaccume Technology" north-holland, 1990.

6. Delchar T.A., "vaccum physics and techniques", Chapman and hall, 1993.

7. Hirth J.P. and Pound G.M. "Evaporation: nucleation and growth kinetics" Pergamon press, Oxford, 1963

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 34: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 31 REGULATIONS 2015

SEC5130 ADVANCED WIRELESS COMMUNICATIONS L T P Credits Total Marks

(For AE & EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce the student to the most recent techniques in the broad field of Wireless Communication x

To learn an entire system as well as thesub-systems in wireless communication

x To equip the student with basic skills required to design such systems as well as to work for future wireless

systems

UNIT 1 MULTIPATH FADING CHANNELS AND DIVERSITY 12 Hrs.

Multipath Propagation-Fading-intersymbol Interference-Spectrum Limitations-Fast Fading Wireless Channel

Modeling-Rayleigh and Ricean Fading Channels-BER Performance in Fading Channels - Frequency Selective and

Frequency Nonselective Fading Channels - Examples of Multipath Fading Channels- Diversity modeling for Wireless

Communications- BER Performance Improvement with diversity.

UNIT 2 OFDM SYSTEM 12 Hrs.

History of OFDM – Single carrier Vs Multi carrier transmission – Basic principles of OFDM – Block diagram of

transmitter and receiver in OFDM system- Effect of multipath channel on OFDM symbols using without guard

interval, cyclic prefix and zero padding – BER performance of OFDM scheme – Performance of Coded OFDM System -

Synchronization for OFDM - Effect of CFO- Introduction to PAPR- PAPR Reduction Techniques.

UNIT 3 MC-CDMA AND OFDMA SYSTEMS 12 Hrs.

Introduction to MC-CDMA System – Block diagram of Transmitter and receiver of MC-CDMA -Bit Error Rate of

MC-CDMA System- Variants Based on MC-CDMA Scheme.

Introduction to OFDMA - Block diagram of OFDMA uplink and downlink transmission- Resource

Allocation-MA Optimization- RA Optimization- Resource Allocation Algorithms - Scheduling- Quality of Service-

Adaptive Modulation Algorithms for OFDMA-OFDMA based Mobile WiMax (IEEE 802.16e) System Applications.

UNIT 4 MIMO AND LTE 12 Hrs.

Introduction to MIMO– Channel Capacity and Information rates of noisy, AWGN and fading channels –

Capacity of MIMO channels – MIMO for multi-carrier systems (MIMO-OFDM) - MIMO Diversity (Alamouti,

OSTBC)-Motivation and Targets for LTE- Overview of LTE- LTE network architecture – LTE Advanced- Architecture of

LTE Radio Protocol Stacks.

UNIT 5 COGNITIVE RADIO AND ITS APPLICATIONS 12 Hrs.

Introduction to Cognitive Radio-Motivation and Purpose – Spectrum Allocation in Cognitive Radio Networks -

Cognitive Transceiver architecture- Radio Resource Allocation for Cognitive Radio - Spectrum Sensing – Spectrum

Sharing – Spectrum Mobility – Spectrum Management – Regulatory issues – Implications of Cognitive radio network-

Emerging Cognitive Radio Applications in Cellular Networks.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Andreas F. Molisch, Wireless Communications, 2nd Edition, John Wiley & Sons Ltd, 2011.

2. Yong Soo Cho, Jaekwon Kim, Won Young Yang and Chung G. Kang, MIMO-OFDM Wireless Communications with MATLAB,

John Wiley & Sons (Asia) Pte Ltd, 2010.

3. Shinsuke Hara and Ramjee Prasad, Multicarrier Techniques for 4G Mobile Communications, 2003

4. Harri Holma and Antti Toskala, LTE for UMTS –OFDMA and SC-FDMA Based Radio Access, John Wiley & Sons Ltd., 2009.

5. Tao Jiang, Lingyang Song and Van Zhang, Orthogonal Frequency Division Multiple Access Fundamentals and Applications,

Taylor and Francis Group, 2010.

6. Tolga M. Duman and Ali Ghrayeb, Coding for MIMO Communication Systems, John Wiley & Sons Ltd, 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 35: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 32 REGULATIONS 2015

SEC5131

ADVANCED WIRELESS

COMMUNICATIONS AND NETWORKS L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce the most recent techniques in the broad field of Wireless Communication

x To equip with basic skills required to design such systems as well as to work for future wireless systems

UNIT 1 MULTIPATH FADING CHANNELS AND DIVERSITY 12 Hrs.

Multipath Propagation-Fading-intersymbol Interference-Spectrum Limitations-Fast Fading Wireless Channel

Modeling-Rayleigh and Ricean Fading Channels-BER Performance in Fading Channels - Frequency Selective and

Frequency Nonselective Fading Channels - Examples of Multipath Fading Channels- Diversity modeling for Wireless

Communications- BER Performance Improvement with diversity. UNIT 2 OFDM AND OFDMA SYSTEMS 12 Hrs.

Basic principles of OFDM – Block diagram of transmitter and receiver in OFDM system- Effect of multipath on

OFDM symbols, cyclic prefix and zero padding – BER performance of OFDM scheme – Performance of Coded OFDM

System - Synchronization for OFDM - Effect of CFO- Introduction to PAPR- PAPR Reduction Techniques.Introduction

to OFDMA - Block diagram of OFDMA uplink and downlink transmission - Resource Allocation - Resource Allocation

Algorithms - Scheduling- Quality of Service- OFDMA based Mobile WiMax (IEEE 802.1 6e.

UNIT 3 MC-CDMA, MIMO AND LTE 12 Hrs.

Introduction to MC-CDMA System – Block diagram of Transmitter and receiver of MC-CDMA -Bit Error Rate of

MC-CDMA System- Variants Based on MC-CDMA Scheme. Introduction to MIMO– Channel Capacity and Information

rates of noisy, AWGN and fading channels –MIMO for multi-carrier systems (MIMO-OFDM) - MIMO Diversity

(Alamouti, OSTBC); Motivation and Targets for LTE- Overview of LTE- LTE network architecture – LTE Advanced-

Architecture of LTE Radio Protocol Stacks. UNIT 4 COGNITIVE RADIO AND ITS APPLICATIONS 12 Hrs.

Introduction to Cognitive Radio-Motivation and Purpose – Spectrum Allocation in Cognitive Radio Networks -

Cognitive Transceiver architecture- Radio Resource Allocation for Cognitive Radio - Spectrum Sensing – Spectrum

Sharing – Spectrum Mobility – Spectrum Management – Regulatory issues – Implications of Cognitive radio network-

Emerging Cognitive Radio Applications in Cellular Networks. UNIT 5 WIRELESS NETWORKS 12 Hrs.

Networking Basics - Development of Computer Networks: An Overview- Network Types- Peer-to-Peer

Networks- Local Area Networks (LANs)- Wide Area Networks (WANs)- Personal Area Networks (PANs)- The Internet-

Virtual Private Networks (VPNs) - Network Topologies- Choosing the Right Topology- Network Hardware and

Software- Networking Components- Networking Software- Networking Protocol: TCP/IP, Wireless LANs-evolution-

Basic architecture – WLAN Adopters –Access Points- WLAN Configurations- WLAN Standards,Architecture and

specifications of, WiMAX, WiBro, and WiFi

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Andreas F. Molisch, Wireless Communications, 2nd Edition, John Wiley & Sons Ltd, 2011.

2. Yong Soo Cho, Jaekwon Kim, Won Young Yang and Chung G. Kang, MIMO-OFDM Wireless Communications with MATLAB,

John Wiley & Sons (Asia) Pte Ltd, 2010.

3. Shinsuke Hara and Ramjee Prasad, “Multicarrier Techniques for 4G Mobile Communications”, 2003

4. Harri Holma and Antti Toskala, “LTE for UMTS –OFDMA and SC-FDMA Based Radio Access”, John Wiley & Sons Ltd., 2009.

5. Tao Jiang, Lingyang Song and Van Zhang, “Orthogonal Frequency Division Multiple Access Fundamentals and Applications”

Taylor and Francis Group, 2010.

6. Tolga M. Duman and Ali Ghrayeb, “Coding for MIMO Communication Systems”, John Wiley & Sons Ltd, 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 36: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 33 REGULATIONS 2015

SEC5132 802.XX WIRELESS NETWORKS L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To provide an introduction to wireless networks x

To learn in detail about 802.11

x To study the management architecture

UNIT 1 WIRELESS NETWORKS INTRODUCTION 12 Hrs.

IEEE 802- Wireless LANs- A brief history of 802.11- RF spectrum- Radio waves- Direct path-

Absorption-Reflection- Diffraction- Refraction- scattering- Multipath- Radio frequency regulations- spectrum

Management- IEEE 802 network technology family tree- 802.11 nomenclature and design- 802.11 Network

operations- Mobility support.

UNIT 2 802.11 MAC 12 Hrs.

Challenges for the MAC- MAC access mode and timing- Contention based access using DCF- Fragmentation and

reassembly- Frame format- encapsulation of higher layer protocols within 802.11- Contention based data service

UNIT 3 FRAMING AND WEP 12 Hrs.

802.11 framing in detail- Data frames- Control frames- Management frames- Frame transmission, Association

and authentication- Wired Equivalent Privacy(WEP)- Cryptographic Background to WEP- WEP Cryptographic

Operations- Problems with WEP- The Extensible Authentication Protocol- 802.1x: Network Port

Authentication-802.1x on Wireless LANs

UNIT 4 MANAGEMENT OPERATIONS 12 Hrs.

Management Architecture- Scanning - Authentication- Association- Power Conservation- Timer

Synchronization- Contention-Free Access Using the PCF- Detailed PCF Framing- Power Management and the PCF

UNIT 5 PHYSICAL LAYER AND NETWORK DEPLOYMENT 12 Hrs.

Physical-Layer Architecture-The Radio Link- RF and 802.11- 802.11 FH PHY- 802.11 DS PHY- 802.1 1b: HR/DSSS

PHY- 802.1 1a: 5-GHz OFDM PHY- Orthogonal Frequency Division Multiplexing (OFDM)- OFDM as Applied by 802.1

1a- OFDM PLCP- OFDM PMD- Characteristics of the OFDM PHY; 802.11 Network deployment topology- Project

planning- The site survey- Installation and the final roll-out.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Matthew Gast , 802.1 1® Wireless Networks: The Definitive Guide, O’Reilly. 2002.

2. Alan Holt, Chi-Yu Huang, 802.1 1Wireless Networks, Springer 2010.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 37: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 34 REGULATIONS 2015

SEC5133

SATELLITE COMMUNICATIONS AND

SYSTEMS L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To determine the orbital parameters of a satellite and to understand link budget equations to provide sufficient

margin for performance

x To determine the type and dimensions of antennas for use on satellites and at earth stations

x To design satellite communication systems using GEO or LEO satellites to carry voice, video, or data signals using

analog or digital modulation

UNIT 1 SPACE SEGMENT 12 Hrs.

Orbital dynamics- Orbit design- Constellation design- Continuous single and multiple satellite

coverage-Description of communication satellites- structural design- attitude and orbit (station-keeping) control

systems-Telemetry, command and ranging (TCR)- The apogee motor- Antenna subsystem- Transponders- on-board

processing- Regenerative transponders- Digital transponders- Inter satellite links.

UNIT 2 LAUNCH SYSTEMS AND EARTH STATIONS 12 Hrs.

Launcher considerations- Types of launch systems-Geostationary orbit (GSO)- Non-geostationary orbits

(non-GSO)- Launcher selection- Current and future launch systems-Earth Station- Configuration, block diagrams and

main functions. The antenna system- Low noise amplifiers (LNAs)- Power amplifiers (PAs or HPAs: high power

amplifiers)-Telecommunication equipment- Multiplex/de multiplex equipment, Main Earth station- small Earth

station-Transportable and portable earth stations- Issues and challenges in the design of Earth stations.

UNIT 3 SATELLITE LINKS, MULTIPLE ACCESS METHODS AND FREQUENCY BANDS 12 Hrs.

Design of the Satellite Link- Meaning and Use of the Decibel- Link Budgets and Their Interpretation-Link

Budget Example- Downlink Budget- Uplink Budget- Overall Link- Additional Sources of Noise and Interference,

Frequency Division Multiple Access- Time Division Multiple Access and ALOHA- Code Division Multiple Access,

Frequency Band Trade-Offs- Ultra High Frequency- L-Band, S-Band, C-Band, X-Band, Ku-Band, Ka-Band, Q- and V -

Bands

UNIT 4 INTERCONNECTION OF SATELLITE NETWORKS WITH TERRESTRIAL NETWORKS 12 Hrs.

Interconnection of telephony networks- General interfacing aspects- Digital networks interfacing

aspects-Earth station multiplex equipment- DCME interfaces- Interconnection with user data terminating

equipments-Interconnection with data networks- Interconnection with ATM networks- Effect of satellite

transmission on ATM-Role of satellite transmissions in ATM networks- Internet traffic over satellite ATM- Video and

multimedia applications- Satellite ATM switching system demonstration.

UNIT 5 SATELLITE SYSTEMS AND SERVICES 12 Hrs.

Satellite television- cable TV- Digital video compression (DVC) - Spatial compression- temporal

compression-DVC Standards- MPEG1, MPEG2, MPEG4, MPEG Audio- Digital video Broadcast (DVB)- DVB-S,

Conditional Access System

Direct to Home(DTH) systems- DTH Architecture- Differences among DTH Systems, VSAT Networks-Protocols

Supported by VSAT Networks- Point-to-Point Connectivity- Applications of Star Networks- VSAT, Network Architecture-

Video Teleconferencing- VSAT Access Protocols- Comparison of Access Protocol Performance, Mobile satellite

services(MSS)- MSS Link Design- GEO MSS System- Non GEO MSS Systems- IRIDIUM , Globalstar

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Handbook on Satellite Communications (HSC) by Study group 4 of ITU-R Revised 3rd edition

2. Bruce R. Elbert, The satellite communication Application Handbook by Artech house 2nd edition 2004

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 38: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 35 REGULATIONS 2015

SEC5134

MULTIRATE SIGNAL PROCESSING FOR

COMMUNICATION SYSTEMS L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce the fundamentals of multirate systems

x To learn the main design and analysis techniques of advanced types of digital filters x

To understand multirate estimation techniques

UNIT 1 FUNDAMENTALS OF MULTIRATE SYSTEMS 12 Hrs.

Introduction- Basic Multirate operations- Decimations and Interpolations- M fold decimator and L hold

expander- Transform domain analysis of decimators and interpolators- Decimation and interpolation filters- Fractional

sampling rate alteration- The physical time scale.

UNIT 2 FILTERS IN MULTI RATE SYSTEMS 12 Hrs.

Spectral characteristics of decimators and interpolators- Filter specification for decimators and

interpolators-MATLAB function for filter design- Computation of aliasing characteristics- Sampling rate alteration for

Bandpass signals- FIR filter for sampling rate conversion- IIR filter for sampling rate conversion

UNIT 3 LTH BAND DIGITAL FILTERS AND COMPLIMENTARY FILTER PAIRS 12 Hrs.

Introduction- Lth-Band Linear Phase FIR Filters: Definitions and Properties- Poly phase Implementation of FIR

Lth-Band Filters- Separable Linear-Phase Lth-Band FIR Filters, Minimum-Phase and Maximum-Phase Transfer

Functions- Half band FIR Filters- Lth-Band IIR Filters- Half band IIR Filters- IIR Half band Filters with Approximately

Linear Phase- Definitions of Complementary Digital Filter Pairs- Constructing High pass FIR and IIR Filters.- Analysis

and Synthesis Filter Pairs- FIR Complementary Filter Pairs- IIR Complementary Filter Pairs

UNIT 4 PERFECT RECONSTRUCTION (PR) FILTER BANKS 12 Hrs.

PR systems- Alias free filter banks- Tree structured filter banks- Transmultiplexers- Para unitary PR filter

banks- Filter bank property induced by paraunitariness- Two channel FIR para unitary QMF filter bank- M channel FIR

paraunitary filter banks

UNIT 5 ESTIMATION TECHNIQUES 12 Hrs.

Multirate Spectrum estimation- The Maximum Entropy principle- A geometric interpretation- Properties of the

Maximum Entropy solution- Uniqueness- Existence- Stability- Computing the Maximum Entropy solution- Simulated

examples

Multi rate signal estimation- Stochastic least square estimation- Estimator Matrix- Simulated examples- Multi

rate least square estimation in practice, Multirate time delay estimation technique

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. P.P. Vaidyanathan, Multirate Systems and Filter Banks, Prentice hall 1993

2. Ljiljana Milic, Multirate Filtering for Digital Signal Processing: MATLAB Applications, Information Science Reference, Newyork,

2009

3. Omid S. Jahromi, Multirate Statistical Signal Processing, Springer 2007

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 39: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 36 REGULATIONS 2015

SEC5135

MULTIMEDIA COMMUNICATIONS AND

INTERNETWORKING L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To describe different coding, compression and the way in which they are used x

To build knowledge of multimedia standards

x To learn digital radio broadcasting

UNIT 1 CODING AND COMPRESSION 12 Hrs.

Nature of the signal- Sampling- Constructing a signal out of components- lossless data compression- Run

length compression- Huffmann compression- Dictionary approaches to compression- Audio compression- Still image

compression- Moving image compression- Multiplexing and synchronizing.

UNIT 2 MULTIMEDIA STANDARDIZATION 12 Hrs.

Standards to build a new global information Infrastructure (GII)- ITU strategies- ISO/IEC JTCI – IETF Standards-

ETSI Standardization project for application- Mediacom 2004- Framework for Multimedia Communication- MPEG 21

Multimedia framework

UNIT 3 APPLICATION LAYER 12 Hrs.

Multimedia services and systems- Integrated Broadband cable network- Interactive in

Broadcasting-Interactive Multimedia- MPEG Applications- Multimedia PC, MPEG 1 system- Digital TV and Storage

media, MPEG 2 System- MPEG 4 system- synthetic video and synthetic audio- MPEG & tools

UNIT 4 DIGITAL BROADCASTING 12 Hrs.

Mobile telecommunication- Broadcasting- application scenario- Digital radio Broadcasting- Audio

coding-Embedded and multistream audio coding- IOBC AM System- IOBC FM System- DVB Interoperability- DVB2-

DVB ATSC Systems- ATSC Digital television- DVB_RCS- DVB_RCT- Video On Demand broadcast.

UNIT 5 MULTIMEDIA TRANSPORT PROTOCOLS 12 Hrs.

TCP Adaptation algorithm- RTP- Synchronization- Reliable multicast transport- IETF protocols fort setting up

sessions- SDP- SAP- SIP- Conference control- MMCC centralized internet model- CCCP Distributed internet

model-Multimedia conferencing requirements- CCC- CCCP Messages- Distributed Virtual Reality(DVR)- DVR Multicast

Protocol

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Jon Crowcraft, “ Internetworking Multimedia” UCL press 1998

2. K.R.Rao and Zoran S, “ Introduction to Multimedia communication”,Wiley International 2006

3. Rahuk Banarjee, “ Intenetworking technologies- An engineering perspective”,PHI 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 40: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 37 REGULATIONS 2015

SEC5136 RF AND OPTICAL COMMUNICATIONS L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the fundamentals of RF propagation, antenna and link design

x To provide an enhancement of technical knowledge about microwave networks design x

To learn optical communication and multichannel systems

UNIT 1 RF PROPAGATION & ANTENNA FUNDAMENTALS 12 Hrs.

Radio Frequency band designation- Modes of propagation- LOS Propagation and Radio horizon- Non LOS

propagation- Propagation effects as a function of frequency- Electromagnetic waves- EM waves in conductors- Wave

polarization- EM wave propagation at material boundaries-Propagation impairments- Ground effects on circular

polarization

Antenna propagation- Gain- Effective area- Radiation pattern- polarization-Impedance and VSWR- far

field-radiating near field- reactive near field- antenna polarization- polarization loss factor- Antenna pointing loss

UNIT 2 MICROWAVE COMMUNICATION & LINK DESIGN 12 Hrs.

Digital microwave point to point system- Microwave radio terminal- Microwave Link- Radio link with

repeater-Frenzal zone and clearance rules- Link budget- Radio path link budget- Over the horizon microwave system-

Point to multi point system

Microwave link design- Design process flow chart- propagation losses- Free path loss- vegetation

attenuation-Gas absorption- Attenuation due to precipitation- obstacle loss- Multi path fading- flat fading- frequency

selective fading- Rain fading- Composite fading margin- Outages and availability

UNIT 3 MICROWAVE NETWORKS DESIGN & DEPLOYMENT 12 Hrs.

Availability of spectrum- Intersystem and Intra system frequency coordination- Spectrum sweep- Interference

path- Minimising near and far interference- Frequency planning- Frequency planning for different network topologies

Microwave network deployment activities- Basic microwave parameters- Radio performance improvement-Microwave

link protection- Adoptive equalizers- Forward Error correction- XPIC- ATPC- Digital Multiplexers- Cabling and signal

termination- Microwave antenna selection- Antenna installation and alignment- Grounding. Lightning and surge

protection.

UNIT 4 OPTICAL COMMUNICATION 12 Hrs.

Optical fiber as a communication channel- step index fiber – Graded index fiber- Wave propagation in

fibers-Fiber modes- single mode fibers- Dispersion in single mode fiber- Dispersion induced limitations- Fiber

losses-Attenuation coefficient- Material absorption- Rayleigh scattering- Material imperfections- Non linear optical

effects-Stimulated light scattering- Non linear phase modulation

UNIT 5 TRANSMITTERS, RECEIVERS AND MULTICHANNEL SYSTEMS 12 Hrs.

Optical transmitters- LED- ILD- Transmitter Design- Optical receivers- APD- MSM Photo diode- receiver design-

Receiver noise- receiver sensitivity- Sensitivity degradation- receiver performance- Multi channel system-Multipath

access WDM networks- WDM Components- System performance issues.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. John S. Saybold, Introduction to RF Propagation. Wiley Interscience 2005

2. Harway Lehpamer, Microwave transmission Networks, second Edition, 2010

3. Govind P Agarwal, Fiber Optic Communication Systems, Third Edition 2002

4. Sopocles J.Orfanidis, Optimum Signal Processing, McGraw Hill, 2000

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 41: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 38 REGULATIONS 2015

SEC5137 ADVANCED RF SYSTEM DESIGN L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the issues in RF design

x To learn the fundamental concepts in designing amplifiers, oscillators, mixers, receivers and antenna

UNIT 1 RF DESIGN ISSUES 12 Hrs.

Electromagnetic spectrum- Importance of RF design -Design and performance issues - Wireless system and

markets- wireless system components-, RF behaviour of passive components, chip-Components and circuit board

considerations, scattering parameters, smith chart and applications

UNIT 2 RF AMPLIFIER DESIGN 12 Hrs.

Bilateral RF amplifier design for maximum small-signal gain- amplifier design for maximum gain, GMAX -

Multistage amplifiers - Cascading impedance-matched stages - Cascading amplifiers by direct impedance matching -

Output power and impedance match considerations of cascaded amplifiers- Stability considerations-operating gain

design for maximum -linear power output - Output match considerations - Noise in RF circuits- Review of noise

sources in RF systems- Two-port noise parameter definitions - Available gain design technique- Available gain design

outline Low-noise amplifier design considerations- design of a single-ended LNA- Balanced amplifiers- design of a

balanced LNA for the RF frequency range.

UNIT 3 RF OSCILLATORS AND MIXERS DESIGN 12 Hrs.

Principles of oscillator design- Two-port oscillator design approach - One-port oscillator design

approach-Transistor oscillator configurations - Characterizing oscillator phase noise- Oscillator design examples

-145.455-MHz Colpitts crystal oscillator design - Design of a 3.7- to 4.2-GHz voltage-controlled oscillator-Mixers and

frequency multipliers - Mixer overview and their applications in systems-Diode mixers and their topologies-

Single-ended mixer - Single-balanced mixer- Double-balanced mixer- The image problem in mixers - Harmonic

components in mixers - Transistor mixer design- Active transistor mixers- Resistive FET mixers - Dual-gate FET mixers

UNIT 4 RF AND MICROWAVE ANTENNAS DESIGN 12 Hrs.

Antenna noise temperature-Background and brightness temperature -Radiation from surface current and line

current distribution, Basic Antenna parameters, Feeding structure-Design Calculations of Rectangular Patch

Antenna, circular patch Antenna-Microstrip antenna arrays-Fractal antennas-Smart Antennas-Beamforming

Networks-Design of Butler Matrix and Nolen matrix

UNIT 5 RF RECEIVER DESIGN 12 Hrs.

Receiver Architectures-Dynamic range-Frequency conversion and filtering-Examples of practical receivers-FM

broadcast receiver-digital cellular receiver-millimetre wave point to point radio receiver-direct conversion GSM

receiver Software-defined radio- RF digital processing -Digital processing of a wideband IF - Digital processing at

baseband (direct conversion) - Transceiver issues associated with software-defined radio -

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Reinhold Ludwig and Powel Bretchko, RF Circuit Design – Theory and Applications, Pearson Education Asia, First Edition, 2001.

2. Rowan Gilmore,Les Besser ,”Practical RF Circuit Design for Modern Wireless Systems -Active Circuits and Systems”, Volume

II,Artech House,Boston , London

3. David M pozar,Microwave and RF design of wireless systems, John Wiley and sons,inc,2003

4. Mathew M. Radmanesh, Radio Frequency & Microwave Electronics, Pearson Education Asia, Second Edition, 2002.

5. Kraus.J.D, Marhefka.R.J. Khan.A.S. “Antennas for all applications, “III edition, Tata McGraw Hill, 2006.

6. Balanis. A, “Antenna theory Analysis and Design “- John Wiley and Sons, New York, Third Edition, 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 42: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 39 REGULATIONS 2015

SEC5201 RF MEMS AND ITS APPLICATIONS L T P Credits Total Marks

(For AE) 4 0 0 4 100

COURSE OBJECTIVES

x To introduce Micro fabrications techniques

x To understanding of the basics of RF networks

x To deal with the issues of MEMS and fabrication techniques

x To instill knowledge on the properties of various lithography methods

x To understand the design issues in RFMEMS components and its applications

UNIT 1 MEMS AND FABRICATION TECHNIQUES 12 Hrs.

Micro fabrications for MEMS -Surface micromachining of silicon -Wafer bonding for MEMS-LIGA

process-Electromechanical transducers-Piezoelectric transducers - Electrostrictive transducers –Magnetostrictive

transducers –Electrostatic actuators-Electromagnetic transducers - Electrodynamics transducers- Electro thermal

actuators.

UNIT 2 MICRO SENSING 12 Hrs.

Piezoresistive sensing - Capacitive sensing - Piezoelectric sensing - Resonant sensing - Surface acoustic wave

sensors. Semiconductors : Electrical and chemical properties-Growth and deposition, Thin films for MEMS and their

deposition techniques -Oxide film formation by thermal oxidation -Deposition of silicon dioxide and silicon nitride -

Polysilicon film deposition -Ferroelectric thin films.

UNIT 3 MICRO STEREO LITHOGRAPHY 12 Hrs.

Materials for polymer MEMS: Classification of polymers -UV radiation curing –SU-8 for polymer MEMS.

Microstereolithography for polymer MEMS –Scanning method - Two-photon Microstereolithography Surface

micromachining of polymer MEMS -Projection method -Polymeric MEMS architecture with silicon, metal and ceramics.

UNIT 4 MEMS INDUCTORS AND CAPACITORS 12 Hrs.

MEMS inductors : Self-inductance and mutual inductance - Micromachined inductors - Effect of inductor layout -

Reduction of stray capacitance of planar inductors-Approaches for improving the quality factor -Folded inductors -

Modeling and design issues of planar inductors MEMS capacitors: MEMS gap-tuning capacitors - MEMS area-tuning

capacitors - Dielectric tunable capacitors.

UNIT 5 SWITCHES AND APPLICATIONS 12 Hrs.

Switch parameters- Basics of switching - Mechanical switches-Electronic switches- - Mechanical RF switches -

PIN diode RF switches- Electrostatic switching - Mercury contact switches -Magnetic switching- Electromagnetic

switching - Thermal switching. Dynamics of the switch operation: -Switching time and dynamic response - Threshold

voltage. MEMS switch design, modeling and evaluation. Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Vijay K.Varadan, Vinoy.K.J and Jose.K.A, “RF MEMS and Their Applications”, 1st edition, John Wiley & Sons Ltd., 2003

2. Gabriel M. Rebeiz, “RF MEMS: Theory, Design, and Technology”, , Wiley, 2003.

3. Hector J. De Los Santos, “Introduction to Microelectromechanical Microwave Systems”, Second Edition. Hector J. De Los

Santos, Artech House, 2004.

4. Gardner.J.W , Varadan .V.K., Awadelkarim.O, “Microsensors, MEMS & Smart Devices”, John Wiley Sons, 2001.

5. Maluf.N, “An Introduction to Microelectromechanical Systems Engineering”, Artech House.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 43: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 40 REGULATIONS 2015

SEC5202 SDR AND COGNITIVE RADIO L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To provide with a comprehensive knowledge of most technical aspects, operations and applications of SDR

x To offer a technical, practical and up-to-date treatment of the latest technologies, and system design

implementations

x To know more about smart radio for future

UNIT 1 INTRODUCTION TO SOFTWARE DEFINED RADIO 12 Hrs.

The Need for Software Defined Radios (SDR) - Definition, Characteristics and Benefits of a SDR- Architecture

evolution of SDR – Foundations, technology tradeoffs and architecture implications - Antenna for Cognitive Radio -

Design Principles of a Software Radio.

UNIT 2 FUNCTIONAL ARCHITECTURE OF SDR 12 Hrs.

Basics of SDR - Essential functions of SDR– Goals of architecture of SDR - Hardware and Software architecture

of SDR - Computational properties of processing resources- Top level component topology- Interface topologies

among plug and play modules - SDR as platform for cognitive radio.

UNIT 3 COGNITIVE RADIO 12 Hrs.

Introduction to Cognitive Radio - Motivation and Purpose - Marking radio self aware and cognitive techniques –

Organization of Cognitive tasks -Enabling location and environment awareness in cognitive radios- Design Challenges

associated with CR. - IEEE 802 Cognitive Radio related activities.

UNIT 4 FUNCTIONAL ARCHITECTURE OF COGNITIVE RADIO 12 Hrs.

Cognitive Radio Capabilities-Cognitive Transceiver architecture - Radio Resource Allocation for Cognitive

Radio - Spectrum Allocation in Cognitive Radio Networks -Spectrum Sensing – Spectrum Sharing – Spectrum Mobility

– Spectrum Management – Regulatory issues – Emerging Cognitive Radio Applications in Cellular Networks.

UNIT 5 SMART RADIO FOR FUTURE 12 Hrs.

Dynamic Spectrum Access- Cognitive Cycle concept- Technologies supporting the Cognitive Radio

concept-Spectrum Awareness- Radio Spectrum models- Spectrum measurement techniques – Concept and

architecture of TV White Spaces.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Andreas F. Molisch, “Wireless Communications”, 2nd Edition, John Wiley & Sons Ltd, 2011.

2. H. Venkataraman, G. Muntean (editores). Cognitive Radio and its Application for Next Generation Cellular and Wireless

Networks. 2013. Spriger, ISBN 978-94-007-1826-5.

3. Markus Dillinger, “Software Defined Radio: Architectures, Systems and Functions”, 2003.

4. Alexander M. Wyglinski, Maziar Nekovee, And Y. Thomas Hou, “ Cognitive Radio Communications And Networks - Principles

And Practice”, Elsevier Inc. , 2010.

5. Huseyin Arslan , “Cognitive Radio, Software Defined Radio and Adaptive wireless system,Springer, 1 edition ,September 24,

2007

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 44: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 41 REGULATIONS 2015

SEC5203 TESTING OF VLSI CIRCUITS L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the modeling and types of faults x

To learn the various test procedures

x To learn and understand the testing of memories

UNIT 1 INTRODUCTION 12 Hrs.

Validation and testing of manufactured circuits – Test procedures – Issues in design for Testability –

Observability and Controllability Adhoc Testing – Scan based Testing – Boundary scan Design – Design for Testability.

UNIT 2 FAILURES AND FAULTS 12 Hrs.

Modeling of faults - Stuck at faults- Fault diagnosis of Digital circuits. Test generation techniques for combinational

circuits – Boolean difference – D- Algorithm – Detection of multiple faults in combinational circuits.

UNIT 3 TESTING OF SEQUENTIAL CIRCUITS 12 Hrs.

Test generation for sequential circuits – State table verification - functional fault model – Equivalence

Checking- Level sensitive scan design – Clocked Hazard free latches – Arithmetic and Reed Muller Coefficients –

Software and Hardware Fault Tolerance.

UNIT 4 BUILT-IN SELF-TEST (BIST) 12 Hrs.

Test pattern generation for built in self test. Exhaustive pattern generation and deterministic testing – Output

response Analysis – Transition count syndrome checking Signature Analysis – Circular BIST-IDDQ testing, scan

synthesis.

UNIT 5 TESTABLE MEMORY DESIGN 12 Hrs.

RAM fault model – Test algorithm for RAMs. GALPAT – March Test – Detection of pattern sensitive faults built

in self test techniques for RAM chips. Self testable SRAM architecture. Test generation and BIST for Embedded RAMs.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Michael L.Bushnell & Vishwani. D.Aggarwal Kulwer “Essentials of Electronic testing for digital, memory and mixed signal VLSI

circuit”, Kluwer academic Publishers 2000.

2. Parag.K.lala “Digital circuit Testing and Testability”, Academic press-2007

3. Alfred L.Crouch “Design for test for Digital ICs and Embedded core systems“, Prentice Hall, 1999.

4. Giovanni De Michele “Synthesis and optimization of digital circuits”, Mcgraw Hill Higher Education, 1994.

5. Meloberti Franco "Analog design for CMOS VLSI systems", Kluwer Academic Publishers-2001

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 45: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 42 REGULATIONS 2015

SEC5204 EMBEDDED NETWORKING L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To expose the students to the fundamentals of embedded networking.

x To impart knowledge on different communication protocols used in embedded systems x

To enable the student to develop applications using USB and CAN bus

UNIT 1 EMBEDDED NETWORK REQUIREMENTS 12 Hrs.

Embedded networking – code requirements – Communication requirements – Introduction to CAN open –

CAN open standard – Object directory – Electronic Data Sheets & Device – Configuration files – Service Data

Objectives – Network management CAN open messages – Device profile encoder. Serial Peripheral Interface (SPI) –

Inter Integrated Circuits (I2C) – PC Parallel port programming -ISA/PCI Bus protocols – Firewire

UNIT 2 CAN CONFIGURATION 12 Hrs.

CAN open configuration – CAN Bus – Introduction - Frames –Bit stuffing –Types of errors –Nominal Bit Timing

Evaluating system requirements choosing devices and tools – Configuring single devices – Overall network

configuration – Network simulation – Network Commissioning – Advanced features and testing.

UNIT 3 CONTROLLER AREA NETWORK 12 Hrs.

Controller Area Network – Underlying Technology CAN Overview – Selecting a CAN Controller – CAN

development tools- Using the internet in local and internet communications – Inside the Internet protocol.

UNIT 4 MICRO CAN 12 Hrs.

Implementing CAN open Communication layout and requirements – Comparison of implementation methods –

Micro CAN open – CAN open source code – Conformance test – Entire design life cycle. MICRO CAN-Implementing

CAN open Communication layout and requirements – Comparison of implementation methods – Micro CAN open –

CAN open source code – Conformance test – Entire design life cycle.

UNIT 5 IMPLEMENTATION 12 Hrs.

Implementation issues – Physical layer – Data types – Object dictionary – Wireless sensor networks –

Introduction – Applications – Network Topology – Localization -Communication object identifiers –Emerging objects –

Node states.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. GlafP.Feiffer, Andrew Ayre and Christian Keyold“ Embedded Networking with CAN and CAN Open” Embedded System

Academy,1st edition, 2008.

2., Mohammad Farsi, Manuel Bernardo Barbosa, “CANopen: Implementation Made Simple”, Research Studies Press,1999.

3. Konrad Etschberger, “Controller area network : basics, protocols, chips and applications”, IXXAT Press,1st edition, 2001.

4. Wolfhard Lawrenz,“ CAN System Engineering: From Theory to Practical Applications”, Springer, 1st edition,1997.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 46: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 43 REGULATIONS 2015

SEC5205 DSP BASED SYSTEM DESIGN L T P Credits Total Marks

(For PEID) 4 0 0 4 100

COURSE OBJECTIVES

x To study the architectural details and assembly language programming concept for TMS320C24X DSP controller. x

To study the PWM waveform generation concept using event manager block in TMS320C24X . x To study peripheral

and communication interfacing for developing a DSP based system.

UNIT 1 INTRODUCTION 12 Hrs.

TMS320 Family overview - The 320C24X Series of DSP Controllers - Architecture overview - C24X CPU Internal

Bus structure - Memory - CALU – ARALU - Program control - Address modes - System configuration and interrupts-

Clocks and low power modes - Digital Input/Output (I/O).

UNIT 2 INSTRUCTION SET 12 Hrs.

Assembly Language Instruction - Instruction Set Summary - Instruction description - Accumulator, arithmetic

and logic instruction - Auxiliary Register and Data page Pointer instructions - TREG, PREG and Multiply Instruction -

Branch Instructions - Control Instructions - I/O and Memory instruction.

UNIT 3 EVENT MANAGER AND PWM GENERATION CIRCUITS 12 Hrs.

Event manager (EV) functional blocks - General purpose timers - Compare units - PWM circuits associated

with compare unit - PWM waveform generation with compare units and PWM circuits - Space vector PWM

UNIT 4 PERIPHERAL UNITS AND INTERRUPT STRUCTURE 12 Hrs.

Capture unit - Quadrature Encoder pulse circuit - Event manager (EV) Interrupts - ON-Chip ADC – Overview -

ADC clock - Pre-scalar – Calibration - Register Bit Description – Interrupt structure of 320C2407.

UNIT 5 SYSTEM DESIGN 12 Hrs.

Serial peripheral communication (SPI) SPI operation - SPI interrupts- CAN controller module - Overview of the

CAN network - DSP-Based Implementation of DC-DC Buck-Boost Converters, DSP-Based Control of Stepper Motors.

DSP Based system Design using PMDC.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Hamid A. Toliyat, Steven G.Campbell, “DSP- based Electromechanical Motion Control”, CRC Press-2005.

2. Texas Instruments Inc, “Code Compare Studio white paper”, www.ti.com, Texas Instruments Literature number SPRA 520.

3. Texas Instruments Inc, “TMS320LF / L1240XA DSP controller reference Guide- System and peripheral”, www.ti.com, Texas

Instruments Literature SPRU357A.

4. Texas Instruments Inc, “TMS320LF / L1240XA DSP controller reference Guide- CPU and Instruction set”, www.ti.com, Texas

Instruments Literature SPRU357A.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 47: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 44 REGULATIONS 2015

SEC5206 NANO POLYMERS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Study the Different Types of Polymers and its characteristics

x To learn the fabrication and manufacturing methods of Nanocomposite polymers. x

To Understand the Recycling process and usage of Polymers

UNIT 1 NANOCOMPOSITES MATERIALS TECHNOLOGY OVERVIEW 12 Hrs.

Basic definitions and types of composites and matrix materials – hybrid nanocomposites-type and shape of

various nanoparticles such as nanoclays, carbon Nanofibers, carbon nanotubes, silica and alumina - different

polymers such as thermoplastic, thermoset and elastomer - characterization of nanocomposite dispersibility –

polymer nanocomposite distinctive features (electrical, thermal and mechanical). UNIT 2 NANOCOMPOSITES FABRICATION AND MANUFACTURING 12 Hrs.

Nanoparticle/Polymer Composite Processing, Direct Mixing ,Solution Mixing ,In-Situ Polymerization ,In-Situ

Particle Processing Ceramic/Polymer Composites ,In-Situ Particle Processing Metal/Polymer Nanocomposites,

Polymer Matrix-Transformation of polymers into polymer nano-composites- Types of Montmorillonite Clay fillers – CNT

fillers – intercalated and exfoliated - Weight ratios-Polymer Nanocomposites fabrication – Extrusion Process – Single

and Twin screw extruders –Master batching.

UNIT 3 COATINGS, PROPERTIES &TEST METHODS FOR COMPOSITE MATERIALS 12 Hrs.

Polymer Coatings , Inorganic Coatings , Properties of Composites , Mechanical Properties, Extruders – ASTM

specimen standards - Specimen selection and preparation procedures-Tensile, compressive, flexure, shear and

fracture toughness tests, Volume resistivity, Surface resistivity, Permittivity, Dielectric strength, arc resistant, Thermal

Gravimetric analysis (TGA),Heat deflection temperature (HDT).

UNIT 4 POLYMER BASED AND POLYMER FILLED NANO COMPOSITE 12 Hrs.

Nanoscale Fillers Nano-fiber or Nanotube Fillers Carbon Nanotubes Nanotube Processing Purity Other

Nanotubes Plate-like Nano-fillers Equi-axed Nanoparticle Fillers Inorganic Filler Polymer Interfaces Processing of

Polymer Nano composites Nanotube/Polymer Composites Layered Filler Polymer Composite Processing Polyamide

Matrices Polyimide Matrices, Polypropylene and Polyethylene Matrices, Liquid-Crystal Matrices,

Polymethylmethacrylate/ Polystyrene Matrices, Epoxy and Polyurethane Matrices Polyelectrolyte Matrices Rubber

Matrices

UNIT 5 RECYCLING AND APPLICATIONS OF NANOCOMPOSITES 12 Hrs.

Recyling Process- Flowchart-Properties and property changes over virgin material- Contaminants-Role of

Contaminants in property change. Electrical, Electronic, Automotive and Aerospace applications of Nanocomposites

with examples. Use of Recycled polymers and Metro Solid Waste (MSW) –Nanocomposites – Optical, structural

applications – Nanoparticulate systems with organic matrices – Applications – Biodegradable protein

nanocomposites – Applications Polypropylene nanocomposites – Application as exterior automatic components –

Hybrid Nanocomposite materials – Application for corrosion protection Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Ajayan P.M., Schadler L.S., Braun P.V. "Nanocomposites Science and Technology", Wiley- VCH, 2003.

2. Klaus Friedrich, Stoyko Fakivov, Zhony Shang, Polymer Composites from Nano – to Macro – scale, Springer, USA, 2005

3. Riichiro Saito, Gene Dresslhaus, and Dresselhaus M.S., "Physical Properties of Carbon Nanotubes", Imperial College

Press, 1999.

4. Ray Smith, Biodegradable polymers for Industrial Applications, CRC Press, 2005

5. Yiu-Wing Mai and Zhong-Zhen Yu. Polymer nano composites CRC Press Boca Raton

END SEMESTER EXAM QUESTION PAPER PATTERN :

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 48: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 45 REGULATIONS 2015

SEC5207

ALGORITHMS AND ARCHITECTURE FOR

SIGNAL PROCESSING ICs L T P Credits Total Marks

(For VLSI, E&C, AE & CS) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic building blocks of a digital signal processor

x To learn the different processors in Texas family

x To implement the basic algorithms in signal processing

x To understand the methods of interfacing the memory devices

x To learn the interfacing of DSP processors with serial ports

UNIT 1 ARCHITECTURES FOR DIGITAL SIGNAL-PROCESSORS 12 Hrs.

Introduction, Basic Architectural Features, DSP Computational Building Blocks, Bus Architecture and Memory,

Data Addressing Capabilities, Address Generation Unit, Programmability and Program Execution, Features for External

Interfacing.

UNIT 2 PROGRAMMABLE DIGITAL SIGNAL PROCESSORS 12 Hrs.

Introduction, Commercial digital Signal-processing Devices, Data Addressing Modes of TMS32OC54xx.,

Memory Space of TMS32OC54xx Processors, Program Control, Detail Study of TMS320C54X & 54xx Instructions and

Programming, On-Chip peripherals, Interrupts of TMS32OC54XX Processors, Pipeline Operation of TMS32OC54xx

Processor.

UNIT 3 IMPLEMENTATION OF BASIC DSP ALGORITHMS 12 Hrs.

Introduction, The Q-notation, FIR Filters, IIR Filters, Interpolation and Decimation Filters (one example in each

case). An FFT Algorithm for DFT Computation, Overflow and Scaling, Bit-Reversed Index Generation &

Implementation on the TMS32OC54xx.

UNIT 4 INTERFACING MEMORY AND PARALLEL I/O PERIPHERALS TO DSP DEVICES 12 Hrs.

Introduction, Memory Space Organization, External Bus Interfacing Signals. Memory Interface, Parallel I/O

Interface, Programmed I/O, Interrupts and I / O Direct Memory Access (DMA).

UNIT 5 INTERFACING AND APPLICATIONS OF DSP PROCESSOR 12 Hrs.

Introduction, Synchronous Serial Interface, A CODEC Interface Circuit. DSP Based Bio-telemetry Receiver, A

Speech Processing System, An Image Processing System,Vetterbi Decoder, Nanospa architecture.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Avatar Singh and S. Srinivasan, Digital Signal Processing, Thomson Learning, 2004

2. Ifeachor E. C., Jervis B. W, Digital Signal Processing: A practical approach, Pearson-Education, PHI/ 2002

3. B Venkataramani and M Bhaskar Digital Signal Processors, TMH, 2002

4. Peter Pirsch, Architectures for Digital Signal Processin, John Weily, 2007

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 49: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 46 REGULATIONS 2015

SEE5101

ANALYSIS OF RECTIFIERS AND

CHOPPERS L T P Credits Total Marks

(for PEID)

4 0 0 4 100

COURSE OBJECTIVE

x To analyze and comprehend the various operating modes of different configurations of power converters namely AC to DC, DC to DC and AC to

AC converters.

UNIT 1 SINGLE PHASE RECTIFIERS 12 Hrs.

Introduction – Principle of phase controlled converter operation – Single phase full converter with R, RL and RLE loads – Effect of

Freewheeling diodes – Effect of source inductance – Single phase semi converter – Single phase dual converter – Single phase series converter.

UNIT 2 THREE PHASE RECTIFIERS 12 Hrs.

Three phase half wave converter – Three phase semi converter – Three phase full converter with RL loads. – Effect of source and load

inductance - Three phase dual converter – Power factor improvements

UNIT 3 DC CHOPPERS 12 Hrs.

Introduction – Principles of step-down operation, step-down chopper with RL load – Principle of step-up operation with resistive load –

Converter classification – Switching m o d e regulators – Analysis of buck, boost, buck boost and CUK converter – Comparison of regulators –

Multi output boost converter.

UNIT 4 AC CHOPPERS 12 Hrs.

Introduction – Principle of ON-OFF control – Principle of phase control – Single phase bidirectional controllers with resistive loads – Single

phase controllers with Inductive loads – Three phase half wave and full wave controllers – Three phase bi-directional delta connected controllers. AC

voltage controllers with PWM control

UNIT 5 CYCLOCONVERTER AND SPECIAL CONVERTERS 12 Hrs.

Cycloconverters – Single phase step up and step down cycloconveter – Single phase to three phase cycloconverter – Three phase to single

phase cycloconverter - Reduction of output Harmonics - Introduction to Matrix converter.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rashid M.H, “Power Electronics Circuits, Devices & Applications”, Pearson Education, 2013.

2. Robert Erickson, “Fundamental of Power Electronics” , Kluwer Academic Publishers, 6th printing 2004.

3. P.S.Bimbra, “ Power Electronics”, Khanna Publishers, 5th Edition, 2014.

4. Mohan .N, Undeland & Robbins, “Power Electronics Converters, Application & Design”, John Wiley & Sons, Inc, 3rd edition, repr int 2009 .

5. P.C Sen, "Modern Power Electronics”, S.Chand Ltd., 2005 .

6. M.D. Singh & K.B. Khanchandani, “Power Electronics”, Tata Mc Graw Hill Publishing Company Limited, 2nd edition, 3rd reprint 2 00 8 .

7. M.S.Jamil Asghar, “Power Electronics” , PHI Learning Private Ltd, 2004, (3rd pinting 2004) .

8. V.R.Moorthi, “Power Electronics Drives, Circuits, and Industrial Applications,” Oxford University press, First published in I ndia 2 0 05 .

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 50: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 47 REGULATIONS 2015

SEE5102 ANALYSIS OF INVERTERS L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES

x Ability to analyse and comprehend the various operating modes of different configurations of power converters x Ability to design different

single phase and three phase inverters.

UNIT 1 SINGLE PHASE INVERTER 12 Hrs.

Introduction – Principle of operation – Performance parameters – Single phase half bridge Inverters – Single phase full bridge Inverter –

Single phase Series Inverter – Single phase parallel Inverter - Modified McMurray Inverter – McMurray Bedford half bridge and full Inverter

Voltage control of single phase Inverters

UNIT 2 VOLTAGE SOURCE AND CURRENT SOURCE INVERTER 12 Hrs.

Three phase bridge Inverter with 180º and 120º m o d e of operation – Voltage control of three phase Inverters - Analysis of single phase

and three phase auto sequential current source Inverter - Current source bridge Inverter– Harmonic Elimination Techniques.

UNIT 3 Z-SOURCEINVERTER 12 Hrs.

Comparison with VSI and CSI-Equivalent circuit and operation –Circuit analysis and calculation. Introduction to Quasi Z- source

inverter-basic topology-Extended boost quasi Z- source inverter topologies

UNIT 4 RESONANT PULSE INVERTERS 12 Hrs.

Introduction – Series resonant Inverters with unidirectional and Bidirectional switches – Parallel resonant Inverters– Class E resonant

Inverter - Zero current switching resonant converter – Zero voltage switching resonant converter– Two quadrant ZVS resonant converter –

Resonant DC link Inverter.

UNIT 5 MULTILEVEL INVERTER 12 Hrs.

Multilevel concept – Diode clamped – Flying capacitor – Cascade type multilevel Inverters - Comparison of multi-level Inverters - Application

of multilevel Inverters

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rashid M.H, ‘Power Electronics – Circuits, Devices & Applications”, Pearson Education, 2013 .

2. P.S.Bimbra, "Power Electronics”, Khanna Publishers, 5th Edition, 2014 .

3. Fang Lin luo, Hong Ye, “Advanced DC/AC Inverters:Applications in Renewable Enegy” CRC press, Tayl or and Francis Group, 2013.

4. Mohan .N, Undeland & Robbins, “Power Electronics – Converters, Application & Design”, John Wiley & Sons, Inc, 2nd Edition,Newyork, 2001 .

5. P.C Sen, "Modern Power Electronics", S.Chand Ltd., 2005.

6. Rashid M.H., “Hand book on Power Electronics”, Nihar Kularatna, Newnes, 1998 .

7. M.D. Singh & K.B. Khanchandani, “Power Electronics”, Tata Mc Graw Hill Publishing Company Limited, 2nd edition, 3rd reprint 2 00 8 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs..

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 51: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 48 REGULATIONS 2015

SEE5103

ADVANCED POWER SEMICONDUCTOR

DEVICES L T P Credits Total Marks

(for PEID)

4 0 0 4 100

COURSE OBJECTIVES

x To study the characteristics of advanced power semiconducting switches.

x To analyse the on-state and switching losses involved in the operation of power semiconducting switches. x To study the firing and

protection circuits of advanced power semiconducting switches.

UNIT 1 INTRODUCTION 12 Hrs.

Power switching devices overview – Attributes of an ideal switch, application requirements, circuit symbols; Power handling

capability – (SOA); Device selection strategy – On-state and switching losses – EMI due to switching –Power diodes - Types, forward and

reverse characteristics, switching characteristics – Rating.

UNIT 2 CURRENT CONTROLLED DEVICES 12 Hrs. BJT’s – Construction, static characteristics, switching characteristics; Negative temperature co-efficient and secondary breakdown; Power

Darlington – Thyristors – Physical and electrical principle underlying operating m o d e , Two transistor analogy – concept of latching; Gate and

switching characteristics; converter grade and inverter grade and other types; series and parallel operation; comparison of B JT and Thyristor –

Steady state and dynamic models of BJT & Thyristor.

UNIT 3 VOLTAGE CONTROLLED DEVICES 12 Hrs. Power MOSFETs and IGBTs – Principle of voltage controlled devices, construction, types, static and switching characteristics, steady

state and dynamic models of MOSFET and IGBTs – Basics of GTO, MCT, FCT, RCT and IGCT.

UNIT 4 FIRING AND PROTECTING CIRCUITS 12 Hrs.

Necessity of isolation, pulse transformer, opto-coupler – Gate drive circuit: SCR, MOSFET, IGBTs and base driving for power BJT. - Over

voltage, over current and gate protections; Design of snubbers.

UNIT 5 THERMAL PROTECTION 12 Hrs. Heat transfer – conduction, convection and radiation; Cooling – Liquid cooling, vapour – Phase cooling; Thermal modelling of power

switching devices: Thermal equivalent circuit, Coupling of electrical & thermal components, heat sink types and design – Mounting types.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Mohan .N, Undeland and Robbins, “Power Electronics – Converters, Application & Design”, John Wiley & Sons, Inc, 3 rd Edition, Newyork, Reprint

2009.

2. S i mo n M.Sze & Kwok K.Ng, “Physics of Semiconductor Devices”, A Wiley Inter science Publications, John Wiley and sons, New Jersey 3r d

edition, 2007 .

3. Rashid M.H., “Power Electronics Circuits, Devices and Applications ", Pearson Education, 2013 .

4. M.D.Singh and K.B. Khanchandani, “Power Electronics”, Tata McGraw Hill companies, Electrical and Electronics Engineering Seri es, 2nd Edition,

3rd reprint 2008.

5. Jayant Baliga, “Advanced Power Rectifier Concepts”, Springer 2008.

6. Jayant Baliga, “Advanced High Voltage Power Devices Concepts”, Springer 20 1 0 .

7. Dieter K.Schroder, “Semiconductor Material and Device Characterization”, A John Wiley and Sons Inc Publication, New Jersey, 2006 .

8. Robert F.Pierret, “Semiconductor Device Fundamentals”, Pearson Education, 2nd impression 2 00 8 .

9. Donald A . N e a me n , “Semiconductor Physics and Devices”, McGraw Hill companies, Special Indian Edition, 2007 .

10. P. C. Sen, “Power electronics”, S.Chand Ltd., 2005 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 52: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 49 REGULATIONS 2015

SEE5104 SOLID STATE DC DRIVES L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVE

x To study and analyze the operation of the converter / chopper fed DC drive, both qualitatively and quantitatively. x To analyze and design the

current and speed controllers for a closed loop solid state DC motor drive.

UNIT 1 REVIEW OF CONVENTIONAL DC DRIVES 12 Hrs.

Different techniques of speed control and methods of braking of series and separately excited DC motor, Ward leonard speed control,

Model and transfer function of series and separately excited DC motor.

UNIT 2 CONVERTER CONTROL OF DC MOTORS 12 Hrs.

Analysis of series and separately excited DC motor with single phase and three phase converters operating in different modes and

configurations, Problems on DC machines fed by converter supplies, drive employing dual converter.

UNIT 3 CHOPPER CONTROL OF DC MOTORS 12 Hrs.

Introduction to time ratio control and frequency modulation; Class A,B,C,D and E chopper controlled DC motor – Performance

analysis, multiquadrant control – Chopper based implementation of braking schemes – Multiphase Chopper.

UNIT 4 DESIGN OF CONVERTER AND CHOPPER FOR DC DRIVES 12 Hrs.

S p eed loop, P, PI, PID controllers, Current loop, Armature current reversal, Field current reversal - Digital controller and firing circuits,

Simulation.

UNIT 5 INDUSTRIAL DC DRIVES 12 Hrs.

Introduction to Siemens drive system - Speed control with emf feedback & tachogenerator - Current measurement & Torque Measurement

- Tuning of drive, Phase locked loop control of DC drives.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Buxbaum.A, Schierau.K and Staughem, “A Design of Control Systems for D.C.Drives”, Springer - Verlag, Berlin, 199 0 .

2. Dubey .G.K , “Power Semiconductor Controlled Drives”, Prentice Hall International, New jersey, 1989.

3. S e n P.C, “Thyristor D.C Drives”, Krieger Publishing Company,1981.

4. Subrahmanyam . V , “Electric Drives Concept and Applications”, Tata Mc Graw Hill Publishing Co., LTD., New Delhi, 1 st reprint 2010 .

5. Siemen’s Course Material

6. R. Krishnan, “Electric Motor Drives: Modelling, Analysis, and Control”, Prentice Hall; 1 edition, 2001.

7. Leonhard, Werner, “Control of Electrical Drives”, 3rd e d . 20 0 1 , Springer 2001 .

WEB SITES

1. www.automation.siemens.com

2. www.legacypower.net

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 53: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 50 REGULATIONS 2015

SEE5105

PWM TECHNIQUES IN POWER ELECTRONICS

L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES

x To analyse and comprehend the various PWM techniques of single and three phase inverters. x To analyse and

design space vector modulation.

UNIT 1 INVERTER CONTROL STRATEGY 12 Hrs.

Introduction - Operation principle – Performance Parameters – PWM with Unipolar and Bipolar voltage switching – Effect of

blanking time on voltage in PWM inverter – Voltage control of single phase inverter and three phase inverter

UNIT 2 ADVANCED PWM TECHNIQUES 12 Hrs.

Different types of advanced modulation techniques - Analysis of third harmonics modulation – Output filter requirement for different

PWM techniques - Comparison of PWM techniques – Harmonic reduction

UNIT 3 SPACE VECTOR MODULATION (SVM) 12 Hrs.

Space Vector Modulation – Introduction - Concept of a space vector – Inverter switching states – Modulation Index - dq

components for three phase sine wave source / level – Space vector sequence - Minimizing switching losses

UNIT 4 SVM MODULATION REGIONS 12 Hrs.

Under modulation region – Derivation of Va & Vb – Derivation of formula for Ta and Tb – Calculation of time values for Ta, Tb –

Over modulation m o d e 1 and m o d e 2 – Modified reference voltage trajectory – Equation of various voltage segments.

UNIT 5 APPLICATIONS 12 Hrs.

SVM implementation of three level diode clamped inverter – Three level inverter switching states, space vector diagram and

modulation regions – Flow diagram for SVM implementation of three level inverter – Motor voltage and current waveforms for three level

inverter in different regions – Five level diode clamped inverter – Switching states – Five level flying capacitor inverter – Switching states.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. M o h a m m e d H.Rashid, “Power Electronics – Circuits, Devices and Applications”, Prentice Hall, Eastern Economy Edition, Fourth Edition, 2013 .

2. Bimal K Bose, “Modern Power Electronics and AC Drives”, Prentice Hall, 1 st Edition, 2001 .

3. Bimal Bose, “Power electronics and Motor Drives – Advances and Trends” – Academic Press, Elsevier, 2006 .

4. Hamid A.Toliyat & Steven G.Campbell, “DSP Based Electro Mechanical Motion Control”, CRC press, 2004

5. Grahame Holmes .D, Thomas A.Lipo, “Pulse Width Modulation for Power Converters, Principles and Practice” –Wiley IEEE

Press – 2 0 03 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 54: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 51 REGULATIONS 2015

SEE5106 SOLID STATE AC DRIVES L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES

x Ability to understand and analyse the VSI and CSI fed drive

x Understand the speed control of induction motor by stator and rotor side control x Ability to learn

synchronous motor drives with fixed and variable frequency

UNIT 1 STATOR VOLTAGE CONTROL OF INDUCTION MOTOR 12 Hrs.

Torque, Slip characteristics, Equivalent circuit, Sp eed control – Variable Voltage, Variable Frequency, Constant V/F operation.

Operation with different types of loads, Performance, Comparison of different AC power controllers, S p eed reversal, Closed loop control.

UNIT 2 STATOR FREQUENCY CONTROL 13 HRS..

Operation of induction motor with non sinusoidal supply waveforms, Variable frequency operation of 3 phase induction motors,

Constant flux operation, Current fed operation, Dynamic and regenerative braking of CSI and VSI fed drives.

UNIT 3 ROTOR RESISTANCE CONTROL 12 Hrs.

Torque, Slip characteristics, Types of rotor choppers, Torque equations, Constant torque operation, TRC strategy, Closed loop speed

control.

UNIT 4 SLIP POWER RECOVERY SCHEME 12 Hrs.

Equivalent circuit, Torque equation, Torque - Slip characteristics - Power factor considerations - Sub synchronous operation and closed

loop speed control, Vector or Field control - Direct Vector control.

UNIT 5 SYNCHRONOUS MOTOR DRIVES 11 Hrs.

Need for leading PF operation - Open loop VSI fed drive and its characteristics - Self control - Torque angle control - Power factor

control - Brush less excitation system - Starting methods - Principles of vector control.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Murphy,J.M.D, Turnbull F.G., "Thyristor control of AC motors”, Pergamon Press, 1 9 7 3

2. B.K.Bose, “Power Electronics & AC drives”, Academic Press, 2006.

3. Dubey .G.K., “Power Semiconductor Controlled Drives”, Prentice Hall International, Newyork, 1989.

4. Dewa n. S . B. Slemon, G . R . Straughen.A., “Power semiconductor drives”, John wiley and sons, Newyork, 1984 .

5. I.J.Nagrath & D.P.Kothari, “Electrical Machines”, Tata McGraw-Hill Publications, 2 0 06 .

6. V.R.Moorthi, “Power Electronics Drives, Circuits, and Industrial Applications,” Oxford University press, First published in I ndia 2 0 05 , (sixth

impression 2008).

7. R . Krishnan, “Electric Motor Drives: Modelling, Analysis, and Control”, Prentice Hall, 2001.

8. Leonhard, Werner, “Control of Electrical Drives”, 3rd ed. 2001 , Springer 2001 .

9. Paul C. Krause, Oleg Wasynczuk, Scott D. Sudhoff - “Analysis of Electric Machinery and Drive systems”, IEEE press, 2013 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 55: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 52 REGULATIONS 2015

SEE5107

POWER SYSTEM OPERATION AND

CONTROL L T P Credits Total Marks

(for PSE)

4 0 0 4 100

COURSE OBJECTIVES

x To have an understanding in the availability of generation and its economic dispatch x To study about the

distributed generation and its coordination

x To have a knowledge in importance of reactive power which relates with power factor improvement

UNIT 1 ECONOMIC LOAD DISPATCH 12 Hrs.

Incremental cost curve-co-ordination equations without loss and with loss- solution by direct method and λiteration method -Gradient

method of economic dispatch – Newton method -Economic dispatch with Piecewise Linear cost functions-Economic dispatch using dynamic

programming -Base point and participation factors-transmission losses - A two generator system - coordination equations, incremental losses and

penalty factors – B matrix loss problem.

UNIT 2 UNIT COMMITMENT 12 Hrs.

Constraints in unit commitment – Spinning reserve, thermal unit constraints, and other Constraints – Solution techniques-Priority List

method, Dynamic programming method, Forward DP approach, Lagrangian relaxation method – adjusting λ – Economic load dispatch vs Unit

commitment.

UNIT 3 HYDRO -THERMAL COORDINATION 12 Hrs.

Long Range Hydro Scheduling – Short Range Hydro Scheduling - Hydro Electric plant models – Scheduling problems – The Short term

Hydrothermal Scheduling using gradient method, dynamic and linear programming

UNIT 4 ACTIVE POWER AND FREQUENCY CONTROL 12 Hrs.

Fundamentals of speed governing-control of generating unit power output-composite regulating characteristics of power systems-Response

rates of turbine governing systems-Fundamentals of automatic generation control-Implementation of AGC-under frequency load shedding.

UNIT 5 REACTIVE POWER AND VOLTAGE CONTROL 12 Hrs.

Production and absorption of reactive power-Methods of voltage control-shunt reactors, shunt capacitors, series capacitors, synchronous

condensers, static V A R systems-principles of transmission system compensation – Modelling of reactive compensation devices-Application of

tap-changing transformers to transmission systems-Distribution System Voltage Regulation-Modelling of transformer ULTC control system.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Allen.J.Wood & Bruce Wollenberg, “ Power Generation Operation & Control”, John wiley & sons – 2nd Edition, 2006.

2. P.Kundur, “ Power System Stability and control”, Mc – Graw Hill Publications, USA, 1994.

3. D.P.Kothari and I.J.Nagrath, “ Modern Power System Analysis”, 3rd Edition, Tata Mc Graw Hill Publishing company Limited, NewDelhi, 2003.

4. Chakrabarti and Halder, “Power System Analysis: Operation and Control”, Prentice Hall of India, 2006 Edition.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 56: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 53 REGULATIONS 2015

SEE5108

POWER SYSTEM ANALYSIS AND

MODELLING L T P Credits Total Marks

(for PSE)

4 0 0 4 100

COURSE OBJECTIVE

x To gain knowledge in detailed modelling of power system elements

x To implement Numerical methods in power flow problem

x To have a detailed knowledge in fault analysis and contingency analysis.

UNIT 1 NETWORK MATRICES AND THEIR SOLUTION TECHNIQUES 12 Hrs. Formation of network matrix by Singular transformation – Non Singular transformation - Sparse Matrix techniques for large scale

power systems - Optimal ordering schemes for preserving sparsity - Flexible packed storage scheme for storing matrix as compact arrays -

Factorization by Bifactorization and Gauss elimination methods - Repeat solution using - Left and Right factors and L and U matrices.

UNIT 2 MODELING 12 Hrs.

Modelling of synchronous generator – park transformation – circuit model – steady state model – simplified dynamic model – linear model

- Modelling of transformer.

UNIT 3 POWER FLOW STUDIES 12 Hrs.

Power flow equation in real and polar forms - Newton Raphson (NR) method for power flow solution - Fast Decoupled (FD) Power

Flow method - Sensitivity factors for P-V bus adjustment - Net Interchange power control in Multi-area power flow analysis: Assessment of

Available Transfer Capability (ATC) using Repeated Power Flow method - Continuation Power Flow method.

UNIT 4 FAULT STUDIES 12 Hrs.

Fault calculations using sequence networks for different types of faults. Bus impedance matrix (ZBUS) construction using Building

Algorithm for lines with mutual coupling; Simple numerical problems. Computer method for fault analysis using ZBUS and sequen ce

components. Derivation of equations for bus voltages, fault currents and line currents, both in sequence and phase domain using Thevenins’

equivalent and ZBUS matrix for different faults.

UNIT 5 CONTINGENCY ANALYSIS 12 Hrs.

Adding and removing multiple lines – Piecewise solution of interconnected systems – Analysis of single contingencies and multiple

contingencies – contingency by DC model – System reduction for contingencies and fault studies.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Stagg G . W , El. Abaid A.H , “Computer Methods in power system Analysis”, Tata MC Graw Hill,1968

2. John J.Grainger and William D.Stevenson, JR., Power System Analysis, Tata MC Graw Hill,2003

3. Artuhur R. Bergen and Vijay vital, “Power system Analysis”, Pearson Education india, 2000 .

4. Tinney.W.F and Meyer.W.S, “Solution of Large Sparse System by Ordered Triangular Factorization”

Automatic Control, Vol : AC-18, pp:333-346, Aug 1 9 7 3 .

5. Zollenkopf.K, “Bi-Factorization : Basic Computational Algorithm and Programming Techniques ; pp:75 -96 Sparse Set of

Linear Systems” Editor: J.K.Rerd,Academic Press, 1971 .

IEEE Trans. on

; Book on “Large

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 57: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 54 REGULATIONS 2015

SEE5109 FLEXIBLE AC TRANSMISSION L T P Credits Total Marks

(for PSE, PEID) 4 0 0 4 100

COURSE OBJECTIVES x To have an understanding in various Reactive power Compensators

x To model and implement the FACTS controllers in enhancement of power system performance x To have a

knowledge in coordination of FACTS controllers

UNIT 1 INTRODUCTION 12 Hrs. Concepts of reactive power – Load compensation – System compensation – Midpoint conditions of a symmetrical line – Passive shunt

and series compensation – Synchronous condenser – Saturated reactor – Phase shifting transformer – Concept of FACTS devices.

UNIT 2 STATIC VAR COMPENSATOR (SVC) 12 Hrs. Thyristor Controlled Reactor (TCR) - Thyristor Switched Reactor (TSR) - Thyristor Switched Capacitor (TSC) - Fixed Capacitor -

Thyristor Controlled Reactor (FC-TCR) - Thyristor Switched Capacitor - Thyristor Controlled Reactor (TSC -TCR) – V-I Characteristics of

Static Var Compensator (SVC) - Advantages of slope in dynamic Characteristic – Voltage control by SVC – Design of SCV voltage regulator.

Applications: Increase in power transfer capacity – Enhancement of transient stability – Prevention of voltage instability.

UNIT 3 THYRISTOR CONTROLLED SERIES CAPACITOR (TCSC) 12 Hrs. Concept of series compensation - Thyristor Controlled Series Capacitor (TCSC) controller: Basic principle – Modes of Operation –

Advantages - Analysis – Capability Characteristic, Modelling of TCSC, Applications: Open loop and closed loop control – Improvement of the

system stability limit – Enhancement of system damping- Concept of Advanced Series Capacitor (ASC).

UNIT 4 EMERGING FACTS CONTROLLER 12 Hrs. Static Synchronous Compensator (STATCOM): Principle of Operation – V-I Characteristic – Harmonic performance – Steady

state model. SSSC: principle of operation – Control system. Unified Power Flow Controller (UPFC): Principle of Operation – Injection model.

Interline Power Flow Controller (IPFC): Principle of Operation – Control structure - Hybrid Power Flow Controller (HPFC)- Evaluation of

different FACTS controllers.

UNIT 5 SUB SYNCHRONOUS RESONANCE (SSR) 12 Hrs. NGH-SSR damping scheme – Thyristor controlled braking resistor (TCBR) – Coordination of Multiple Controllers using Linear

Control Techniques – Approximate multimodal decomposition method for the design of FACTS controllers.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. R . Mohan Mathur, Rajiv K. Varma, “Thyristor-based facts controllers for electrical transmission systems”, Wiley-IEEE, 2002 .

2. K.R. Padiyar, “Facts Controllers in Power Transmission & Distribution”, New Age International Publishers.

3. Enrique Acha, Claudio R. Fuerte-Esquivel, Hugo Ambriz-Perez, Cesar Angeles-Camacho, “FACTS: Modelling and

Simulation in Power Networks”, John Wiley & Sons Ltd., 2004 .

4. S. Sivanagaraju, S.Sathyabarayana, “Electric Power Transmission and Distribution”, Pearson Education, 2009 .

5. Kalyan K. Sen & Mey Ling Sen, “Introduction to FACTS controllers: Theory, Modelling, and Applications”, Wiley -IEEE, 2 0 09 .

6. Narain G . Hingorani, Laszlo Gyugyi, “Understanding FACTS: Concepts and Technology of Flexible AC Transmission

Systems”, IEEE Press Standard Publishers Distributors, 2000 .

7. M.Noroozian et.al “Use of UPFC for optimal power flow control” , Transactions on Power Delivery, Vol.12, No.4, oct 19 9 7 , pp 1629-1634

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 58: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 55 REGULATIONS 2015

SEE5110 POWER SYSTEM PLANNING L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES

x To design future power system

x Extrapolation of electricity consumption for future expansion x To schedule

power generation pattern

UNIT 1 INTRODUCTION 12 Hrs.

Objective of system planning - long term and short term planning - stages in planning - policy studies, planning standardization studies,

system and network reinforcement studies.

UNIT 2 LOAD FORECASTING 12 Hrs.

Load forecasting – definitions of basic concepts - characteristics of loads – methodology of forecasting – energy forecasting – peak

d e m a n d forecasting – non weather and weather forecasting – total forecasting – annual and monthly peak d e m a n d forecasting- factors in

power system loading.

UNIT 3 GENERATION SYSTEM COST ANALYSIS 12 Hrs.

Introduction - types of production cost analysis - probability methods and uses in generation planning - probabilistic production cost

computations.

UNIT 4 SCHEDULING 12 Hrs.

Simulating economic scheduling - scheduling procedures - scheduling algorithms for probabilistic production cost computations -

aspects of practical implementation - effect of off-peak energy sales on production cost. Pollution - types of pollution - need to assess pollution

effects in simulating scheduling.

UNIT 5 EXPANSION PLANNING 12 Hrs.

Basic concepts on expansion planning-procedure for integrate transmission system planning - Tellegen’s theorem - network

sensitivities - network design - formulation of the planning problem - solution using DC method.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Sullivan Robert Lee. Power System Planning Mc Graw Hill, 1 9 8 4 Edition

2. A.S.pabala, Electric power distribution sixth edition, Tata Mac Graw hill 2011 .

3. Murty. P.S.R Power System Operation and Control TMH 1984

4. W o o d and Wollenberg Power Generation, Operation and Control John Wiley, 1 9 9 6

5. Knight. U.G Power System Engineering and Mathematics Pergamon Press

6. Roy Billinton and Allan Ronald, “Power System Reliability’, Springer; 2013 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 59: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 56 REGULATIONS 2015

SEE5111 SMART GRID L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES

x To understand future smart grid concept

x To understand information, communication technique for power system x To understand

smart metering

UNIT 1 INTRODUCTION 12 Hrs.

The Smart Grid – Why to implement smart grid - Ageing assets and lack of circuit capacity-Operational constraints-Security of

supply-National initiatives-What is the smart grid-Early smart grid initiatives-Active distribution networks-Virtual power plant-Overview of the

technologies required for the smart grid - Communication technologies for smart grid-Standards for smart metering- Modbus-DNP3-61 850

UNIT 2 INFORMATION SECURITY FOR SMART GRID 12 Hrs.

Introduction- Encryption and decryption-Symmetric key encryption-Public key encryption- Authentication-Digital signatures- Secret

key signature-Public key signature-Message digest IEEE 1686: IEEE standard for substation intelligent electronic devices cyber security

capabilities- IEC 62351 : Power systems m a n a g e m en t and associated information exchange-data and communications security

UNIT 3 SMART METERING AND DEMAND SIDE INTEGRATION 12 Hrs.

Introduction-Smart metering- Evolution of electricity metering - Key components of smart metering -Smart meters: An overview of

hardware used- Communications infrastructure and protocols for smart metering--Demand side integration-Services provided by

DSI-Implementations of DSI-Hardware support to DSI implementations-Flexibility delivered by consumers from the d em a n d side.

UNIT 4 DISTRIBUTION MANAGEMENT SYSTEMS 12 Hrs.

Introduction-Data sources and associated external systems- Modelling and analysis tools-Distribution system modelling-topology

analysis- Load forecasting- Power flow analysis-Fault calculations-State estimation-Other analysis tools-Applications-System

monitoring-System operation-System management- Outage m a n a g e m e n t system

UNIT 5 TRANSMISSION SYSTEM OPERATION 12 Hrs.

Introduction-data sources- IEDs and SCADA- Phasor measurement units- energy m a n a g e m e n t systems-wide area

applications-online transient stability controller- pole slipping preventive controller-visualisation techniques-visual 2- D presentation-visual 3- D

presentation

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Janaka Ekanayake, Nick Jenkins, Kithsiri Liyanage, Jianzhong W u , Akihiko Yokoyama, “Smart Grid: Technology and Applications”, Wiley,

2 0 1 2

2. James Momoh , “Smart Grid: Fundamentals of Design and Analysis”, WILEY PUBLISHERS , IEEE PRESS, 2 0 1 2

3. Quentin wells, “Smart Grid Home”, C e n g a g e Learning series in Renewable Energies, 2012 .

4. Fereidoon, p. Sioshansi, “Smart Grid: Integrating Renewable Distributed and Efficient Energy”, 20 11 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 60: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 57 REGULATIONS 2015

SEE5112 OPTIMIZATION TECHNIQUES L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES

x To enhance the creative process of conceptual and detailed design of engineering systems.

x To understand various optimization techniques and its application to engineering problems.

UNIT 1 INTRODUCTION TO OPTIMIZATION 12 Hrs.

Engineering applications of optimization - statement of an optimization problem - Classification of classical optimization techniques –

single variable optimization – multivariable optimization – saddle point – with and without constraints.

UNIT 2 LINEAR PROGRAMMING 12 Hrs.

Applications - Standard form of LPP - definitions & Theorem - Solution of a system of Linear simultaneous equations - Pivoted

reduction - Simplex algorithm - Identifying an optimal point - Revised simplex methods - Duality in linear programming - Decomposition

principle - Transportation problem - Northwest corner rule - Least cost method .

UNIT 3 NON LINEAR PROGRAMMING 12 Hrs.

Nonlinear programming - one dimensional minimization methods - unrestricted search - Exhaustive search - interpolation method -

Quadratic and Cubic method - unconstrained optimization techniques - Direct search methods: simplex method - Descent methods:

Steepest Descent method. Constrained optimization techniques: Sequential quadratic programming - Transformation techniques - Interior

and exterior penalty function method - Extrapolation technique.

UNIT 4 GEOMETRIC PROGRAMMING AND INTEGER PROGRAMMING 12 Hrs.

Geometric programming - Polynomial - problem and solution of unconstrained and constraint minimization - Primal and Dual

programmes – Geometric programming with mixed in equality constraints – Complementary geometric programming. Integer linear

programming – Mixed integer programming – Integer non linear programming: Sequential linear discrete programming.

UNIT 5 DYNAMIC PROGRAMMING

Dynamic programming: Multistage decision processes – Concept of sub optimization – Principle of optimality – Conversion of a final

value problem into an initial value problem – Linear programming as a case of dynamic

programming – Continuous dynamic programming – application to unit commitment.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Singiresu S. Rao, Engineering Optimization Theory and practice, Fourth Edition,John Wiley &Sons

2. A. Ravindran, K.M. Ragsdell and G . V. Reklaitis, “Engineering Optimization methods and applications”, Wiley India Edition, 2006.Second Edition.

3. Bevridge G . S .G and Schechter R.S: Optimization Theory and practice: McGraw Hill – 1970.

4. Hadley G, Nonlinear and dynamic programming: Addison-Wesley, - 1987.

5. Dorfman R, Samuelson P. and Solow R, Linear programming and economic analysis: McGraw Hill, -1958

6. Richard.L.Fox, Optimization methods for engineering design: Addison- Wesley, - 1971.

7. Rao S.S, Engineering Optimization Theory & Practice - Third Edition New Age international, - 1998.

8. Srinath .L.S, Linear programming principles & Application, Macmillan Publishers Limited, 1983.Edition 2

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 61: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 58 REGULATIONS 2015

SEE5113

POWER SYSTEM STABILITY AND

SECURITY L T P Credits Total Marks

(for PSE)

4 0 0 4 100

COURSE OBJECTIVES

x To understand the Stability using the state space representation of the power system.

x To study the security and state estimation of the power system

UNIT 1 STABILITY 12 Hrs. Basic concepts of steady sate stability and transient stability – Dynamics of synchronous machine – Power angle equation - Equal area

criterion – critical clearing time – solution of swing equation - step by step method – Euler’s method - Runge-Kutte method.

UNIT 2 SMALL SIGNAL STABILITY 12 Hrs. Small signal stability – state space representation – eigen values- modal matrices-small signal stability of single machine infinite bus

system – synchronous machine classical model representation-effect of field circuit dynamics-effect of excitation system-small signal stability

of multimachine system.

UNIT 3 VOLTAGE STABILITY 12 Hrs. Voltage stability – generation aspects - transmission system aspects – load aspects – PV curve – Q V curve – PQ curve – analysis with

static loads – load ability limit – sensitivity analysis-continuation power flow analysis - instability mechanisms.

UNIT 4 POWER SYSTEM STATE ESTIMATION 12 Hrs. Static state estimation : Maximum likelihood weighted least squares estimation algorithm - active and reactive power bus measurements

- active and reactive power line flow measurements - line current measurements - bus voltage measurements -measurement redundancy -

accuracy and variance of measurements - variance of measurement residuals - detection, identification and suppression of bad measurements.

Computational aspects - approximations to reduce computations - external system equivalencing -fast decoupled state estimation - state

estimation using d.c. model of power system. Weighted least absolute value state estimation - comparison with WLSE. Network observability -

pseudo measurements - virtual measurements. Stability and robustness of estimation algorithms tracking state estimation : algorithm -

computational aspects.

UNIT 5 SECURITY ASSESSMENT 12 Hrs. Classification of security states: Normal, alert, contingency, emergency and restorative mod es . Network equivalent for external

system. Contingency analysis: a.c., linearised a.c. and linearised d.c. models of power systems for security assessment - line outage distribution

factors and generation shift factors for d.c. and linearised a.c. models - single contingency analysis using these factors - double line outage

analysis techniques using bus impedance matrix and factors of bus admittance matrix. Fast contingency algorithms for nonlinear a.c. models.

Contingency ranking, security indices

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Kundur, P., ‘Power System Stability and Control’, McGraw-Hill International Editions, 5th Reprint 2008 .

2. Anderson, P.M. and Fouad, A.A., ‘Power System Control and Stability’, Wiley India, New Delhi, Second Edition.

3. V a n Cutsem, T. and Vournas, C., ‘Voltage Stability of Electric Power Systems’, Kluwer Academic Publishers, 19 9 8 .

4. W o o d and Wollenberg Power generation, operation and control John Wiley and Sons,1996.Third Edition

5. Mahalanabis, Kothari and Ahson Computer aided power system analysis and control Tata McGraw Hill,1988

6. Kusic .G.L Computer aided power system analysis Prentice Hall of India,1986.

7. Murty P.S.R Power system operation and control Bsp, 2 0 0 5

8. D. P. Kothari, D.P. Kothari I J Nagrath Modern Power System Analysis, fourth edition, Tata McGraw -Hill, 20 1 1 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 62: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 59 REGULATIONS 2015

SEE5201

INTELLIGENT COMPUTATIONAL

TECHNIQUES L T P Credits Total Marks

(for PSE)

4 0 0 4 100

COURSE OBJECTIVES

x To have an detailed study of Fuzzy logic, Artificial Neural Networks, Genetic algorithm, Particle Swarm Optimization and Differential

Evolutionary algorithms and implementation of the same in Power systems Engineering problems.

x To expose to Multi objective optimization and various solution techniques

UNIT 1 FUZZY LOGIC SYSTEM 12 Hrs.

Introduction to crisp sets and fuzzy sets, basic fuzzy set operation and approximate reasoning. Introduction to fuzzy logic modelling

and control. Fuzzification, inferencing and defuzzification. Fuzzy knowledge and rule bases. Fuzzy logic based power system s tabilizer.

UNIT 2 ARTIFICIAL NEURAL NETWORKS 12 Hrs.

Concept of artificial neural networks and its basic mathematical model – McCulloch-Pitts neuron model – simple perceptron - adaline

and madaline – Feed-forward multilayer perceptron – learning and training the neural network – Hopfield network - application of neural

networks to load forecasting and control.

UNIT 3 GENETIC ALGORITHM 12 Hrs.

Basic concept of Genetic algorithm and detail algorithmic steps, adjustment of free parameters. GA implementation to ED with smooth

cost function.

UNIT 4 PARTICLE SWARM OPTIMIZATION AND DIFFERENTIAL EVOLUTION 12 Hrs.

Basic concept of Particle Swarm Optimization and detail algorithmic steps (PSO) - Basic concept of Differential Evolution (DE) and detail

algorithmic steps – PSO for solving Optimal Power Flow.

UNIT 5 PARETO MULTI OBJECTIVE OPTIMIZATION 12 Hrs.

Objectives of multi objective optimization – concepts of Pareto optimality – Solution to Pareto multi objective optimization: weighted

aggregation – Goal programming.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Kwang y. lee, Mojaed A. El-Sharkawi, Modern Heuristic Optimization Techniques – Theory and applications to power systems, A John Wiley & Sons.

Inc. Publication. 2008 .

2. Jacek. M. Zurada, “Intoduction to Artificial Neural Systems”, PWS publishing Company 1992 .

3. B. Kosko “Neural Networks And Fuzzy Systems: A Dynamical Systems Approach To Machine Intelligence”, Prentice Hall of India Pv t. Ltd., 1992 .

4. Z i m m e r m a n H.J, “Fuzzy set theory and its application” – kluwer academic publishers, 2001.Fourth edition.

5. Xin-She- Yang, Engineering Optimization – An introduction with metaheuristic application, A John Wiley & Sons. Inc. Publication. 2 0 1 0

6. S. Rajashekaran G. A. Vijayalakshmi Pai, Neural Networks, Fuzzy Logic and Genetic Algorithms: Synthesis and Applications, PHI Learning Pvt. Ltd.

2004

7. S. N. Sivanandam, Sumathi & Deepa, Introduction to Neural Networks Using Matlab 6.0, Tata McGraw -Hill Publishing Company limited. 2006 .

END SEMESTER EXAM QUESTION PAPER PATTERN:

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 63: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 60 REGULATIONS 2015

L T P Credits Total Marks

SIC5101 ADVANCED CONTROL SYSTEMS

4 0 0 4 100 (For E&C)

COURSE OBJECTIVES

x The main goal of this subject is to motivate the students to study the basic concepts of Model Based control structures and performance measures

in frequency and time domain.

x It also focuses to investigate linear and non-linear systems with stability.

UNIT 1 MODEL BASED CONTROLLER DESIGN 12 Hrs.

Introduction - Control structures and performance measures - Time and frequency domain performance measures - Design of controller -

Design of controller for SISO system - Controller design for TITO processes - Limitations of PID controllers - PI-PD controller for SISO system -

PID-P controller for wo Input Two Output system - Effects of measurement noise and load.

UNIT 2 FREQUENCY DOMAIN AND STATE SPACE DESCRIPTIONS 12 Hrs.

Properties of Transfer functions- Impulse response matrices- poles and zeroes of transfer function matrices-critical frequencies- resonance-

steady state and dynamic response- Band width- singular value analysismultivariable Nyquist plots. Review of state model for systems – state

transition matrix and its properties - free and forced responses - controllability and observability - Kalman decomposition - Minimal Realisation -

Balanced realization.

UNIT 3 ANALYSIS OF NON-LINEAR SYSTEMS

Non-linear systems-properties of non-linear systems-describing functions for simple non linearities like ON-OFF, dead zone, saturation, hysteresis and backlash-describing function analysis of non-linear systems.

12 Hrs.

UNIT 4 NON-LINEAR SYSTEMS 12 Hrs.

Phase plane method – basic concepts-singular points-constructing phase plane trajectory for linear and nonlinear second order systems.

UNIT 5 STABILITY 12 Hrs.

Stability concepts - equilibrium points - BIBO and asymptotic stability - direct method of Lyapunov

- application to nonlinear problems - frequency domain stability criteria - Popov’s method and its extensions

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Friedland, B. “Control System Design”, Mc Graw Hill, 1987 .

2. Brogan, WL (1991), Modern Control Theory, 3rd Edition, Prentice -Hall, Inc., Englewood Cliffs, NJ.

3. Kailnath, T. “Linear Systems”, Prentice Hall, 1980 .

4. Aterton, D.P. “Stability of Nonlinear systems”, 19 8 1 .

5. Cook, Peter A. (1994), Nonlinear Dynamical Systems, 2nd e d . Hemel Hempstead, UK:Prentice-Hall International.

6. John J.Azzo, Constantine H.Houpis “Linear Control System Analysis & Design Conventional & Modern” , IVedition. MHI.

7. Gopal M. “Digital Control & State Variables methods, 2nd Edition, TMH, 2007.

8. Nagrath I.J. & Gopal . M, “Control System Engineering”,5th edition

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 64: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 61 REGULATIONS 2015

SIC5102 ADVANCED INDUSTRIAL INSTRUMENTATION L T P Credits Total Marks

(For E&C)

4 0 0 4 100

COURSE OBJECTIVES

x The theme of this subject is to make students to understand different instrumentation techniques for measurement of industrial parameters.

x It reviews about the measurement of pressure , temperature , flow, liquid and solid levels with recently developed industrial instrumentation.

UNIT 1 MEASUREMENT OF PRESSURE 12 Hrs. Types of pressure measurement devices. Dead weight piston gauge Manometer – McLeod gauge

– mechanical methods – different types of manometers – elastic elements – measurement of high pressure – low pressure - thermal

conductivity gauges – ionization gauges – I to P / P to I converters – transmitters – testing of pressure gauges.

UNIT 2 MEASUREMENT OF TEMPERATURE 12 Hrs. Temperature measurement – calibration standard – basic fixed points – secondary fixed point – filled in system thermometer –

bimetallic thermometer – thermocouple – industrial circuits – isothermal block reference junction technique – RTD 3 lead compensation –

thermistors – IC temperature sensors – radiation methods – broad band radiation thermometer – 2 colour radiation thermometry – installation of

temperature measuring device

– special material configuration and techniques.

UNIT 3 MEASUREMENT OF FLOW 12 Hrs. Mechanical type flow meter – reciprocating piston type – rotating disc type – helix and other types – van type

– displacement meter – inferential types – turbine types – obstruction type flow meter – orifice plate – flow nozzle, venturi, Dall tube –

variable area flow meter – electromagnetic flow meter – vortex flow meter – ultrasonic flow meter

– mass flow meter – calibration of flow meter.

UNIT 4 MEASUREMENT OF LIQUID AND SOLID LEVELS 12 Hrs.

Direct methods of level measurement – float operated system – indirect methods – pressure gauge methods

– diaphragm box methods – differential pressure methods – hydra step boiler drum water level gauge – electrical methods of level

measurement – user of capacitive, conductive, ultrasonic and nucleonic methods – solid level measurements – G a m m a ray absorption

methods – slack detector – electrical capacitance – Pendent cone UNIT 5 MEASUREMENT OF OTHER PARAMETERS 12 Hrs.

Measurement of Density , Viscosity , pH, Conductivity, turbidity, humidity, moisture –Introduction to chromatography &

spectrometry. Toxic gas monitoring- Detection of Nuclear radiation – Water quality monitoring-Monitor measurement by

neutron-Thermo-luminescent detectors – Measurement of length, mass, thickness, flow, level using nuclear radiation.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Doebelin E.O., Measurement Systems, Application and Design, Fifth Edition, McGraw -Hill Book Company, 2004.

2. Jain R.K., Mechanical and industrial Measurements, Hanna Publishers,Delhi,1999.

3. Patranabis.D, Principles of Industrial Instrumentation, Tata McGraw Hill Publishing Company Ltd., New Delhi,2002.

4. John G Webster, Measurement, Instrumentation and Sensors Handbook, CRC press IEEE press

5. Liptak B.G, Instrumentation Engineers Handbook (Measurement), Chilton Book Co., 19 9 4 .

6. Reay D.A, Industrial Energy Conservation, Pergamon Press, 1977 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 65: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 62 REGULATIONS 2015

SIC5103 NEURAL, FUZZY AND GENETIC L T P Credits Total Marks

ALGORITHM

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES

x The main goal of this subject is to motivate the students to study the basic concepts of artificial neural networks, fuzzy systems modeling and

control.

x It also focuses on neuro-fuzzy modeling and genetic algorithms.

UNIT 1 ARTIFICIAL NEURAL NETWORKS 12 Hrs.

Basic concepts – Single layer perceptron – Multilayer perceptron – Supervised and Unsupervised learning – Back propagation networks-

Counter propagation networks – Hopfield network.

UNIT 2 FUZZY SYSTEMS 12 Hrs.

Fuzzy sets and Fuzzy reasoning – Fuzzy matrices -Fuzzy Functions – Decomposition – Fuzzy automata and Languages -Fuzzy control

methods – Fuzzy decision making.

UNIT 3 FUZZY LOGIC IN CONTROL 12 Hrs.

Structure of Fuzzy logic controller – Fuzzification models – database – rule base – inference en gin e defuzzification module.

Non-linear Fuzzy control – PID Like FLC – Sliding m o d e FLC – Sugeno FLC – adoptive Fuzzy control – fuzzy control applications – case

studies.

UNIT 4 NEURO-FUZZY MODELING 12 Hrs.

Adaptive networks based Fuzzy interface systems – Classification and Regression Trees – Data clustering algorithms – Rule based

structure identification – Neuro- Fuzzy controls – Stimulated annealing – Evolutionary computation.

UNIT 5 GENETIC ALGORITHMS

Survival of the fittest – Fitness Computations – Cross over- Mutation – Reproduction – Rank method – Rank

Space method. Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Jang J.S.R., Sun C.T. And Mizutani E, Neuro-Fuzzy and Soft computing, Prentice Hall 1998.

2. Timothy J.Ross, Fuzzy logic with Engineering Applications; McGraw Hill, 1997.

3. Laurene Fausett; Fundamentals of Neural Networks, Prentice Hall, 1994.

4. George Klir, Bo Yuan, Bo Yuan ‘’Fuzzy Sets And Fuzzy Logic’’,Prentice hall of India pvt ltd 1997

5. Goldberg.D, “Genetic Algorithm: Search, Optimization and Machine Learning “, Addison Wesley, N.Y, 1989.

6. KOSKO, B. “Neural Network and Fuzzy Systems” Prentice Hall of India Pvt., Ltd., 1994.

7. Zimmerman H.J., “ Fuzzy set theory – and its applications” - Kluwer Academic Publishers.,1 996

8. Driankov, D., Hellendoorn, H. and Reinfrank, R., An Introduction of Fuzzy Control, Springer-Verlag, Heidelberg (1993).

9. Farin Wah S.S, Filev, D. Langari, R. 'Fuzzy control synthesis and analysis', 3rd ed., John Wiley & Sons, New York, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 66: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 63 REGULATIONS 2015

SIC5104

SOFTWARE FOR CONTROL SYSTEM

DESIGN L T P Credits Total Marks

(For E&C)

4 0 0 4 100

COURSE OBJECTIVES

x The main goal of this subject is to impart knowledge on software packages for control system design.

x It focuses the basic concepts of MATLAB, MAPLE, PSPICE and LabVIEW software.

x The students can also familiar with the basic programming techniques.

UNIT 1 INTRODUCTION 12 Hrs.

Review of solution of differential equations – Optimisation techniques – Controller design – Software packages for control system design.

UNIT 2 MATLAB 12 Hrs.

Introduction – Function description – Data types – Tool boxes – Graphical Displays - Import and Export of data – Programs for solution of

state equations – Controller design – Limitations.

UNIT 3 SIMULINK

Introduction – Graphical user interface – Starting – Selection of objects – Blocks – Lines - simulation – Application programs – Limitations.

12 Hrs.

UNIT 4 MAPLE 12

Hrs. Introduction – symbolic programming – Programming constructs – Data structure computation with formulae – Procedures –

Numerical Programming.

UNIT5 LABVIEW 12 Hrs.

Study of LabVIEW – Operations of LabVIEW – Simulation of PAD Controller using Lab V I E W – Introduction to PSPICE – Simple

Programs.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1

MAPLE V Programming guide.

2. MATLAB user manual.

3. SIMULINK user manual.

4. MATHCAD user guide.

5. Ogatta.K, “Modern Control Engineering”, PHI, 2002.

6. Dorf R.C and R. H. Bishop. Modern Control Systems. Prentice Hall, tenth edition, 2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 67: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 64 REGULATIONS 2015

SIC5105

ADVANCED LOGIC AND DISTRIBUTED

CONTROL SYSTEMS L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES

x The main aim of this subject is to teach the students the essentials of techniques required for logic & distributed control systems.

x It provide the knowledge on PLC's -functional elements and programming and SCADA techniques.

UNIT 1 PLC'S -FUNCTIONAL ELEMENTS 12 Hrs.

PLC -Architecture -Operations -PLC's Versus Computer- PLC Size & Applications- Hardware Components - Installation ,Practices,

Editing & Troubleshooting.

UNIT 2 PROGRAMMING PLC'S 12 Hrs.

Basic PLC Programming -Ladder logic Diagram -Timers -Counters -Data Manipulation Instructions, Math Instructions. Alternate

programming languages, analog PLC operation, networking of PLC, PLC-PID functions, PLC installation, troubleshooting and maintenance,

design of interlocks and alarms using PLC. Creating ladder diagrams from process control descriptions.

UNIT 3 DISTRIBUTED CONTROL SYSTEM 12 Hrs.

Evolution- Different Architectures. Local Control Unit -Basic Elements -Comparison Of Architectures -Process Interface Issues -Security

Design, Backup Design, Process Input / output design-Redundancy.

UNIT 4 COMMUNICATIONS FOR DISTRIBUTED CONTROL 12 Hrs.

Communication Hierarchy- Communication System Requirements. - Network Topologies -Protocol -Functions of Various Layers

-Communication DCS. Modbus TCP, OPC

UNIT 5 SCADA 12 Hrs.

SCADA – Architecture – Hardware – Software – Communication- Interfacing – Scalability – Redundancy

– Functionality – Access control – MMI – Alarm Handling – Logging – Achieving Report Generation – Automation

– Application Development – Configuration – Object Handling – Evolution Engineering, Name of packages like IFIX, MOVICON.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Lucas M.P., Distributed control systems”, Van Nostrand Reinhold Company, New york, 1986 .

2. Moore, “Digital control devices”, ISA press, 1986 .

3. W e b b J.W., “Programmable Controller Principles and applications”, Morrill Publishing Co, USA, 1988 .

4. Kissell T.E., “Understanding and using programmable controllers” , Prentice Hall International Inc., Englewood Cliffs, NJ, 19 8 6 .

5. Petruzella, FD; Programmable Logic Controllers; 3rd Edition, New York: McGraw-Hill, 2 0 0 4

6. Hughes, TA; Programmable Controllers; 4lh Edition, North Caroline: The Instrumentation, Systems, and Automation Society, 2 0 0 4

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 68: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 65 REGULATIONS 2015

SIC5106

COMPUTER CONTROL OF

PROCESS L T P Credits Total Marks

(For E&C)

4 0 0 4 100

COURSE OBJECTIVES

x The main aim to introduce basic analysis of computer based control, discrete systems in state variable form. x It also educate the students on

the design of digital control algorithms and stability of discrete data systems.

UNIT 1 INTRODUCTION TO COMPUTER BASED CONTROL 12 Hrs.

Basic building blocks of a computer control system – Data acquisition system – Computer control loops – Supervising control – Direct

digital control – Conversion of continuous to discrete - time systems.

UNIT 2 ANALYSIS OF DISCRETE SYSTEMS 12 Hrs.

Discrete transfer function – Z transform – Pulse transfer function – Data holds – open loop response and closed loop response of

discrete - data systems – Modified Z transforms – State space representation of discrete - data systems.

UNIT 3 DESIGN OF DIGITAL CONTROL ALGORITHMS 12 Hrs.

Digital PID algorithm – Position and velocity forms – Deadbeat algorithm – Dahlin’s algorithm, Smith predictor algorithm – Kalman

algorithm.

UNIT 4 STABILITY OF DISCRETE – DATA SYSTEMS 12 Hrs.

Area of stability in Z domain – Jury’s stability test – Schurcohn method – Root locus method of discrete-data systems

UNIT 5 PROCESS MODELLING AND IDENTIFICATION

12 Hrs.

Process modeling from step test data – Pulse testing method – Time domain process identification. Max. Hours

60

TEXT / REFERENCE BOOKS

1. Deshpande P.B. & Ash R.H – Computer Process Control – ISA publication, USA 1 9 95 .

2. G e o r g e Stephanopoulos – Chemical Process Control – An Introduction to Theory & Practice. - Prentice Hall of India.

3. Franklin, G.F., J.D. Powell and M.L., Workman. 1990.”Digital Control of Dynamic Systems: Addison-Wesley Publishing

Company

4. Gopal.M . Digital Control & Static variable methods.

5. Dale E. Seborg, Thomas F. Edgar, Duncan A. Mellichamp, Process Dynamics and Contro l, Willey India, 20 0 6 .

6. Astrom .K. J, Bjorn Wittenmark, Adaptive Control, Second Edition, Prentice Hall of India, New Delhi, 1994 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 69: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech PART TIME 66 REGULATIONS 2015

SIC5107

ANALOG AND DIGITAL CIRCUIT

ANALYSIS AND DESIGN L T P Credits Total Marks

(For E&C)

4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic operational amplifier working principle, characteristics and its applications x To analyze and non

linear amplifiers and phased locked loop.

x To understand the design of sequential logic circuits.

x To gain the working knowledge of programmable logic devices.

UNIT 1 OPERATIONAL AMPLIFIER 12 Hrs.

Review of basic operational amplifier & its applications – Parameter deviation – Basic two stage MOS Operational Amplifier –

Bipolar operational amplifier – Analysis of the frequency response of Op-amp – slew rate – methods of improving slew rate – Noise in

operational amplifier.

UNIT 2 NON-LINEAR AMPLIFIER & PLL 12 Hrs.

Precision rectification – Analog multipliers - Operational transconductance amplifier – Phase locked loops (PLLs) – Monolithic PLLs –

Analysis of PLLs.

UNIT 3 COMBINATIONAL LOGIC CIRCUITS 12 Hrs.

Minimization of switching function – Map method, Quine – McClauskey method – AOI gates – synthesis of multiple output combinational

logic circuits

UNIT 4 SEQUENTIAL CIRCUITS & PROGRAMMABLE LOGIC DEVICES 12 Hrs.

Mealy & Moore Machine model – State diagram – State table minimization – Synchronous sequential circuit analysis – State

equivalence – State reduction – ASM – State assignment – Analysis of Asynchronous sequential logic circuits.

UNIT 5 PROGRAMMABLE LOGIC DEVICES 12 Hrs.

Programmable logic devices – Programmable Logic Array (PLA) – Programmable Array Logic (PAL) – Structure of

PLDs. – Hazards in combination circuits – Design of hazard free combinational networks.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1 Gray, Hurst, Lewis, Meyer,” Analysis And Design Of Analog Integrated Circuits, 5 th Edition, I. June 6, 20 0 9 , WSE.

2. Sergio Franco ,Design with operational amplifier and analog integrated circuits 3 Rd edition – McGraw Hill.

3. Charles H, Jr.Roth. “Fundamentals of Logic Design”, 4 th Edition, Jaico Publishing House, 2 0 0 0

4. John M. Yarbrough,Digital Logic Application and Design , PWS Publishing Company, 2001 ,8 th Edition.

5. Morris Mano.M, “ Digital Design”, PHI, 3rd Edition, 2002 .

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 70: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 67 REGULATIONS 2015

SEC6530 CIRCUITS DESIGN LAB L T P Credits Total Marks

(For AE) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Negative Feedback Amplifier

2. Multistage Amplifier

3. Hartley Oscillator & Colpitts Oscillator

4. RC Phase Shift Oscillator 5. Mono Stable Multivibrator & Bi-Stable Multivibrator

6. Astable Multivibrator

7. Flip Flops

8. Carry look ahead adder & Comparator 9. Counters & Shift Registers

10. Encoder and Decoder

11. Multiplexer and Demultiplexer

12. Arithmetic Logic Unit

SEC6531 MATLAB PROGRAMMING LAB L T P Credits Total Marks

(For AE) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Linear and Circular Convolution

2. Auto Correlation and Cross Correlation

3. Up-Sampling and Down-Sampling

4. Decimation and Interpolation 5. Power Spectrum Estimation

6. Image Arithmetic & Logical Operations

7. Geometric Transformations of an Image

8. 2D Transforms of an Image 9. Edge Detection Using Derivative Filter Mask

10. Smoothing & Sharpening in Spatial and Frequency Domain

11. Image Enhancement using Point Processing and Spatial Operation

12. Binary Phase Shift Keying 13. M-ary Frequency Shift Keying

14. Quadrature Phase Shift Keying

15. Quadrature Amplitude Modulation

Page 71: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 68 REGULATIONS 2015

SEC6532 CIRCUIT SIMULATION LAB L T P Credits Total Marks

(For VLSI) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Series and Parallel resonant circuits

2. Constant K filters and Composite filters

3. Attenuators and Equalizers

4. Electrical circuit theorems i) Superposition Theorem

ii) Maximum power transfer theorem

iii) Thevenin’s Theorem

iv) Norton’s Theorem v) Reciprocity Theorem

5. Waveform Generation Circuits

i) Schmitt Trigger

ii) Square Wave Generator iii) Switch mode power supply (SMPS)

6. Diode Experiments

i) Precision Rectifier

ii) Bridge Rectifier

7. Modulation Circuits i) Analog modulation

ii) Frequency modulation

8. Internal circuit simulation

i) UA741 ii) 555 timer

iii) LM565

9. Low frequency and High frequency models of MOSFET

10. Instrumentation Amplifier and Operational transconductance amplifier

DIGITAL EXPERIMENTS 1. Full adder using CMOS logic

2. JK Master slave, D and T Flip Flops

3. Ripple counter

4. Barrel Shifter 5. Realization of SOP and POS forms

Page 72: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 69 REGULATIONS 2015

SEC6533 PROGRAMMING IN HDL LAB L T P Credits Total Marks

(For VLSI) 0 0 6 3 100

VERILOG / SYSTEM VERILOG SIMULATION, SYNTHESIS

AND FPGA IMPLEMENTATION OFVERIFICATION OF LOGIC GATES

Adders and Subtractors 4 bit fast adders (RCA, CLA, CSA)

Design of FF (SR,D,T,JK, Master slave with delays)

Design of Code converters & Comparator

Design of 8 bit shift registers (SISO, SIPO, PISO, LFSR) Design of Synchronous & Asynchronous Counters

Modeling of Moore & Mealy FSM

Static & Rolling Display

Frequency Multipliers & Dividers

Design of ALU Barrel Shifters Design of Memories

Design of MAC unit

UART model

SEC6540 EMBEDDED SYSTEMS AND CIRCUITS LAB L T P Credits Total Marks

(For MI) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Experiments based on Analog Integrated Circuits

i). Basic inverting adder, non inverting adder, unity follower.

ii). Instrumentation Amplifier

iii) High pass, low pass and band pass filter design iv) Comparator and wave form generator.

2. Experiments based on Advanced Digital System Design

i). Half and full adder

ii). Half and full subtractor iii). Study of basic flip flops

iv). Study of registers and counters.

3. Experiments based on Advanced Microcontrollers & Embedded Systems

i). Basic system design using PIC microcontroller. ii). Basic system design using AVR microcontroller.

iii). Study of ARM Processor.

Page 73: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 70 REGULATIONS 2015

SEC6541 LABVIEW PROGRAMMING LAB L T P Credits Total Marks

(For AE) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS:

1. Experiments using arrays 2. Experiments using loops

3. Experiments using arrays

4. Experiments using structures

5. Sub vi 6. Thermometer

7. Random number generation and matching

8. Formula node & expression node

9. Sampling, Aliasing and quantization 10. Signal Transformation

11. Filters

12. Signal Denoising

13. Image processing application 14. Automotive applications

15. Biomedical Imaging

16. Feature extraction

SEC6542 VLSI DESIGN LAB

L T P Credits Total Marks

(For VLSI) 0 0 6 3 100

1. SUGGESTED LIST OF EXPERIMENTS

Physical Design of Combinational Circuits

2.

i). ii). iii). iv).

Adders & Subtractors Encoder, Decoder, Multiplexer, and De multiplexer ALU and MAC unit Multipliers and FSM

Physical Design of Sequential Circuits

3.

i). ii). iii). iv).

Latches and Flip-Flops (SR, D, T, JK) Shift registers Asynchronous & Synchronous Counters Barrel Shifters

Physical Design of Architectures

i). RISC CPU ii). DSP Processor CPU iii). MEMORIES

Page 74: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 71 REGULATIONS 2015

SEC6546 VLSI, EMBEDDED AND TEST ENGINEERING LAB L T P Credits Total Marks

(For E&C) 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS

VHDL SIMULATION, SYNTHESIS & FGPA IMPLEMENTATION OF

1. 4 bit Adders (CLA, CSA , CMA, Parallel adders) 2. Binary Subtractors

3. Design of Encoder (8X3), Decoder(3X8)

4. Design of Multiplexer (8X1), and De multiplexer(1X8)

5. Design of code converters & Comparator 6. Design of FF (SR, D, T, JK, Master Slave with delays)

7. Design of registers using latches and flip-flops

8. Design of 8 bit Shift registers

9. Design of Asynchronous & Synchronous Counters 10. Modeling of Moore & Mealy FSM

11. Barrel Shifters

12. Design of memories

13. 4 bit Microprocessor

EMBEDDED SYSTEM FOR POWER L T P Credits Total Marks

SEC6547 ELECTRONICS LAB 0 0 6 3 100

(For PEID)

A. EMBEDDED LAB

USING ARM CONTROLLER

1. Arithmetic operations manipulation and logical operations

2. Interfacing of Switch

3. Interfacing of LED 4. Interfacing of LCD

5. Interfacing of DC Motor.

B. DSP LAB

CONDUCT THE EXPERIMENTS USING 2407 & ASSOCIATED PERIPHERALS. 1. Perform 16 bit Addition, subtraction & multiplication.

2. Study on PWM generation using Timer 1,2,3.

3. Study of two PWM generation using full compare unit.

4. Study of six pulse PWM generation using full compare unit with dead band timer. 5. Perform Analog to Digital conversion for an Analog input.

6. Perform variable speed of DC Motor using TMS 3202407

Page 75: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 72 REGULATIONS 2015

L T P Credits Total Marks

SEE6530 POWER ELECTRONICS LAB 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Single Phase Half and Full converter with R, RL, RLE loads.

2. Three Phase Half and Full converter with R, RL, RLE loads.

3. Speed control of PMDC motor using Voltage Commutated Chopper.

4. Speed control of PMDC motor using Current Commutated Chopper. 5. IGBT based speed control of three phase induction motor using PWM technique.

6. Three Phase AC voltage regulator.

7. Four quadrant chopper fed DC motor

8. Modified McMurray - Bedford Inverter. 9. Resonant DC to DC Converter.

10. Single phase Cycloconverter.

SEE6531 POWER ELECTRONICS L T P Credits Total Marks

SIMULATION LAB 0 0 6 3 100

SUGGESTED LIST OF EXPERIMENTS: 1. Open Loop Control of Separately Excited DC Motor.

2. Open Loop Control of DC Series Motor.

3. Closed Loop Control of Separately Excited DC Motor.

4. Thyristor Static and Dynamic Behaviour 5. Simulation of Single Phase Semi Converter with motor load.

6. Simulation of Three Phase Converter with different loads.

7. Simulation of Single and Three phase PWM circuits.

8. AC – DC – AC PWM Converter 9. Three Phase Matrix Converter

10. Chopper fed DC motor drive

11. Simulation of Single Phase Half Converter with different loads.

12. Three Phase Full Controlled Rectifier with R, RL loads. 13. Simulation of Buck Converter.

14. Simulation of Boost Converter

15. Simulation of Buck-Boost Converter.

Page 76: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 73 REGULATIONS 2015

SIC6531

INSTRUMENTATION AND PROCESS

CONTROL LAB L T P Credits Total Marks

(For E&C) 0 0 4 2 100

SUGGESTED LIST OF EXPERIMENTS: 1. Design of PID, P, PI controllers first order system using

i). Coohen Coon Method

ii). Zieglar Nicholas Method

2. Design of digital controller using Dalhin’s algorithm. 3. Design of Fizzy logic controller.

4. Characteristics of current to pressure converter.

5. Characteristics of pressure to current converter.

6. To calculate coefficient of discharge of an ORIFILE meter. 7. Design of digital controller using dead beat algorithm.

8. Level controller

9. Characteristics of thermocouple.

10. To study the characteristics of flopper nozzle.

SIC6532 ANALOG AND DIGITAL CIRCUIT DESIGN LAB L T P Credits Total Marks

(For E&C) 0 0 4 2 100

SUGGESTED LIST OF EXPERIMENTS: 1. Design of Adder and Subtractor.

2. Design of Mux and Demux using NAND gate.

3. Design of asynchronous counter.

4. Design of parallel adder and subtractor. 5. Linear application of operational amplifier.

6. Full wave Precession Rectifier using Op Amp.

7. Analog to digital converter.

8. Digital to analog converter. 9. Schmitt Trigger.

10. Design adder and subtractor usingIC74153

11. Design of encoder and decoder.

12. Op Amp circuit applications.

Page 77: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 74 REGULATIONS 2015

SEC5601 ADVANCED CRYPTOGRAPHY L T P Credits Total Marks

(For AE & CS) 4 0 0 4 100

COURSE OBJECTIVES x To examine new developments in cryptography in a critical problem solving context x To build the strategic knowledge of the current and proposed cryptographic systems x To recognize the practical implications of new theoretical developments

UNIT 1 TRUST PROBLEMS & PROXY RE-CRYPTOGRAPHY 12 Hrs. Trusted domain transfer problems- Trusted server problems- Cipher access control problems- Efficiency problem

in multi message cryptography- Proxy Re_cryptography- Proxy re_signature- properties and definitions-security model- The AH model- Multi use, private proxy and bidirectional scheme- Incompleteness of AH model- AH+ model- Proxy Re_Encryption- Properties & definitions- security models.

UNIT 2 BATCH CRYPTOGRAPHY 12 Hrs. Introduction- Aggregate Signature and batch verification- Definitions- Identity based aggregate signatures-Batch

decryption and batch key agreement- Review of RSA- Batch RSA implementation- Examples based on plus type equations- Example based on minus type equations- Algorithm for solving plus type equations- Algorithm for solving minus type equations.

UNIT 3 ELLIPTIC CURVE CRYPTOGRAPHY (ECC) 12 Hrs.

Elliptic curve systems- Groups- Generalized discrete logarithm problem- Elliptic curve groups- Elliptic curve encryption scheme- Significance of ECC- Elliptic curve arithmetic- Group law- addition –doubling- group law for E/K-group law for non super singular E/F2m- group law for super singular E/F2m- group order – group signature- domain parameters- key pairs- Signature schemes- ECDSA- EC KCDSA- Implementation issues of ECC.

UNIT 4 IDENTIFICATION PROTOCOLS & ZERO KNOWLEDGE PROOF 12 Hrs. Identification protocols- definitions- Password based schemes- One way hash chains- Basic challenge response

protocol- Zero knowledge identification protocols- witness hiding identification protocol- Zero knowledge proof- Σ_protocols- composition of Σ protocol- Non interactive Σ proofs- Digital signature from Σ protocol- Proof of correctness- Group signatures.

UNIT 5 QUANTUM CRYPTOGRAPHY 12 Hrs. Fundamental definitions in quantum mechanics- Qubits and qubit pairs- Density matrices and quantum systems-

entropies and coding- Particularity of quantum information- Quantum optics- crypto system based on quantum key distribution (QKD)- key distribution scheme- secret key encryption scheme- Combining quantum and classical cryptography- Implementation of QKD based cryptosystems.

Max. 60 Hours

TEXT / REFERENCES BOOKS 1. Zhenfu Cao, “ New directions of modern cryptography”, CRC press , 2012. 2. Darrel Hankerson, Alfred Menezes,Scott Vanstone, “Guide to Elliptic Curve Cryptography”, 2004 Springer-Verlag New

York, Inc. 3. Berry Schoenmakers, “Lecture Notes Cryptographic Protocols “,Version 1.0, February 3, 2014. 4. Gilles Van Assche,” Quantum cryptography and secret-key distillation”, Cambridge University Press 2006.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 78: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 75 REGULATIONS 2015

SEC5602

APPLIED CRYPTOGRAPHY AND DATA

SECURITY L T P Credits Total Marks

(For AE, EMB, VLSI & CS)

4 0 0 4 100

COURSE OBJECTIVES

x To explain basic number theory concepts and algorithms related to cryptography

x To understand both the importance of cryptographic key management x To provide a deeper understanding into cryptography, its application to network security, threats/vulnerabilities to

networks and countermeasures

UNIT 1 CRYPTOGRAPHY BASICS 12 Hrs.

Terminologies of Cryptography; Principles of Security – Confidentiality, Authentication, Integrity, Non-repudiation, Access Control, Availability; Steganography. Steganalysis; Classic ciphers- Substitution ciphers-Caesar-Mono alphabetic, poly alphabetic, Hill , Vigenere, Playfair – Transposition ciphers- rail fence, One time pad; Types of Attacks – Cipher text-only-Known plaintext-Chosen plaintext- Chosen cipher text- Side channel attack; Protocols- secret splitting, Secret sharing, Time stamping services, subliminal channel, Digital signature, proxy signature, group signature, bit commitment.

UNIT 2 CRYPTOGRAPHIC ALGORITHMS & SYMMETRIC KEY CRYPTOGRAPHY 12 Hrs.

Algorithm types and modes-Stream ciphers-Block ciphers-Modes of operation-ECB-CBC-CFB-OFB-Countermode-Over view of symmetric key cryptography-Fiestal structure-Data Encryption Standard (DES)- Blowfish-AES; Cryptanalysis of symmetric ciphers – Brute force attack-Differential cryptanalysis-Linear cryptanalysis.

UNIT 3 ASYMMETRIC / PUBLIC KEY CRYPTOGRAPYHY 12 Hrs.

Number theory-Prime numbers-Fermat’s and Euler’s theorem – Testing for primality -The Chinese remainder theorem- Public key crypto systems- requirements – applications – The RSA algorithm- Key management – Diffie Hellman key exchange- Elliptic curve cryptography- EC group over real numbers- EC Addition of two points-doubling of point P- ECC key exchange.

UNIT 4 HASH FUNCTIONS AND DIGITAL SIGNATURE 12 Hrs.

Message authentication- requirements – functions – codes – Hash functions, Hash algorithms- MD5 message digest algorithm – Secure Hash algorithm= MAC – HMAC, Digital signature- Digital Signature Standard – DSS Approach – Digital Signature algorithm- RSA for digital signature.

UNIT 5 DATA SECURITY & CASE STUDIES ON CRYPTOGRAPHY AND SECURITY 12 Hrs. Internet security protocols- basic concepts – Secure socket layer(SSL)- transport layer security(TLS) – Secure

electronic transaction (SET)- SSL Versus SET- Email security – Bio metric authentication – Kerberos-Single sign on (SSO) approaches. Case studies on Denial of service attacks, IP spoofing attacks. Cookies and privacy.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Bruce Schneier, “Applied Cryptography”, 2nd Edition, John Wiley & Sons.

2. Atul Kahate, “Cryptography and Network Security”, 2nd Edition, Tata McGraw Hill, 2009.

3. William Stallings, “Cryptography and Network Security”, 3rd Edition, Pearson Education, 2003.

4. Douglas R Stinson, “Cryptography – Theory and Practice”, CRC press.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 79: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 76 REGULATIONS 2015

S E C 5 6 0 3

DISTRIBUTED PROCESSING AND

NETWORKING L T P C r e d i t s T o t a l M a r k s

4 0 0 4 100 (For AE & CS)

COURSE OBJECTIVES x To learn the fundamentals of distributed algorithms and systems

x To expose students to current technology used to build architectures to enhance distributed computing infrastructures

x To understand details and functionality of distributed computing networks

UNIT 1 FUNDAMENTALS 12 Hrs. Evolution of Computing and Networking; Distributed Processing; Application Areas; Computing Systems; System models; Challenges with Distributed Systems; Distributed Computing Environment.

UNIT 2 DISTRIBUTED ALGORITHMS 12 Hrs.

Kinds of Distributed Algorithm; Timing Models; Synchronous Network Algorithms: Synchronous Network Model, Leader Election in a synchronous Ring; Asynchronous Network Algorithms: Asynchronous Network Model, Basic Asynchronous Network Algorithms.

UNIT 3 DISTRIBUTED SYSTEMS 12 Hrs.

Architecture; Models - Communication, Synchronization Mechanism. Case Study: MPI and PVM Distributed Shared Memory: Design and Implementation issues of DSM, Granularity, Structure of Shared memory Space, Consistency Models, replacement Strategy, Thrashing, Other Approaches to DSM, Advantages of DSM.

UNIT 4 DISTRIBUTED APPLICATIONS 12 Hrs.

Client-Server Interaction: Client-Server Paradigm, Iterative vs. Concurrent Servers, Connectionless vs. Connection-Oriented Servers, The Socket API; RPC/RMI: Programming Clients and Servers, RPC/RMI Paradigm, External Data Representation, Communications Stubs.

UNIT 5 DISTRIBUTED COMPUTING NETWORKS 12 Hrs.

Introduction; Changing Trends; Massively Parallel Processors; Networks of Workstations; Single Stage Interconnection Networks; Multistage Interconnection Networks; Cube, Mesh Shuffle Exchange; Pyramid, Butterfly Networks.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Brooke, Phillip J., Paige, Richard F., Practical Distributed Processing, Springer, 2008.

2. Geral Tel, Introduction to Distributed algorithms, 2nd Edition, Cambridge, 2004.

3. Andrew Tanenbaum and Maarten van Steen, Distributed Systems: Principles and Paradigms, Prentice Hall, 2007.

4. Joel M. Crichlow, An Introduction to Distributed and Parallel Computing, Prentice Hall of India, New Delhi, 1997.

5. Bhavana Nagendra, Survey on Distributed Computing Networks - Networks of Workstations.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 80: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 77 REGULATIONS 2015

SEC5604 HIGH PERFORMANCE NETWORKS L T P Credits Total Marks

(For AE & CS) 4 0 0 4 100

COURSE OBJECTIVES

x To develop a comprehensive understanding of high speed networks and multimedia networking x To study the concepts of VPN and internetworking

x To learn to perform different operations in communication networks

UNIT 1 HIGH SPEED NETWORKS 12 Hrs.

Frame Relay Networks – Asynchronous transfer mode – ATM Protocol Architecture, ATM logical Connection, ATM Cell – ATM Service Categories – AAL, High Speed LAN’s: Fast Ethernet, Gigabit Ethernet, Fibre Channel – Wireless LAN’s: applications, requirements – Architecture of 802.11.

UNIT 2 ISDN 12 Hrs.

Overview of ISDN – user interface, architecture and standards, packet switched call over ISDN, B and D channels, Link access procedure (LAPD),ISDN layered architecture, signaling, limitations of Narrow band ISDN( N-ISDN) and evolution of Broadband ISDN(B- ISDN).

UNIT 3 MULTIMEDIA NETWORKING APPLICATIONS 12 Hrs. Streaming stored Audio and Video – Best effort service – protocols for real time interactive applications – Beyond best effort – scheduling and policing mechanism – integrated services – RSVP- differentiated services.

UNIT 4 ADVANCED NETWORKS CONCEPTS 12 Hrs. VPN-Remote-Access VPN, site-to-site VPN, Tunneling to PPP, Security in VPN, MPLS operation, Routing, Tunneling and use of FEC, Traffic Engineering, MPLS based VPN, overlay networks-P2P connections

UNIT 5 TRAFFIC MODELLING & INTERNETWORKING CONCEPTS 12 Hrs. Little’s theorem, Need for modeling, Poisson modeling and its failure, Non - Poisson models, Network performance evaluation,

IPv6, Internet Multicast, Domain Name Services, Service Discovery.

Max. 60 Hours

TEXT / REFERENCES 1. J.F. Kurose & K.W. Ross, Computer Networking: A top down approach featuring the internet, 2nd edition, Pearson, 2003

2. Walrand .J. Varatya, High performance communication network, 2nd Edition,2000. Morgan Kauffman – Harcourt Asia Pvt. Ltd.

3. Leom-Garcia, Widjaja, Communication networks, TMH seventh reprint 2002.

4. Aunurag kumar, D. MAnjunath, Joy kuri, Communication Networking, Morgan Kaufmann Publishers, 1ed 2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 81: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 78 REGULATIONS 2015

SEC5605 WIRELESS SENSOR NETWORKS L T P Credits Total Marks

(For AE, EMB, VLSI & CS) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basic concepts about wireless sensor networks.

x To study the communication protocols, Addressing and synchronization

x To learn concepts of localization, data storage and tools used for simulation

UNIT 1 OVERVIEW OF WIRELESS SENSOR NETWORKS 12 Hrs.

Characteristics of WSN, Challenges for Wireless Sensor Networks, Enabling Technologies For Wireless Sensor Networks, Single-Node Architecture - Hardware Components, Energy Consumption of Sensor Nodes , Operating Systems and Execution Environments, Network Architecture -Sensor Network Scenarios, Optimization Goals and Figures of Merit, Gateway Concepts.

UNIT 2 COMMUNICATION PROTOCOLS 12 Hrs.

Physical Layer and Transceiver Design Considerations, MAC Protocols for Wireless Sensor Networks-contention-based protocols, schedule-based protocols- Link Layer protocols-Error control-ARQ techniques-FEC techniques-Framing-Link Management.

UNIT 3 ADDRESSING & SYNCHRONISATION 12 Hrs.

Naming and addressing: Address and Name Management, Assignment of MAC Addresses- content- based Addressing -Geographic Addressing; Time synchronization: Sender/ receiver synchronization-receiver/ receiver synchronization.

UNIT 4 INFRASTRUCTURE ESTABLISHMENT 12 Hrs.

Localization and Positioning- Localization -Ranging Techniques -Time of Arrival - Time Difference of Arrival - Angle of Arrival - Received Signal Strength - Range-Based Localization - Triangulation -Range-Free Localization - Ad Hoc Positioning System (APS). Topology Control-Controlling topology in flat networks-Hierarchical networks; Routing: Geographic routing-Data centric routing-Qos Based protocols.

UNIT 5 SENSOR NETWORK PLATFORMS AND TOOLS 12 Hrs. Deployment & Configuration - Sensor deployment, scheduling and coverage issues, self configuration-Congestion control- Security - Privacy issues - Attacks and countermeasures.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Holger Karl & Andreas Willig, Protocols and Architectures for Wireless Sensor Networks, John Wiley, 2005.

2. Kazem Sohraby, Daniel Minoli and Taieb Znati, Wireless Sensor Networks Technology- Protocols and Applications, John Wiley

& Sons, 2007.

3. C.S.Raghavendra Krishna, M.Sivalingam and Tarib znati, Wireless Sensor Networks, Springer, 2006.

4. I.F. Akyildiz, W. Su, Sankarasubramaniam, E. Cayirci, Wireless sensor networks, Elsevier, 2010.

5. Feng Zhao & Leonidas J. Guibas, Wireless Sensor Networks- An Information Processing Approach, Elsevier, 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 82: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 79 REGULATIONS 2015

SEC5606 INTELLIGENT COMPUTING TECHNIQUES L T P Credits Total Marks

(For AE, EMB & VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To comprehend the concepts of biological neuron and the learning algorithms x To study the various methodologies to train the multi-hop network and to acquire knowledge about SOM and special

networks x To study the basic principles of fuzzy logic and fuzzy operators and to understand the concept of fuzzy logic controller

and its applications

UNIT 1 INTRODUCTION TO FUZZY LOGIC Classical set- operations and properties -Fuzzy Set-operations and properties-problems ,Classical Relations-

Operations and Properties, Fuzzy Relations-Operations and Properties -Compositions-Max-min, Max-Product-Problems, Membership function-features of membership functions-types, α cuts, Linguistic Hedges.

UNIT 2 FUZZY LOGIC CONTROL SYSTEM FLCS- Fuzzy logic control system-Need for FLCS-Assumptions in FLC design. Fuzzification – Defuzzification.

Fuzzy decision making, Fuzzy Rule Based System- Knowledge Base System. Mamdani and sugeno FLC architectures, Introduction to ANFIS-Architecture. Fuzzy cognitive maps. Applications - speed control of induction motor, automatic train control.

UNIT 3 FUNDAMENTALS OF ANN 12 Hrs.

Fundamentals of ANN - - Features of ANN, Biological Neural Network – structure, Features ,Functions of Synaptic junction ,Comparison of BNN & ANN, Topology, Models of ANN - Mc Culloch – Pitts model, Adaline , Madaline. Basic learning laws, Activation Functions - Types , Learning strategy - Learning Rules, Perceptron Model– Training Algorithm –Limitation of single layer network, Multi Layer Perceptron n/w – Algorithm, Problems in perceptron N/W.

UNIT 4 MULTILAYER & ADAPTIVE ARCHITECTURES 12 Hrs.

BPN-Algorithm, Application, CPN-Training, Applications, Mexican Hat, Kohonan SOM, vector quantization, - Associate memory - Bidirectional Associative Memory (BAM) - Architecture – Hopfield – Discrete & Continuous types, Algorithm-Energy function, Adaptive Resonance Theory - ART1,ART2- training. Probabilistic neural network, Applications - Fault diagnosis, Motion control in robotics. Pattern Recognition.

UNIT 5 GENETIC ALGORITHMS 12 Hrs. Introduction – Robustness of Traditional Optimization and Search Techniques – The goals of optimization -

Evolutionary computation Vs Classical optimization –Fitness function, Reproduction Selection - Selective pressure, Random selection, Proportional, Tournament, Rank based, Boltzmann, Elitism, Hall of Fame – Stopping conditions - Cross over –Binary & Floating point representation, Mutation - Binary & Floating point representation & headless chicken method.

Max. 60 Hours TEXT / REFERENCE BOOKS 1. James A Freeman and Davis Skapura, Neural Networks, Pearson. 2. Jacek M. Zuarda, Introduction to Artificial Neural Systems, Jaico Publishing House, 1997. 3. Timothy J. Ross, Fuzzy Logic with Engineering Applications, MacGraw-Hill. 4. Jang JSR, Sun CT, Mizutani E, Neuro-Fuzzy and Soft Computing, PHI. 5. Kosko, Neural Networks and Fuzzy Systems, Pearson. 6. David E. Goldberg, Genetic Algorithms in Search, Optimization and Machine Learning, Addison Wesley, 1997. 7. Andries p Engelbrecht, Computaional intelligence An Introduction – 2 edition. 8. Laurene Fausett, Fundamentals of Neural Networks: Architecture, Algorithms and Applications, Pearson Education, 1994 9. Yadaiah and S. Bapi Raju, Neural and Fuzzy Systems: Foundation, Architectures and Applications, Pearson Education. 10. C.Eliasmith and CH.Anderson, Neural Engineering, PHI. 11. Shivanandam and Deepa, Principles of Soft Computing, Wiley series. 12. Rajasekharan and Rai, Neural Networks, Fuzzy logic, Genetic algorithms: synthesis and applications,PHI Publication.

END SEMESTER EXAM QUESTION PAPER PATTERN Max Marks : 70 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks

Page 83: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 80 REGULATIONS 2015

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

SEC5607

SOFTWARE TOOLS FOR TECHNICAL

COMPUTING L T P Credits Total Marks

4 0 0 4 100 (For AE, EMB & CS)

COURSE OBJECTIVES

x To introduce the MATLAB software for numerical computations x To construct systems using Simulink

x To learn how to develop basic applications using LAB VIEW

UNIT 1 INTRODUCTION TO MATLAB 12 Hrs.

Matlab environment–types of files-constants and variables- Matrices and Vectors, matrix manipulations – Cell Array – Structure Array -Strings – function Script files - Input and Output statements – File input and output – Opening & Closing – Writing & Reading data from files.

UNIT 2 PROGRAMMING IN MATLAB 12 Hrs. Arithmetic, Relational and logical operators - Control statements IF, SWITCH CASE, BREAK, CONTINUE – FOR loop – While loop – Matlab Debugger – polynomials.

UNIT 3 PLOTTING AND SIMULINK 12 Hrs.

Basic 2D plots – modifying line styles – markers and colors – grids – placing text on a plot – Various / SpecialMatLab 2D plot types – Semilogx – Semilogy – Log Log – Multiple Plots-Subplots- Simulink-Modelling,Simulating a Model, Data Import/Export, State Space Modeling, Creating Sub -Systems.

UNIT 4 INTRODUCTION TO LABVIEW 12 Hrs.

Introduction to Virtual Instrumentation- advantages- architecture of a Virtual Instrument-block diagram- front panel-VIs, loading and saving Vis-debugging techniques- creating sub Vis- loops and Charts-arrays- clusters and graphs.

UNIT 5 STRUCTURES, GRAPHS, FILE I/O AND INSTRUMENT CONTROL 12 Hrs. Shift registers-Case structure- Sequence structures-Formula node- Expression node -Strings and file input output- Data acquisition inLabview-Iinstrument control in Labview.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Raj kumar Bansal, Ashok kumarGoel, Manojkumar Sharma, Matlab and its applications in engineering, Pearson Education, 1 st

Edition, 2009.

2. Stephen J.Chapmen, Matlab Programming for Engineers, Thomson learning, 4thEdition, 2008.

3. RudraPratap, Getting Started with MATLAB, Oxford University press, 2nd Edition, 1999.

4. Jeffrey Travis, Jim Kring, Labview for Everyone: Graphical Programming Made Easy and Fun, 3rd Edition, 2009.

5. www.mathworks.com

6. www.ni.com

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 84: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 81 REGULATIONS 2015

SEC5608

ADVANCED OPTICAL COMMUNICATION SYSTEMS

L T P Credits Total Marks

(For CS)

4 0 0 4 100

COURSE OBJECTIVES x To train the methods of analysis, design, dimensioning and performance evaluation of optical fibre based

communications system

x To introduce advanced optical modulation, detection schemes x To study advanced optical networking

UNIT 1 INTRODUCTION TO OPTICAL COMMUNICATION The role of the optical networking - The Need for Connectivity and Capacity- Optical Networking and Light

paths Historical perspective- First Generation of Optical Communications- The Second and Third Generations- The Fourth and Fifth Generations of Optical Systems and Networks- Classification and basic concept of photonic Transmission systems & networks- Optical Fiber as a Foundation for Transmission and Networking - Optical Transmission Systems- Optical Networking Parameters- Special Optical Fibers- Optical fibre types with respect to transmission properties- Multi core and Few-mode optical fibers- Optical grating filters- Tunable optical filters-Components for coupling, isolation and adjustments of optical power- optical switches- wavelength converters.

UNIT 2 SIGNAL PROPAGATION, NOISE AND CHANNEL IMPAIRMENTS 12 Hrs. Optical fiber losses- Wave guide theory of optical fibers- Optical modes in step index and graded index

fibers-Pulse propagation in single mode optical fibers- Multichannel propagation- Cross phase Modulation- Four Wave Mixing (FWM)- Mode coupling in multimode, curved multimode and dual mode optical fibers- Optical channel noise-mode partition noise- Modal noise- Laser phase and intensity noise- Quantum shot noise- Dark current noise-Spontaneous emission noise- BER and SNR for IM/DD scheme- Optical receiver sensitivity- Optical SNR- Signal impairments- Optical transmitter link limits- Power budget limit.

UNIT 3 ADVANCED OPTICAL MODULATION SCHEMES Signal-space theory and pass band digital optical transmission- Generic optical digital communication system-

M-ary base band PAM- Pass band digital transmission- QAM- FSK- Multi level modulation schemes- I/Q and polar modulators- M-ary PSK transmitters- Star-QAM transmitters- Square/ cross QAM Transmitters- Polarization division Multiplexing- Space division Multiplexing- Optimum signal constellation design- OFDM for optical communication-OFDM signal processing and parallel optical channel decomposition- Discrete Multi tone in multimode fiber links-MIMO optical communication- Space time coding for MIMO optical channels- Polarization-Time coding for MIMOOFDM.

UNIT 4 ADVANCED OPTICAL DETECTION SCHEMES Detection theory fundamentals- Coherent detection of optical signals- Optical hybrids and balanced coherent

receivers- Homodyne coherent detection- Optical channel equalization- ISI free optical transmission and partial response signalling- Zero forcing equalizers- Adaptive equalization- Decision feedback equalizer- Digital back propagation- synchronization- coherent optical OFDM detection- Frequency synchronization, phase estimation and channel estimation in optical OFDM systems- Optical MIMO detection- MIMO model of few mode fibers- STC based MIMO detection schemes.

UNIT 5 ADVANCED OPTICAL NETWORKING Optical definition and role- Optical networking elements- optical line terminals- OADM- optical interconnect

devices- ROADM- Optical cross connect- Light path routing in optical networks- light path topologies and wave length routing- optimizing multipath network topologies- Impairment aware routing- Control and management of optical networks- signalling and resource reservation- Routing and wavelength assignment- Fault management and network restoration- Control plane for an optical network- Optical packet switching- optical burst switching- Optical access

networks- optical core networks- data centre networks- Dynamic optical networking. Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Milorad Cvijetic and Ivan Djordjevic, Advanced Optical Communication systems and networks, 2013 Artech house.

END SEMESTER EXAM QUESTION PAPER PATTERN Max Marks : 70 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 85: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 82 REGULATIONS 2015

SEC5609

OPTICAL WIRELESS COMMUNICATION SYSTEMS

L T P Credits Total Marks

(For CS)

4 0 0 4 100

COURSE OBJECTIVES x To increase the scientific understanding and technical knowledge of the emerging field of OWC by exploring and

developing novel methods, models, techniques, strategies and tools in infrared, visible and ultraviolet spectral bands that will facilitate the implementation of future generations of OWC systems

UNIT 1 INTRODUCTION 12 Hrs.

OWC – Wireless access schemes – access technology for the Last Mile Link – advantages of OWC – a brief history of OWC – comparison of OWC and radio – access network bottleneck – Link configurations : directed LOS , non directed LOS, diffused and tracked. Cellular OWC system – OWC application areas – System block diagram of outdoor OWC link – challenges in OWC.

UNIT 2 OPTICAL SOURCES 12 Hrs. Wavelength and energy of UV, visible and IR spectrum- Light sources: LED – spontaneous emission – LED

materials and wavelength – LED structures – planar and dome LED – edge emitting LED – LED efficiencies: internal quantum efficiency, external quantum efficiency, power efficiency and luminous efficiency, LED modulation bandwidth. LASER – operating principle, stimulated emission, population inversion, optical feedback and laser oscillations, semiconductor laser structure, laser materials and wavelengths, Fabry-Perot Laser, Distributed feedback laser, surface emitting laser. Super luminescent diodes, Comparison of LED and LD.

UNIT 3 OPTICAL DETECTORS AND CHANNEL MODELING 12 Hrs. Photo detectors – characteristics, PIN diode. Photo detector materials and wavelengths – characteristics of

different photo detectors – photo detection techniques. Coherent and heterodyne detection – photo detection noise – optical detection statistics.

Channel modelling – indoor OWC channel – LOS propagation model – non LOS model – artificial light interference - outdoor channel – atmospheric channel loss – fog and visibility – beam divergence-Link budget for FSO link.

UNIT 4 MODULATION TECHNIQUES 12 Hrs. Modulation techniques for OWC – OWC Modulation tree- Power efficiency- bandwidth efficiency-Transmission

reliability- Analogue Intensity Modulation- Digital Baseband Modulation techniques- Different types of PPM for OWC-Error Performance on Gaussian channels- Different levels of pulse interval modulation- Sub carrier Intensity Modulation- Optical OFDM.

UNIT 5 OPTICAL MIMO AND VISIBLE LIGHT COMMUNICATION 12 Hrs. The MIMO wireless optical channel- Background- potential transmitters- potential receivers- point to point

channel- design challenges- Pixel Matched system- Pixelated optical wireless channel- SDMT modulation synchronization for SDMT.

Visible light communication (VLC) concept- historical development timeline for VLC- features of VLC-comparison of VLC with IR and RF-System description- VLC link- Performance of high speed VLC system- Practical VLC system model- system parameters- VLC MIMO System- Home access network.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Z. Ghassemlooy, W. Popoola, and S. Rajbhandari,” Optical Wireless Communications System and Channel Modelling with MATLAB”, CRC Press 2013

2. Steve Hranilovic, Wireless Optical Communication Systems, springer 2005, Boston.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 86: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 83 REGULATIONS 2015

SEC561 0 VLSI FOR WIRELESS COMMUNICATION L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To learn the concept of fading in Communication x To study the design concepts of low noise amplifiers. x To study the concept of filter and learn about Design Parameters x To understand the various types of mixers designed for wireless communication

UNIT 1 INTRODUCTION 12 Hrs. Review of Modulation Schemes – BFSK- BPSK –QPSK – OQPSK – Classical Channel - Additive White

Gaussian Noise – Finite Channel Bandwidth - Wireless Channel- Path Environment - Path Loss – Friis Equation – Multipath Fading – Channel Model - Envelope Fading – Frequency Selective Fading – Fast Fading - Comparison of different types of Fading.

UNIT 2 RECEIVER ARCHITECTURES 12 Hrs. Receiver Front End – Motivations - General Design Philosophy- Heterodyne and Other architectures – Filter

Design - Band Selection Filter – Image Rejection Filter - Channel Filter - Non idealities and Design Parameters - Harmonic Distortion – Intermodulation -Cascaded Nonlinear Stages – Gain Compression – Blocking – Noise – Noise Sources -Noise Figure - Design of Front end parameter for DECT.

UNIT 3 LOW NOISE AMPLIFIER 12 Hrs. LNA: Low Noise Amplifier Design - Wideband LNA - Design Narrowband LNA - Impedance Matching –Power

matching – Salent features of LNA – Core Amplifier Design.Active Mixer – Balancing – Gilbert Mixer – Analysis – Noise – Complete Active mixer

UNIT 4 ANALOG TO DIGITAL CONVERTERS 12 Hrs. Demodulators - Delta Modulators - Low Pass Sigma Delta Modulators – High Order Modulators - One Bit DAC

and ADC –Passive Low Pass Sigma Delta Modulator - Band pass Sigma Delta Modulators – Comparison – PLL based Frequency Synthesizer - Loop Filter Design and Implementation.

UNIT 5 SPREAD SPECTRUM 12 Hrs. Review of Spread Spectrum – DSSS – FHSS - Basic Principle of DSSS - Modulation

–Demodulation-Performance in the presence of noise-narrowband and wideband interferences.Implementations: VLSI architecture for Multitier Wireless System - Hardware Design Issues for a Next generation CDMA System - Efficient VLSI Architecture for Base Band Signal processing.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Bosco Leung “ VLSI for wireless Communication”, Prentice Hall, 2002. 2. Andreas F.Molisch “ Wideband wireless Digital Communication”, Prentice Hall PTR, 2001. 3. George.V.Tsoulous “Adaptive Antennas for wireless Communication", IEEE Press, 2001. 4. Xiaodong Wang and H.Vincent “Wireless Communication System, Advanced

Reception”,PearsonEducation. 2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Part A : 6 Questions of 5 Marks each – No choice Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks

Techniques for Signal

Exam Duration : 3 Hrs. 30 Marks 70 Marks

Page 87: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 84 REGULATIONS 2015

SEC561 1 MICROWAVE AND RADAR L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES x To understand basic radar principles and microwave systems

x To Know about different microwave devices

x To provide details about different Radars and their Performance factors

UNIT 1 REVIEW OF MICROWAVE DEVICES AND ANTENNAS 12 Hrs.

Microwave resonators, coaxial resonators, waveguide and cavity resonators, vacuum tube devices – klystron, TWT, magnetron – solid state devices – diodes, TED - GUNN diodes, ATTD, Tunnel diode, varactor diode, microwave transistors, parametric amplifiers. Microwave antenna parameters – antenna radiation pattern, aperture distribution, parabolic reflector antenna, lens antenna

UNIT 2 MICROWAVE MEASUREMENTS AND APPLICATIONS 12 Hrs.

Microwave measurements – tunable detector, slotted line carriage, VSWR measurements, usage of spectrum and networks analyzers, VSWR meter, return loss measurement by rellecto-meter, impedance measurement, frequency measurement, measurement of cavity Q, measurement of scattering parameters of a network. Applications of microwave – microwave communication systems.

UNIT 3 RADAR 12 Hrs.

Nature of radar - simple form of radar equation, radar block diagram and operation, radar frequencies, applications of radar. Radar equation – prediction of range performance, minimum detectable signal, receiver noise, radar cross section of targets, cross section fluctuation, pulse repetition frequency and range ambiguities. MTI and Pulse Doppler radar.

UNIT 4 RADAR TYPES 12 Hrs.

MTI Radar – clutter filter response to moving targets, clutter characteristics, MTI clutter filter design, adaptive MTI. Pulse Doppler Radar – pulse Doppler clutter, dynamic range and stability requirements, range performance. Tracking Radar – mono pulse, scanning and lobbing, servo systems for tracking radar, special mono pulse techniques, sources of errors, error detection techniques. Synthetic Aperture Radar – basic principle, types of SAR, resolution, key aspects, image quality, special SAR applications

UNIT 5 MODERN RADAR 12 Hrs.

Ballistic Missile Defense radar- requirements, design, performance. Air traffic control (ATC) radar – task of ATC, design issues. Weather Radar – hardware, range equation, hydrological measurements, meteorological phenomena, Sun echoes and Roost Rings, advance processing and systems. Foliage - Penetrating radar – battle field surveillance, FOPEN clutter characteristics, image formation, target detection and characterisation. Space -based SAR for remote sensing – design considerations, special modes and capabilities, design example.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Annapurna Das, Sisir K Das,”Microwave Engineering”, TMH publishing company ltd., 2000. 2. Wiliam L Melvin, James A Scheer, “Principles of Modern Radar”, vol.III : Radar Applications, Scitech publishing, 2014. 3. Merrill Skolnik, “RADAR Handbook”, 3rd Edition, McGrawHill, 2008.

4. Merrill I Skolnik, “Introduction to Radar Systems”, Mc Graw Hill, 1989. 5. Huseyin Arslan , “Cognitive Radio, Software Defined Radio and Adaptive wireless system,Springer, 1 edition ,September 24,

2007.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 88: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 85 REGULATIONS 2015

SEC561 2 BROADBAND ACCESSING TECHNIQUES L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To familiarize about the state-of-the-art technology in broadband access technology x To understand and apply the basics of access technology in multimedia applications x To learn to interface broadband wireless access

UNIT 1 INTRODUCTION TO ACCESS TECHNOLOGIES 12 Hrs.

Communication networks- Hierarchical architecture of global communication- Access networks- service convergence- Access technologies- Bandwidth requirements of Multimedia applications- DSL- HFC- Optical access networks- Broadband over power lines- wireless access technologies- Broadband services and emerging technologies- Comparison of different access technologies.

UNIT 2 DSL 12 Hrs.

DSL access network- DSL standards- Modulation methods- voice over DSL- DSL connectivity through DSLAM- Role of DSLAM- Bandwidth versus distance- IP-DSLAM- HDSL- ADSL- VDSL- HDSL2- SDSL- ADSL ‘LITE’- Cross talk- NEXT- FEXT.

UNIT 3 ADSL AND VDSL 12 Hrs.

ADSL Transport modes- ATM End to end network architecture and protocol stack- Mapping digital information to ADSL user data- Unique ADSL requirements for ATM- ADSL network management- Over all system of ADSL-Design and implementation problems- DMT transmitter for ADSL- VDSL- Requirements- VDSL services ranges and Rates- Transmit PSDs and bit loading- coexistence with ADSL- VDSL synchronised with TDD ISDN.

UNIT 4 CABLE ACCESS TECHNOLOGY 12 Hrs.

Hybrid fiber coax network- Cable MODEM- DOCSIS- DOCSIS standards- Signalling protocols- Downstream and upstream data rate of DOCSIS for QPSK and QAM- Cable Modem registration process- DOCSIS hardware deployment- Optical access network- Passive Optical Network(PON)- PON standard- WDM PON- Ethernet over fiber- DOCSIS PON- RF PON- OCDM PON- Free space optical network- Broad band over power line- BP Modem.

UNIT 5 WIRELESS ACCESS TECHNOLOGY 12 Hrs.

`Wi-Fi- WiMax- Cellular network- Benefits of wireless access- LOS Vs Non LOS- Multipath in Non LOS-Network management- IP wireless system- advantages- IP point to multi point architecture- IP wireless open standards- IPVOFDM- Downstream and upstream user bandwidth allocation- duplexing techniques- multiple access technique- Frame and slot format- synchronization for frame and slot- Radio resource management- Interface specifications for broadband wireless access- Wireless protocol stack.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Leonid v. kazovsky, Broadband optical access networks, 2011 by John Wiley & Sons, Inc.

2. John A.C.Bingham, ADSL,VDSL and Multicarrier modulation, 2000 John Wiley & Sons, Inc

3. Internetworking technology hand book, CISCO systems 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 89: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 86 REGULATIONS 2015

SEC561 3 DETECTION AND ESTIMATION THEORY L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To Understand basics of detection and estimation theory x To design and analyze optimum detection schemes

x To study different estimation schemes such as ML estimator

UNIT 1 DISCRETE RANDOM SIGNAL PROCESSING 12 Hrs.

Discrete Random Processes- Ensemble Averages, Stationary processes, Bias and Estimation, Autocovariance, Autocorrelation, Parseval’s theorem, Wiener-Khintchine relation, White noise, Power Spectral Density, Spectral factorization, Filtering Random Processes, Special types of Random Processes – ARMA, AR, MA – Yule-Walker equations.

UNIT 2 DETECTION AND ESTIMATION CRITERIA 12 Hrs.

Detection criteria : Bayes detection techniques, MAP, ML,– detection of M-ary signals, Neyman Peason, minimax decision criteria. Estimation: linear estimators, non-linear estimators, Bayes, MAP,ML, properties of estimators, phase and amplitude estimation.

UNIT 3 SPECTRAL ESTIMATION 12 Hrs.

Estimation of spectra from finite duration signals, Nonparametric methods – Periodogram, Modified periodogram, Bartlett, Welch and Blackman-Tukey methods, Parametric methods – ARMA, AR and MA model based spectral estimation, Solution using Levinson-Durbin algorithm.

UNIT 4 SYNCHRONIZATION 12 Hrs. Signal parameter estimation, carrier phase estimation, symbol timing estimator, joint estimation of carrier phase and symbol timing.

UNIT 5 RECEIVERS FOR AWGN AND FADING CHANNELS 12 Hrs.

Optimum receivers for AWGN channel -Correlation demodulator, matched filter, maximum likelihood sequence detector, envelope detectors for M-ary signals; Characterization of fading multipath channels, RAKE demodulator, Multiuser detection techniques.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Monson H. Hayes, Statistical Digital Signal Processing and Modeling, John Wiley and Sons, Inc, Singapore, 2002.

2. P.K.Bora, Statistical signal processing, IIT Guwahati lecture notes.

3. Don H Johnson, Statistical signal processing, Rice University, 2013.

4. Robert M gray and Lee D. Davisson, An Introduction to Statistical Signal Processing, Cambridge university press, 2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 90: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 87 REGULATIONS 2015

SEC561 4 LTE AND BEYOND 4G L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES x To understand motivation for long term evolution technology

x To explain the need for mobile systems with capabilities beyond those of 3G x To discuss the role of MIMO

UNIT 1 LTE BACKGROUND INFORMATION 12 Hrs.

Introduction to LTE-Evolution of mobile system before LTE- ITU activities in standardization- IMT 2000 and IMT Advanced- Spectrum for IMT System- Standardization of LTE- Standardization process- The 3GPP process-Release of 3GPP specification for LTE- The 3G evolution to 4G

UNIT 2 UMTS HSPS AND HSPA+ 12 Hrs.

Overview of beyond 3G network architecture- UMTS HSPS and HSPA+ - Network architecture- Base station-Radio network controller- Mobile Switching centres- SMSC- Packet switched core network- Servicing GPRS support node- Gateway GPRS Support Node- Interworking with GSM- HSPA- Multiple Spreading codes- HSUPA-Continuous packet connectivity- Radio network enhancement: one tunnel

UNIT 3 LTE 12 Hrs.

Network architecture- Enhanced BS- core network to RAN interface- Gateway to the internet- Moving between radio technologies- Air interface and radio network- Down link data transmission- Uplink data transmission-Physical parameters- Defined bandwidth for LTE- LTE Resource grid- Down link and uplink channels- Attaching to the LTE network and getting an IP address- Comparison of LTE with HSPA.

UNIT 4 LTE-ADVANCED 12 Hrs.

Challenges to the new generation network- performance target of LTE-A- Requirements of LTE-A- LTE-A overview- LTE-A Standard evolution- Technologies adopted in LTE-A- Carrier Aggregation- Collaborative multipoint-Advanced MIMO- self Organizing network- Heterogeneous network.

UNIT 5 BEYOND 4G 12 Hrs.

Market demands beyond 2020- Requirements of 5G- Key points in meeting out the requirements- More Spectrum on service- Denser network with more cells- Raising the overall performance- 5G evolution concept-Directions of evolution- Evolution paths- 5G Radio access concept- 5G technical components- phantom cell- Flexible duplex- Scalable LTE for new RAT- Massive MIMO- Non Orthogonal Multiple Access.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Erik Dahlman and Stefan Parkvall, 4G: LTE/LTE-Advanced for Mobile Broadband, Academic press, 2nd edition, 2014.

2. Martin Sauter. Beyond 3G – Bringing Networks, Terminals and the Web Together, 2009 John Wiley & Sons Ltd.

3. Xincheng Zhang, Xiaojin Zhou, LTE Advanced Air interface technology, 2013 CRC Press.

4. Nokia Solutions and Network , White paper on 5G, Dec 2013.

5. Docomo 5G White paper, 2014.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 91: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 88 REGULATIONS 2015

SEC561 5

MULTIDIMENSIONAL IMAGE

PROCESSING L T P Credits Total Marks

(For AE)

4 0 0 4 100

COURSE OBJECTIVES

x To comprehend the concepts of digital image processing

x To acquire knowledge about image prepocessing x To study the various 2D transforms and their applications to image processing

x To study the various techniques involved in tomographic imaging and to understand the concept of 3D visualization

UNIT 1 DIGITAL IMAGE FUNDAMENTALS 12 Hrs.

Elements of Visual Perception; Image Sensing and Acquisition; Image Sampling and Quantization; Basic Relationships between Pixels; Monochromatic Vision Models; Colour Vision Models; Colour Fundamentals; Colour Models; Conversion of Colour Models; Colour Transformations.

UNIT 2 IMAGE PREPROCESSING 12 Hrs. Introduction; Point Processing – Image Negatives, Log transformations, Power Law Transformations,

Piecewise-Linear Transformation Functions; Arithmetic/Logic Operations – Image Subtraction, Image Averaging; Histogram Processing – Histogram Equalization, Histogram Matching.

UNIT 3 2D TRANSFORMS 12 Hrs.

2D ORTHOGONAL, 2D UNITARY TRANSFORM,INTRODUCTION TO 2D Discrete Fourier Transform , Discrete Cosine Transform, Discrete Sine Transform, Welsh- Hadamard Transform, Haar Transform, Slant Transform, Singular Value Decomposition, ,Karhunen-Loeve Transforms.

UNIT 4 TOMOGRAPHIC IMAGING 12 Hrs. More than two dimensions, Volume imaging vs. sections, Basics of reconstruction, Algebraic reconstruction

methods, Maximum entropy , Defects in reconstructed images, Beam hardening, Imaging geometries, Three-dimensional tomography, High-resolution tomography.

UNIT 5 3D VISUALIZATION 12 Hrs.

Sources of 3D data,Serial sections,Optical sectioning, Sequential removal, Stereo measurement, 3D data sets, Slicing the data set, Arbitrary section planes, Volumetric display ,Stereo viewing ,Special display hardware, Ray tracing Reflection , Surfaces,

Multiply connected surfaces, Image processing in 3D, Measurements on 3D images.

Max. 60 Hours

TEXST / REFERENCE BOOKS 1. Rafael C. Gonzalez, Richard E. Woods, Digital Image Processing, 2 nd Edition, Pearson Education, Inc., 2004.

2. John C. Russ,The IMAGE PROCESSING, Handbook, Sixth Edition,CRC Press. 3. Anil K. Jain, Fundamentals of Digital Image Processing, PHI Learning Private Limited, New Delhi, 2002.

4. William K. Pratt, Digital Image Processing, 3 rd Edition, John Wiley & Sons, Inc., 2001.

5. Rafeal C.Gonzalez, Richard E.Woods and Steven L. Eddins Digital Image Processing using Matlab, Pearson Education, Inc., 2004.

6. Bernd Jähne, Digital Image Processing, 5 th Revised and Extended Edition, Springer, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 92: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 89 REGULATIONS 2015

SEC561 6

SPEECH RECOGNITION AND PROCESSING

L T P Credits Total Marks

(For CS)

4 0 0 4 100

COURSE OBJECTIVES x To provide a foundation in current speech recognition technologies x To build up a familiarity with the perceptually-salient aspects of the speech signal, and how they can be extracted

and manipulated through signal processing x To obtain a thorough understanding of speech processing

UNIT 1 SPEECH RECOGNITION FRONT END 12 Hrs. Feature extraction for speech recognition, Static and dynamic features for speech recognition, robustness

issues, discrimination in the feature space, feature selection. Mel frequency cepstral co-efficients (MFCC), Linear prediction cepstral coefficients (LPCC), Perceptual LPCC.

UNIT 2 ISTANCE MEASURES FOR COMPARING SPEECH PATTERNS 12 Hrs. Log spectral distance, cepstral distances, weighted cepstral distances, distances for linear and warped scales. Dynamic Time Warping for Isolated Word Recognition.

UNIT 3 STATISTICAL MODELS FOR SPEECH RECOGNITION 12 Hrs. Vector quantization models and applications in speaker recognition. Gaussian mixture modeling for speaker

and speech recognition. Discrete and Continuous Hidden Markov modeling for isolated word and continuous speech recognition

UNIT 4 TIME DOMAIN MODELS FOR SPEECH PROCESSING 12 Hrs. Time –dependent processing of Speech, Short time Energy and Average Magnitude, Short -time average

Zero-Crossing rate, Speech vs. Silence Discrimination using Energy and zero crossings, Pitch period estimation using a parallel processing approach, Short-Time autocorrelation function, Pitch period Estimation using the autocorrelation function ,median smoothing and speech processing

UNIT 5 FREQUENCY DOMAIN MODELS FOR SPEECH PROCESSING 12 Hrs. Short Time Fourier analysis: Fourier transform and linear filtering interpretations, Sampling rates –

Spectrographic displays – Pitch and formant extraction – Analysis by Synthesis – Analysis synthesis systems: Phase vocoder, Channel Vocoder – Homomorphic speech analysis: Cepstral analysis of Speech, Formant and Pitch Estimation, Homomorphic Vocoders

Max. 60 Hours

TEXT / REFERENCES BOOKS

1. L. R. Rabiner and R. W. Schaffer, “Digital Processing of Speech signals”, Prentice Hall, 1978. 2. Ben Gold and Nelson Morgan, “Speech and Audio Signal Processing”, John Wiley and Sons Inc., Singapore, 2004. 3. Lawrence R.Rabiner and Ronald.W.Schafer: “Introduction to Digital speech processing”, now publishers USA,2007

4. T.F.Quatieri, “Discrete-time Speech Signal Processing”, Prentice-Hall, PTR, 2001 5. Kenneth N.Stevens, “Acoustic Phonetics (Current studies in Linguistics)”, MIT Press

6. J.L Flanagan : Speech Analysis Synthesis and Perception - 2nd Edition - Sprenger Vertag, 1972. 7. I.H.Witten,”Principles of Computer Speech” , Academic press, 1983.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 93: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 90 REGULATIONS 2015

SEC561 7 DIGITAL SIGNAL PROCESSOR L T P Credits Total Marks

(For MI) 4 0 0 4 100

COURSE OBJECTIVES x To develop skills for analyzing and synthesizing algorithms and systems that process discrete time signals, with

emphasis on realization and implementation.

x To understand how to analyze a given signal or system using relevant tools, what kind of characteristics should we analyze to know the property of a signal or system; how to process signals to make them more useful; and how to design a signal processor (digital filter) for a given problem

UNIT 1 INTRODUCTION TO DSP INTEGRATED CIRCUITS 12 Hrs.

Introduction to Digital signal processing, Sampling of analog signals, Selection of sample frequency, Signal processing systems, Frequency response, Transfer functions, Signal flow graphs, Adaptive DSP algorithms- LMS & RLS Standard digital signal processors, Application specific IC’s for DSP, DSP systems, DSP system design, Integrated circuit design.

UNIT 2 DIGITAL FILTERS AND FINITE WORD LENGTH EFFECTS 12 Hrs.

FIR filters- Design of Linear Phase FIR Filter,FIR filter structures- Direct Form, transposed Direct Form, IIR filters, Specifications of IIR filters, Mapping of analog transfer functions, Mapping of analog filter structures, Finite word length effects –Parasitic oscillations- Zero Input Oscillation, Overflow Oscillation, Periodic input Oscillation, Quantization- Truncation, Rounding, Error due to truncation and rounding. Finite word length effects in FIR Digital Filters.

UNIT 3 DSP ARCHITECTURES 12 Hrs.

DSP system architectures, Standard DSP architecture-Harvard and Von Neumann Architecture. TMS320C50, TMS320C50x and TMS320C6x architecture, Motorola DSP56002 architecture, Ideal DSP architectures, Multiprocessors and Multi computers,.

UNIT 4 SYNTHESIS OF DSP ARCHITECTURES AND ARITHMETIC UNIT 12 Hrs. Mapping of DSP algorithms onto hardware, Uniprocessor Architecture Arithmetic Unit : Conventional number system, Redundant Number system, Residue Number System, Bit-parallel and Bit-Serial arithmetic.

UNIT 5 CASE STUDY-INTEGRATED CIRCUIT DESIGN 12 Hrs. Layout of VLSI circuits, Layout Styles, Case Study: FFT processor, DCT processor and Interpolator.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Lars Wanhammer, “DSP Integrated Circuits”, Academic press, New York, 1999.

2. John J. Proakis, Dimitris G. Manolakis, “Digital Signal Processing”, Pearson Education, 2002.

3. B.Venkatramani, M.Bhaskar, “Digital Signal Processors”, Tata McGraw-Hill, 2002.

4. Emmanuel C. Ifeachor, Barrie W. Jervis, “ Digital signal processing – A practical approach”,Tata McGraw-Hill, 2002.

5. Keshab K.Parhi, “VLSI Digital Signal Processing Systems design and Implementation”, John Wiley & Sons, 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 94: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 91 REGULATIONS 2015

SEC5618

PHYSICAL DESIGN OF INTEGRATED CIRCUITS

L T P Credits Total Marks

(For VLSI)

4 0 0 4 100

COURSE OBJECTIVES

x To introduce the physical design concepts such as routing, placement, partitioning, packaging and to study the performance of circuits layout designs, compaction techniques.

UNIT 1 INTRODUCTION TO VLSI TECHNOLOGY 12 Hrs.

Layout Rules-Circuit abstraction Cell generation using programmable logic array transistor chaining, Wein Berger arrays and gate matrices-layout of standard cells gate arrays and sea of gates,field programmable gate array(FPGA)-layout methodologies-Packaging-Computational Complexity-Algorithmic Paradigms.

UNIT 2 PLACEMENT USING TOP-DOWN APPROACH 12 Hrs.

Partitioning: Approximation of Hyper Graphs with Graphs, Kernighan-Lin Heuristic-Ratio cut-partition with capacity and i/o constrants. Floor planning: Rectangular dual floor planning-hierarchial approach-simulated annealing-Floor plan sizing-Placement: Cost function-force directed method-placement by simulated annealing-partitioning placement-module placement on a resistive network –regular placement-Linear placement.

UNIT 3 ROUTING USING TOP DOWN APPROACH 12 Hrs.

Fundamentals: Maze Running-line searching-Steiner trees Global Routing: Sequential Approacheshierarchial approaches-multi-commodity flow based techniques-Randomised Routing-One Step approach-Integer Linear Programming. Detailed Routing: Channel Routing-Switch box routing. Routing in FPGA: Array based FPGARow based FPGAs.

UNIT 4 VLSI SIMULATION 12 Hrs.

Simulation and logic synthesis- gate level and switch level modeling and simulation. Introduction to combinational logic synthesis. ROBDD principles, implementation, construction and manipulation. Two level logic synthesis.

UNIT 5 HIGH-LEVEL SYNTHESIS 12 Hrs.

High-level synthesis- hardware model for high level synthesis. Internal representation of input algorithms. Allocation, assignment and scheduling. Scheduling algorithms. Aspects of assignment. High level transformations.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Sarafzadeh, C.K. Wong, “An Introduction to VLSI Physical Design”, Mc Graw Hill International Edition 1995 .

2. Preas M. Lorenzatti, “ Physical Design and Automation of VLSI systems”, The Benjamin Cummins Publishers, 1998.

3. S.H. Gerez, "Algorithms for VLSI Design Automation", John Wiley & Sons, 2002.

4. N.A. Sherwani, "Algorithms for VLSI Physical Design Automation", Kluwar Academic Publishers, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 95: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 92 REGULATIONS 2015

SEC5619

HIGH LEVEL SYNTHESIS OF DIGITAL CIRCUITS

L T P Credits Total Marks

(For VLSI)

4 0 0 4 100

COURSE OBJECTIVES

x To facilitate the student with High level synthesis of Digital circuits.

x To understand the working and results of various digital circuits and components. x To understand various stages in design of Synthesis of Digital circuits x To equip the

student with tools for synthesis of digital circuits UNIT 1 INTRODUCTION TO HIGH-LEVEL SYNTHESIS 12 Hrs.

A Historical Perspective, Issues in Digital Integrated Circuit Design,System-Level Design of Hardware Systems - Overview of High-Level Synthesis - Role of Parallelizing CompilerTransformations in HLS - HLS for Behaviors with Complex Control Flow - Intermediate Representations in High-LevelSynthesis - Use of Loop Transformations in Compilers and High-Level Synthesis

UNIT 2 PARALLELIZING HIGH-LEVEL SYNTHESIS 12 Hrs. Methodology- Design Flow through a PHLS Framework -Passes and Techniques- Pre-Synthesis Compiler

Optimizations-Common Sub-Expression Elimination- Loop-Invariant Code Motion- Loop Unrolling -Loop Index Variable Elimination -Compiler and Synthesis Transformations-Limits of Parallelism within Basic Blocks-Speculation andPredicated Execution in Compilers-Role of Speculative Code Motions in High-Level Synthesis-Dynamic CommonSub-Expression Elimination-Chaining Operations Across Conditional Boundaries-Loop Shifting

UNIT 3 THE CMOS INVERTERS AND CMOS LOGIC GATES THE STATIC AND DYNAMIC VIEW 12 Hrs. Introduction to CMOS Inverter, The Static CMOS Inverter – An Intuitive Perspective, Evaluating the Robustness

of the CMOS Inverter, Introduction to Static CMOS Design, Complementary CMOS, Ratioed Logic, Pass-Transistor Logic Performance of CMOS Inverter: The Dynamic Behavior, Power, Energy, and Energy-Delay, Perspective: Technology Scaling and its Impact on the Inverter Metrics Dynamic CMOS Design, CMOS Logic Design Perspectives, Timing Metrics: Timing Metrics for Sequential Circuits, Classification of Memory Elements

UNIT 4 STATIC AND DYNAMIC SEQUENTIAL CIRCUITS 12 Hrs. Static Latches and Registers, Dynamic Latches and Registers, Alternative Register Styles: Pulse Registers and

Sense-Amplifier Based Registers, Pipelining: An Approach to Optimize Sequential Circuits – Latch Vs Register-Based Pipelines and NORA-CMOS – A Logic Style for Pipelined Structures, Non bistable Sequential Circuits Capacitive Parasitics, Resistive Parasitics, Inductive Parasitics, Advanced Interconnect Techniques, Networks -on-a-Chip

UNIT 5 TIMING ISSUES AND DESIGNING ARCHITECTURE BUILDING BLOCKS 12 Hrs. Introduction, Timing Classification of Digital Systems, Synchronous Design – An In-depth Perspective,

Self-Timed Circuit Design, Synchronisers and Arbiters, Clock Synthesis and Synchronisation Using a Phase -Locked Loop, Future Directions and Perspectives ,Design as a Trade-off , The Memory Core, Memory Peripheral Circuitry, Memory Reliability and Yield, Power Dissipation in Memories, Case Studies in Memory Design: The PLA, A 4 -Mbit SRAM and A 1-Gbit NAND Flash memory, Perspective: Semiconductor Memory Trends and Evolution

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Sumit Gupta, Rajesh K. Gupta “A Parallelizing Approach to The High -Level Synthesis of Digital Circuits”, Kluwer Academic

Publishers,2004

2. Jan M. Rabaey, AnanthaChandrakasan, BorivojeNikolic, Digital Integrated Circuits – A Design Perspective, 2nd edn., Pearson Education, 2003. ISBN: 8178089912.

3. K. Eshraghian, and N.H.E. Weste, Principles of CMOS VLSI Design – a Systems Perspective, 2nd edn., Addison Wesley, 1993.

4. Wayne Wolf, Modern VLSI Design System – on – Chip Design, 3rd edn., Pearson Education, 2003. 5. M. Michael Vai, VLSI Design, CRC Press, 2001.

6. John P. Uyemura, CMOS Logic Circuit Design, Springer (Kluwer Academic Publishers), 2001.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 96: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 93 REGULATIONS 2015

SEC5620 REAL TIME EMBEDDED SYSTEM DESIGN L T P Credits Total Marks

(For VLSI & AE) 4 0 0 4 100

COURSE OBJECTIVES x To acquire knowledge on various real time embedded systems and validates the performance of each system. x To develop an embedded system for specific applications

UNIT 1 INTRODUCTION TO EMBEDDED COMPUTING 12 Hrs. Complex systems and microprocessors – Design example: Model train controller –Embedded system design

process – Formalism for system design – Instruction sets Preliminaries – ARM Processor – CPU: Programming input and output – Supervisor mode, exception and traps – Coprocessor – Memory system mechanism – CPU performance – CPU power consumption- CPU buses – Memory devices – I/O devices

UNIT 2 SYSTEM MODELLING WITH HARDWARE/SOFTWARE PARTITIONING 12 Hrs. Embedded systems, Hardware/Software Co-Design, Co-Design for System Specification and

modelling-Single-processor Architectures &,Multi-Processor Architectures, comparison of Co-Design Approaches, Models of Computation, Requirements for Embedded System Specification, Hardware/Software Partitioning Problem, Hardware/Software Cost Estimation ,Generation of Partitioning by Graphical modelling, Formulation of the HW/SW scheduling, Optimization.

UNIT 3 MEMORY AND INTERFACING 12 Hrs.

Memory: Memory write ability and storage performance – Memory types – composing memory –Advance RAM interfacing communication basic – Microprocessor interfacing I/O addressing –Interrupts – Direct memory access – Arbitration multilevel bus architecture – Serial protocol –Parallel protocols – Wireless protocols – Digital camera example.

UNIT 4 OPERATING SYSTEMS AND HARDWARE NETWORKS 12 Hrs. Multiple tasks and multi processes – Processes – Context Switching – Operating Systems –Scheduling policies

- Multiprocessor – Inter Process Communication mechanisms – Evaluating operating system performance – Power optimization strategies for processes. Distributed Embedded Architecture –Networks for Embedded Systems – Network based design – Internet enabled systems.

UNIT 5 CONCURRENT PROCESS MODELS AND HARDWARE SOFTWARECO-DESIGN 12 Hrs. Modes of operation – Finite state machines – Models – HCFSL and state charts language –state machine

models – Concurrent process model – Concurrent process – Communication among process –Synchronization among process – Implementation – Data Flow model. Design technology – Automation synthesis – Hardware software co-simulation – IP cores – Design Process Model.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Wayne Wolf, Computers as Components - Principles of Embedded Computer System Design, Morgan Kaufmann Publisher, 2006.

2. David E-Simon,An Embedded Software Primer, Pearson Education, 2007. 3. K.V.K.K.Prasad, Embedded Real-Time Systems: Concepts, Design & Programming, Dreamtech press, 2005.

4. Tim Wilmshurst, An Introduction to the Design of Small Scale Embedded Systems,Pal grave Publisher, 2004.

5. Raj Kamal, Embedded Systems- Architecture, Programming and Design, Tata McGraw Hill, 2006. 6. Frank Vahid and Tony Gwargie,Embedded System Design, John Wiley & sons, 2002.

7. Steve Heath, Embedded System Design, Elsevier, Second Edition, 2004.

8. Ralf Niemann, Hardware/Software Co-Design for Data Flow Dominated Embedded Systems, Kluwer Academic Pub, 1998. 9. Tammy Noergaard, “Embedded Systems Architecture”, Elsevier, 2006.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 97: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 94 REGULATIONS 2015

SEC5621

SYSTEM ON CHIP DESIGN AND VERIFICATION

L T P Credits Total Marks

(For VLSI)

4 0 0 4 100

COURSE OBJECTIVES x To study about the IP cores and application specific design which is becoming the order of the day. x To learn System on chip fundamentals, their applications. x To gain knowledge on NOC design. x To learn the various computation models of SOCs

UNIT 1 INTRODUCTION TO THE SOC APPROACH 12 Hrs. System Architecture, Processor Architectures, Memory and Addressing, Review of Moore’s law and CMOS

Scaling, Comparison on System-on-Board, System-on-Chip, and System-in-Package- benefits of system-on-chip integration in terms of cost, power, and performance - SOC Design, Platform-Based SoC Design., Multiprocessor SoC and Network on Chip, Low-Power SoC Design, System Architecture and Complexity SoC Design.

UNIT 2 PROCESSORS & SYSTEM ON CHIP DESIGN PROCESS 12 Hrs. Processor Selection for SOC, Robust Processors- Vector Processors and Vector Instructions extensions,

VLIW Processors, Superscalar Processors- A canonical SoC Design, SoC Design flow waterfall vs spiral, top down vs Bottom up- Specification requirement, Types of Specification , System Design process and design issues, Soft IP Vs Hard IP, IP verification and integration, hardware-software co-design, Design for timing closure, Logic design issues Verification strategy, On chip buses and interfaces, Low Power, Hardware Accelerators in an SOC.

UNIT 3 EMBEDDED MEMORIES 12 Hrs. Overview of SOC external memory, Internal Memory, Scratchpads and Cache memory, Cache Organization,

Cache data, Write Policies, Types of Cache, Split – I, and D – Caches, Multilevel Caches, Virtual to real translation , SOC Memory System, memory interaction, Cache coherence, MESI protocol and Directory -based coherence.

UNIT 4 NOC-BASED SOC 12 Hrs. Network on Chip (NOC), Architecture of NoC -Network on Chip topologies-Mesh-based NoC.-Routing in an

NoC- Packet switching and wormhole routing- NoC Protocol Design, Low-Power Design for NoC, Low-Power Network on Chip Protocol, Low-Power Channel Coding,Low-Power Clocking, Low-Power Signaling, On-Chip Serialization.

UNIT 5 NOC / MPSOCS 12 Hrs. Real Chip Implementation-BONE Series, Industrial Implementations-,Intel’s Tera-FLOP 80-Core NoC, MPSoCs. Techniques for designing MPSoCs, Performance and flexibility for MPSoCs design.

Case study: A Low Power Open Multimedia Application Platform for 3G Wireless

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Computer System Design System-on-Chip - Michael J. Flynn and Wayne Luk, Wiely India Pvt. Ltd. 2. ARM System on Chip Architecture – Steve Furber –2nd Ed., 2000, Addison Wesley 3. Hoi-jun yoo, Kangmin Lee, Jun Kyoung kim, “Low power NoC for high performance SoC desing”,CRC press, 2008.

4. Vijay K. Madisetti Chonlameth Arpikanondt, “A Platform-Centric Approach to System-on-Chip (SOC) Design”, Springer, 2005. 5. Sudeep Pasricha and Nikil Dutt,”On-Chip Communication Architectures: System on Chip Interconnect”, Morgan Kaufmann

Publishers © 2008

6. Ahmed Amine Jeraya, Wayne Wolf, “Multiprocessor System On chip” , Morgan Kauffmann, 2005.

7. James K. Peckol, “Embedded Systems: A Contemporary Design Tool”, WILEY Student Edition.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 98: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 95 REGULATIONS 2015

SEC5622 HIGH SPEED VLSI DESIGN L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES

x This course will focus on importance of Clock frequencies, high speed circuit design, clocking techniques and signaling standards.

UNIT 1 LOGIC STYLES IN CMOS VLSI 12 Hrs.

Clocked logic styles, single-Rail Domino logic styles, Dual-Rail Domino structures, Latched Domino structures,clocked pass gate logic- Non clocked logic styles, Static CMOS ,DCVS logic ,N0n-clocked pass Gate Families.

UNIT 2 TECHNIQUES IN INDUCED VARIATIONS 12 Hrs. Circuit design Margining, Design induced Variations, process induced Variations, Application induced Variations, Noise.

UNIT 3 LATCHES 12 Hrs. Latching strategies, Basic Latch Design, Latching Differential logic, Hazards, Race Free Latches for Pre -charged logic, Asynchronous latch techniques.

UNIT 4 STANDARD NETWORK DESIGN 12 Hrs. Signaling standards, chip-to-chip communication Networks, ESD Protection, Standards and Models with design -Skew Tolerant design.

UNIT 5 CLOCKING TECHNIQUES 12 Hrs. Clocking styles, clock jitter, signal skew, clock skew, and data feed through clock generation, clock distribution, and asynchronous clocking techniques.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Kerry Bernstein "High Speed CMOS Design styles", Kulwer Academic Publishers, 2002.

2. Ivan Sutherland, Bob sproull, David Harris "Logical Efforts: Designing Fast CMOS Circuits", Kluwr Academic Publishers, 1999.

3. David Harris, "Skew Tolerant domino Design", Prentice Hall of India Private Ltd, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 99: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 96 REGULATIONS 2015

SEC5623

ALGORITHMS FOR VLSI DESIGN AUTOMATION

L T P Credits Total Marks

(For VLSI)

4 0 0 4 100

COURSE OBJECTIVES

x To impart knowledge on implementation of graph theory in VLSI, automation methods for VLSI physical design, automation methods on VLSI interconnects.

x To understand optimal arrangements of devices on a plane (or in three dimensions) and efficient interconnection

UNIT 1 METHODS FOR COMBINATIONAL OPTIMIZATION 12 Hrs.

Introduction to Design Methodologies, Design Automation tools, algorithmic Graph Theory, Computational complexity, Tractable and Intractable problems. Backtracking, Branch and Bound, Dynamic Programming, Integer Linear Programming, Local Search, Simulated Annealing, Tabu search, Genetic Algorithms.

UNIT 2 DESIGN PROBLEMS AND ALGORITHMS 12 Hrs. Layout Compaction, Placement, Floor planning and Routing Problems, Liao-Wong Algorithm, The Bellman-Ford Algorithm, Flow Map Algorithm, Multi-Level Coarsening Algorithm.

UNIT 3 PARTITIONING & FLOOR PLANNING 12 Hrs.

Kernighan and Lin Algorithm, Fiduccia and Mattheyses Algorithm, EIG Algorithm, FBB Algorithm. Floorplanning algorithms - Stockmeyer Algorithm, Normalized Polish Expression, ILP Floor planning Algorithm, Sequence Pair Representation.

UNIT 4 PLACEMENT & SIMULATION 12 Hrs.

Mincut Placement, GORDIAN Algorithm, Timber Wolf Algorithm. Routing - Steiner Min-Max Tree Algorithm, Multi-Commodity Flow Routing Algorithm , Iterative Deletion Algorithm , Yoshimura and Kuh Algorithm, Gate Level and Switch level modeling and simulation, Logic Synthesis and Verification.

UNIT 5 PHYSICAL DESIGN AUTOMATION OF FPGA’S & MCM’S 12 Hrs.

FPGA technologies, Physical Design cycle for FPGA’s, partitioning and routing for segmented and staggered Models. Physical design automation of MCMS, MCM technologies, MCM physical design cycle, Partitioning, Placement - Chip Array based and Full Custom Approaches, Physical design automation of MCMS.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. S.H.Gerez, WILEY "Algorithms for VLSI Design Automation", Student Edition, John wiley & Sons (Asia) Pvt. Ltd., 1999.

2. Soha Hassoun and Tsutomu Sasao “Logic Synthesis and verification”, Kluwer Academic Publisher, 2003.

3. Naveed Sherwani "Algorithms for VLSI Physical Design Automation", 3rd edition, Kluwer Academic Publishers, 1995.

4. Giovanni De Michele “Synthesis and optimization of digital circuits”, Mc Graw Hill, 1994. Sung Kyu Lim "Practical

Problems in VLSI Physical Design Automation", Springer, 2008.

5. Hill & Peterson "Computer Aided Logical Design with Emphasis on VLSI", Wiley, 1993.

6. Wayne Wolf "Modern VLSI Design: Systems on silicon", Pearson Education Asia, 2nd Edition, 2006.

END SEMESTER EXAM QUESTION PAPER PATTERN:

Max. Marks : 100 Exam Duration : 3 Hrs Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 100: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 97 REGULATIONS 2015

SEC5624 ALGORITHMS TO VLSI ARCHITECTURES L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES x To comprehend the concepts of design methodologies. x To acquire knowledge about partitioning and systolic architectures. x To understand the concept design of VLSI architectures.

UNIT 1 DESIGN METHODOLOGIES 12 Hrs. Introduction to Design Methodologies, Design Automation tools-Iteration bound – data flow graph

representation- loop bound and iteration bound- Algorithms for computing Iteration bound: LPM –MCM - Iteration bound of multi rate data flow graphs- algorithmic Graph Theory- Computational complexity-Tractable and Intractable problems-Backtracking-Branch and Bound- Simulated Annealing- Tabu search- Genetic Algorithms.

UNIT 2 RETIMING AND UNFOLDING 12 Hrs. Retiming – definition and properties - solving systems of inequalities - Retiming techniques – Unfolding –

Properties and algorithm for unfolding - folding transformation- register minimization technique- register minimization in folded architectures - folding of multi rate systems- Layout Compaction, Placement, Floor planning And Routing Problems, Rajaraman and Wong Algorithm, Flow Map Algorithm, Multi-Level Coarsening Algorithm.

UNIT 3 PARTITIONING &SYSTOLIC ARCHITECTURES 12 Hrs.

Kernighan and Lin Algorithm-Fiduccia and Mattheyses Algorithm- EIG Algorithm- FBB Algorithm-Systolic architecture design – methodology, FIR systolic array, selection of scheduling vector , matrix to matrix multiplication , 2D systolic array design, systolic design for space representation containing delays

UNIT 4 FLOOR PLANNING AND PLACEMENT 12 Hrs. Floorplanning algorithms - Stockmeyer Algorithm- Normalized Polish Expression- ILP Floor planning

Algorithm- Sequence Pair Representation- Mincut Placement-GORDIAN Algorithm-TimberWolf Algorithm. Routing - Steiner Min-Max Tree Algorithm - Multi-Commodity Flow Routing Algorithm - Iterative Deletion Algorithm - Yoshimura and Kuh Algorithm.

UNIT 5 DESIGN OF VLSI ARCHITECTURES 12 Hrs. Design of VLSI Architectures : Architectural Design at Register Transfer Level - Design of Datapath elements

Control structures Testable and self-reconfigurable fault-tolerant structures - Speed-Area- CORDIC algorithm and multiplier less architectures - FPGA technologies, Physical Design cycle for FPGA’s - Chip Array based and Full Custom Approaches.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Wayne Wolf "Modern VLSI Design: Systems on silicon", Pearson Education Asia, 2nd Edition, 2006. 2. Naveed Sherwani "Algorithms for VLSI Physical Design Automation", 3rd edition, Kluwer Academic Publishers, 1995.

3. Sung Kyu Lim "Practical Problems in VLSI Physical Design Automation", Springer, 2008.

4. Hill & Peterson "Computer Aided Logical Design with Emphasis on VLSI", Wiley, 1993. 5. S.H.Gerez, WILEY "Algorithms for VLSI Design Automation", Student Edition, John wiley& Sons (Asia) Pvt. Ltd., 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 101: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 98 REGULATIONS 2015

SEC5625 LOW POWER VLSI DESIGN L T P Credits Total Marks

(For VLSI, AE & CS) 4 0 0 4 100

COURSE OBJECTIVES

x This course will focus on sources of power dissipation, types of analysis, Low power VLSI design Techniques and methodologies

UNIT 1 INTRODUCTION TO LOW POWER VLSI DESIGN 12 Hrs. Introduction- Need for Low power VLSI design– Charging and Discharging Capacitance- Short circuit current in CMOS– CMOS leakage current- Static current- Principles of Low power design- Low power figure of Merits.

UNIT 2 POWER ANALYSIS METHODS 12 Hrs.

Simulation power analysis- SPICE circuit analysis- Discrete Transistor Modeling and analysis - Gate Level Logic simulation - Architecture level analysis - Data Correlation analysis in DSP systems - Monte Carlo Simulation – Random Logic signal- Probability Power analysis techniques- Signal entropy.

UNIT 3 GATING AND ENCODING TECHNIQUES 12 Hrs.

Transistor and gate sizing-Network Restructuring and Reorganization- special latches and Flip flops-Low power digital cell library - Gate Reorganization- Signal Gating –Logic Encoding -State Machine encoding-Precomputation Logic.

UNIT 4 SPECIAL TECHNIQUES 12 Hrs.

Special Techniques- Power reduction in clock networks- CMOS floating node -Low power Bus -Delay Balancing- Low power techniques for SRAM- Architecture and system- Power and performance management - Switching activity reduction -Parallel Architecture –Flow graph transformation.

UNIT 5 ADVANCED TECHNIQUES 12 Hrs.

Advanced techniques- Adiabatic Computation- Pass transistor Logic synthesis -Asynchronous circuits – Software Design for Low power- Sources of software power dissipation- Software power optimization.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Gary Yeap "Practical Low Power Digital VLSI design", Kluwer Academic Publishers - 1998 Edition

2. Sharat Prasad and Koushik Roy "Low power CMOS VLSI Circuit design”, John Wiley Publications", 2000 Edition

3. Kiat Seng Yeo &Kaushik Roy “Low voltage, Low power VLSI subsystems”, McGraw -Hill 2009.

4. Meloberti Franco “Analog design for CMOS VLSI systems“, Kluwer Academic Publishers-2001

5. Abdellatif Bellaouar “Low-Power Digital VLSI Design: Circuits and Systems”, kluwer Academic Publishers - 1995

6. Saraju P. Mohanty- Nagarajan Ranganathan, Elias Kougianos, Priyardarsan Patra “Low-Power High-Level Synthesis for

Nanoscale CMOS Circuits”, Springer-2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 102: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 99 REGULATIONS 2015

SEC5626 VLSI CIRCUIT DESIGN L T P Credits Total Marks

(For PEID) 4 0 0 4 100

COURSE OBJECTIVE

x To enable students to understand and learn basic concepts of VLSI and to design both combinational and sequential circuit design. This will further enhance the idea of building basic blocks of digital operations along with front end design tool called VHDL.

UNIT I 12 Hrs.

The MOS transistor - Current Voltage Relations - Threshold Voltage - Second order effects - MOS models – DC characteristics – Small signal AC characteristics of CMOS inverter –CV relationship – Power consumption – Scaling of MOS circuits- Design of Logic gates - Stick diagrams- Layout

UNIT II 12 Hrs. Ratioed Circuits- Pass Transistors- Dynamic CMOS circuits- Types- Domino logic- CVSL- Transmission Gates- Design of Combinational circuits.

UNIT III 12 Hrs. Behavior of bistable elements - Clocked latch and flip flop circuits, CMOS D latch and edge triggered flipflop – Two pass clocking- TSPCL- Pipelining.

UNIT IV 12 Hrs.

The Adder- Ripple carry adder- Carry look ahead adder- Carry bypass adder- carry select adder- square root carry select adder- Propagation delay estimation of the adder-The Array Multiplier – Multiplier structures - Baugh-Wooly – Booth Multiplier – Barrel shifter – Memory structures – SRAM and DRAM design .

UNIT V 12 Hrs.

Introduction to VHDL – Data types – Data objects - Operators – Data Types – Data objects – Concurrent constructs – Sequential constructs. - Behavioral Data Flow and Structural Model – VHDL attributes – Timing related issues – subprogram – Functions – Procedure – Package – Timing Diagrams: Micro and Macro Timing diagrams – Hazards – Timing Simulations.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Smith, “Application Specific Integrated Circuits”, Wesley, Second Print, 2000.

2. J.Bhasker, “VHDL Primer”, Prentice Hall, 1998.

3. James, E.Palmer, David E Perlman, “Introduction to Digital System”, Tata McGraw Hill, 1996.

4. Kevin Skahill, "VHDL for Programmable Logic Devices”, Addison Wesley, 1996.

5. Stefan Sjoholm and Lennart Lindh, "VHDL FOR DESIGNERS", Prentice Hall, 1997.

6. Fabricious E Design Introduction to VLSI Design – MGH Co 1990.

7. Jan M . Rabaey. Digital Integrated Circuits : Pearsomn Education Ltd

8. Pucknell, Basic VLSI Design . PHI Ltd

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 103: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 100 REGULATIONS 2015

ANALYSIS AND MODELING OF L T P Credits Total Marks

SEC5627 DIGITAL SYSTEM USING VHDL 4 0 0 4 100 (For PEID)

COURSEOBJECTIVE

x To enhance the idea of implementation of digital system design using hardware description knowledge for FPGA and applications related to the system design

UNIT I VHDL FUNDAMENTALS 12 Hrs.

Fundamental Concepts – Modeling Digital Systems – Domains and Levels of Modeling – Modeling Languages – VHDL Modeling concepts – Scalar Data Types and Operations – Constants and variables – Scalar Types – Type Classification – Attributes and Scalar types – Expressions and operators – Sequential Statements – If statements – Case statements – Null Statements – Loop statements – Assertion and Report statements.

UNIT II COMPOSITE DATA TYPES AND BASIC MODELING CONSTRUCTS 12 Hrs.

Arrays – Unconstrained Array types – Array Operations and Referencing – Records – Basic Modeling Constructs – Entity Declarations – Architecture Bodies – Behavioral Descriptions – Structural Descriptions- Design of gates- encoders- decoders- Adders- Flipflop- counters ( programs)

UNIT III SUBPROGRAMS AND PACKAGES 12 Hrs.

Procedures – Procedure Parameters – Concurrent Procedure Call Statements – Functions – Overloading – Visibility of Declarations – Packages and Use Clauses – Package declarations – Package bodies – Use Clauses – The predefined – Aliases - Aliases for data objects – Aliases for Non-Data Items.

UNIT IV SIGNALS, COMPONENTS AND CONFIGURATIONS 12 Hrs.

Basic Resolved signals – IEEE Std_Logic_1 164 Resolved subtypes – Resolved signal parameters – Generic

– Program for n input and gates- Components and Configurations – Components – Configuring component Instances

– Configuration Specification – Generate Statements – Generating iterative structure – Conditionally generating structures – Configuration of generate Statements.- 4 bit ripple carry adder using Generate statements.

UNIT V FPGA AND CPLD 12 Hrs.

Design approach of Programmable logic devices – PLA, PAL and ROMs and EPROMs- Programmable gate arrays and applications – CPLDS - FPGAs – Antifuse FPGA – Synthesis methods for FPGA – Electronically programmable functions – Case study: FPGA realization of space-vector PWM control IC for three-phase PWM inverters- FPGA based PMDC motor control applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Peter J.Ashenden, "The Designer’s Guide to VHDL", Morgan Kaufmann Publishers, San Francisco, Second Edition , May 2001.

2. Zainalabedin Navabi, "VHDL Analysis and Modeling of Digital Systems", McGraw Hill International Editions, Second Edition,

1998.

3. James M.Lee, "Verilog Quick start", Kluwer Academic Publishers, Second Edition, 1999

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 104: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 101 REGULATIONS 2015

SEC5628 RF CIRCUIT DESIGN L T P Credits Total Marks

(For VLSI, EMB)) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basics of RF circuit designing x To learn the RF front end subsystem designing x To learn and understand the issues in RF design and measurement techniques

UNIT 1 RF ELECTRONIC CONCEPTS 12 Hrs. Importance of radio frequency design - materials used for different RF electronic devices - RF Microwave

versus DC or AC signals –– RF behavior of passive components: R, L, C transformer – Chip components: Circuit board consideration –Chip resistors-Chip capacitors-Surface mounted inductors- resonant circuits - RF behavior of active components: BJT - MOSFET- Basics of RF/Microwave antenna and array - Basics of smith chart calculations – Smith circle.

UNIT 2 MATCHING AND BIASING NETWORK 12 Hrs. RF Impedance matching using discrete component – Design of matching circuits using lumped and distributed

elements - Frequency response - Quality factor- T and Pi matching networks, micro-strip line matching network - BICMOS biasing networks – Impedance computing using Smith chart : From reflection coefficient to load impedance – Parametric reflection coefficient equation – Graphical representation – Impedance transformation – Admittance transformation

UNIT 3 DESIGN OF AMPLIFIERS AND MIXERS 12 Hrs. Amplifier classes of operation and biasing networks-Stability and noise considerations in active network –

Rollett’s stability factor - Gain considerations in amplifiers – Linear and non linear design of RF amplifiers - Design philosophy of Low noise amplifiers (Qualitative treatment only) – Negative resistance oscillator – Conditions leading to oscillator’s stable operation – Basics of mixer circuits and types.

UNIT 4 ELECTROMAGNETIC COMPATIBILITY, EMI AND NOISE 12 Hrs. EMC definitions and units of parameters – EMI: Conducted and Radiated EMI emission and Susceptibility –

transient EMI- ESD – Radiation hazards – Mismatch related concepts - Radiation losses - Noise: Thermal, shot, flicker, phase noise - IP2- IP3 - Sensitivity- Noise contributions of various structures on RFIC - Non linearities in circuits - Passive inter modulation distortion – Inter Symbol Interference.

UNIT 5 RF CIRCUITS MEASUREMENTS 12 Hrs. RF performance metrics : S Parameter Models - Input and output VSWR – Noise Figure -Directivity - Insertion

loss – Isolation loss – coupling factor - RF mismatch factor – Sources of Uncertainty –– Considerations for attenuation measurements – Noise measurements – Spectrum analyzer measurements - RF antenna measurements

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Matthew M. Radmanesh ” Radio frequency & Microwave Electronics illustrated“, Prentice Hall, 2001. 2. Reinhold Ludwig, Gene Bogdanov, “RF circuit design, theory and applications“, Pearson Asia Education, 2nd edition, 2009. 3. RF Microelectronics by Behzad Razavi. Prentice Hall,1997.

4. Robbert J Webber”Radio frequency & design applications“, IEEE Press, 2001 5. Jeremy Everard “Fundamentals of RF Circuit Design”, John Wiley, 2001.

6. Thomas H.Lee, “The Design of RF Integrated Circuits” ,Cambridge university press, 2004. 7. D.Pozar, "Microwave Engineering", John Wiley & Sons, New York, 2008. 8. Bahil and P. Bhartia, "Microwave Solid State Circuit Design", Wiley-Interscience, 2003.

9. R.J. Collier, A.D. Skinner, ”Microwave Measurements”, 3rd Edition,2007 10. L.E. Larson, “RF & Microwave Circuit Design for Wireless Communication “, Artech House Publishers, 1997.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 105: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 102 REGULATIONS 2015

SEC5629 ASIC DESIGN L T P Credits Total Marks

(For VLSI & AE) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basic concepts of ASIC design flow

x To acquire the knowledge about memory architectures and back end of VLSI design x To learn the fundamentals and recent advancements of SOC and NOC

UNIT 1 INTRODUCTION TO ASICS, CMOS LOGIC AND ASIC LIBRARY DESIGN 12 Hrs.

Types of ASICs - Design Flow - CMOS transistors, CMOS design rules - Combinational Logic Cell – Sequential logic cell - Data path logic cell - transistors as resistors - transistor parasitic capacitance - Logical effort - Library cell design - Library architecture.

UNIT 2 PROGRAMMABLE LOGIC CELLS AND I/O CELLS 12 Hrs.

Anti fuse – static RAM – EPROM and EEPROM technology – PREP bench marks – Actel ACT – Xilinx LCA – Altera FLEX – Altera MAX DC & AC inputs and outputs – Clock and power inputs – Xilinx I/O blocks-Actel ACT – Xilinx LCA – Xilinx EPLD – Altera MAX 5000 and 7000 – Altera MAX 9000 Altera FLEX.

UNIT 3 FLOOR PLANNING, PLACEMENT AND ROUTING 12 Hrs. System partition - FPGA partitioning - partitioning methods - floor planning - placement - physical design flow - global routing - detailed routing - special routing - circuit extraction - DRC.

UNIT 4 SOC FUNDAMENTALS, SOFTWARE AND ENERGY MANAGEMENT 12 Hrs. Essential issues of SoC design – A SoC for Digital still camera – multimedia IP development: Image and video Codecs.SoC embedded software – energy management techniques for SoC design.

UNIT 5 NOC DESIGN 12 Hrs.

Practical Design of NoC, NoC Topology-Analysis Methodology, Energy Exploration, NoC Protocol Design, Low-Power Design for NoC: Low-Power Signaling, On-Chip Serialization, Low-Power Clocking, Low-Power Channel Coding, Low-Power Switch, Low-Power Network on Chip Protocol.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. M.J.S. SMITH, “Application Specific Integrated Circuits”, Addison Wesley Longman Inc., 1997.

2. Youn-Long, Steve Lin, “Essential Issues of SoC Design: Designing Complex Systems- On- Chip”, Springer, 2006.

3. Wolf Wayne, “FPGA Based System Design”, Pearson Education India, 2004.

4. Axel Jantsch, Hannu Tenhunen, “Network on chips”, Kluwer Academic Publishers, 2003.

5. Hoi-jun yoo, Kangmin Lee, Jun Kyoung Kim, “Low power NoC for high performance SoC desing”, CRC press, 2008.

6. Vijay K. Madisetti Chonlameth Arpikanondt, “A Platform-Centric Approach to System- on- Chip (SOC) Design”, Springer, 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 106: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 103 REGULATIONS 2015

SEC5630 ASIC DESIGN L T P Credits Total Marks

(For VLSI & AE) 4 0 0 4 100

COURSE OBJECTIVES x To study the Digital signal Processors x To study multirate signal processing fundamentals. x To study the analysis of speech signals. x To introduce the student to wavelet transforms.

UNIT 1 PARAMETRIC METHODS FOR POWER SPECTRUM ESTIMATION 12 Hrs. Relationship between the auto correlation and the model parameters – The Yule – Walker method for the AR

Model Parameters – The Burg Method for the AR Model parameters – unconstrained least-squares method for the AR Model parameters – sequential estimation methods for the AR Model parameters – selection of AR Model order.

UNIT 2 ADAPTIVE SIGNAL PROCESSING 12 Hrs. FIR adaptive filters – steepest descent adaptive filter – LMS algorithm – convergence of LMS algorithms – Application: noise cancellation – channel equalization – adaptive recursive filters – recursive least squares.

UNIT 3 MULTIRATE SIGNAL PROCESSING 12 Hrs. Fundamentals of multirate systems-Basic Multirate operation-Decimation by a factor D – Interpolation by a

factor I – Filter Design and implementation for sampling rate conversion: Direct form FIR filter structures – Polyphase filter structure.

UNIT 4 SPEECH SIGNAL PROCESSING 12 Hrs. Digital models for speech signal : Mechanism of speech production – model for vocal tract, radiation and

excitation – complete model – time domain processing of speech signal:- Pitch period estimation – using autocorrelation function – Linear predictive Coding: Basic Principles – autocorrelation method – Durbin recursive solution.

UNIT 5 WAVELET TRANSFORMS 12 Hrs. Fourier Transform : Its power and Limitations – Short Time Fourier Transform – The Gabor Transform - Discrete Time Fourier Transform and filter banks – Continuous Wavelet Transform – Wavelet Transform Ideal Case – Perfect Reconstruction Filter Banks and wavelets – Recursive multi-resolution decomposition – Haar Wavelet – Daubechies Wavelet.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. John G.Proakis, Dimitris G.Manobakis, Digital Signal Processing, Principles, Algorithms and Applications, Third edition, (200 0) PHI.

2. Monson H.Hayes – Statistical Digital Signal Processing and Modeling, Wiley, 2002.

3. L.R.Rabiner and R.W.Schaber, Digital Processing of Speech Signals, Pearson Education (1979). 4. Roberto Crist, Modern Digital Signal Processing, Thomson Brooks/Cole (2004)

5. Raghuveer. M. Rao, Ajit S.Bopardikar, Wavelet Transforms, Introduction to Theory and applications, Pearson Education, Asia, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 107: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 104 REGULATIONS 2015

SEC5631

DESIGN OF SEMICONDUCTOR MEMORIES

L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To learn the types of Semiconductor Memories with various design techniques. x To excel in Advanced Memory Technologies and Memory packaging technologies. x To analyze Memory faults and fault modeling

UNIT 1 RANDOM ACCESS MEMORY TECHNOLOGIES 12 Hrs. Static Random Access Memory(SRAMs):SRAM cell structure-MOS SRAM architecture-MOS SRAM cell and

peripheralcircuit operation-bipolar SRAM technologies- Silicon on insulator(SOI) technology-advanced SRAM architectures and technologies, application specific SRAMs-CMOS CRAMs - DRAMs cell theory and advanced cell structures-BiCMOSDRAMs-soft error failure in DRAMs -Advanced DRAM designs and architecture-application specific DRAMs.

UNIT 2 NONVOLATILE MEMORIES 12 Hrs. Masked Read-only memories (ROMs):High density ROMs-Programmable read only memories(PROMs) –

Bipolar PROMs- CMOS PROMs-erasable (UV)- Programmable read only memories (EPROMs)-Floating Gate EPROMcell-one -time programmable (OTP) EPROMs-Electrically Erasable PROMs(EEPROMs)- EEPROM technology andarchitecture – non-volatile SRAM-Flash memories(EPROMs or EEPROM)-Advanced flash memory architecture –Phase Change Memory–Design Techniques of PCM–Magnetoresistive RAM– Resistive RAM.

UNIT 3 ADVANCED MEMORY TECHNOLOGIES AND HIGH –DENSITY MEMORY PACKAGINGTECHNOLOGIES 12 Hrs.

Ferroelectric Random Access Memories (FRAMs)-Gallium Arsenide (GaAs) FRAMs-Analog memories magneto resistive random access memories (MRAMs) – Experimental memory devices. Memory hybrids and MCMs (2D)-Memory stacks and MCMs (3D)-Memory MCM testing and reliability issues-memory cards-high density memory packaging future directions.

UNIT 4 SEMICONDUCTOR MEMORY RELIABILITY AND RADIATION EFFECTS 12 Hrs. General Reliability issues-RAM failure modes and mechanism-nonvolatile memory reliability-reliability

modelling and failure rate prediction- design for reliability-reliability test structures-reliability screening and qualification. Radiationeffects-single event phenomenon (SEP)-radiation hardening techniques-radiation hardening process and design issues-radiation hardened memory characteristics-radiation hardness assurance and testing-radiation dosimetry – waterlevel radiation testing and structures.

UNIT 5 MEMORY FAULT MODELING,TESTING AND MEMORY DESIGN FOR TESTABILITY & FAULT TOLERANCE 12 Hrs.

RAM fault modelling,electrical testing,Pseudo random testing-megabit DRAM-nonvolatile memory modelling and testing-IDDQ fault modelling and testing-application specific memory testing and the tools for fault modelling and testing.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Ashok K.Sharma “Semiconductor Memories Technology, testing and reliability", IEEE Press, 1997. 2. Ivan Sutherland Bob sproull, David Harris, "Logical Efforts, Designing Fast CMOS Circuits", Kluwr Academic Press, 1999.

3. David Harris, "Skew Tolerant domino Design", Prentice Hall of India Private Ltd, 2000 4. Hai Li, “Nonvolatile Memory Design: Magnetic, Resistive, and Phase Chang”,CRC Press, December 19, 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 108: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 105 REGULATIONS 2015

SEC5632

ELECTROMAGNETIC INTERFERENCE & COMPATIBILITY

L T P Credits Total Marks

(For AE, EMB, CS) 4 0 0 4 100

COURSE OBJECTIVES

x To provide an understanding of Electromagnetic Interference (EMI)/Electromagnetic Compatibility (EMC) methodology and concepts

x Become familiar with specifications, standards and measurements of EMI

x Learn EMI filter design and other mitigating solutions

x Understand circuit board layout and mechanical packaging considerations for EMI/EMC compliant designs

UNIT 1 EMI ENVIRONMENT 12 Hrs.

Introduction to EMI/EMC-Basics of electro Magnetic interference (EMI) Fundamentals of electromagnetic compatibility (EMC)-Radiation hazards Transients and other EMI sources Electrostatics discharge (ESD) Phenomena and effects, Transient phenomena and suppression -Tempest- Lightning.

UNIT 2 EMI COUPLING 12 Hrs.

EMI coupling modes - CM and DM -EMI from apparatus and circuits: Introduction-Electromagnetic emission-Appliances-noise from relays and switches-nonlinearities in circuits-Passive inter modulation-Cross talk in transmission lines - Transmission in power supply lines-Electromagnetic interference.

UNIT 3 EMI SPECIFICATION/STANDARDS AND MEASUREMENTS 12 Hrs.

Units of specification - civilian standards and military standards. Basics of EMI measurements-EMI measurement tools-TEM cell-measurement using TEM cell-Reverberating chamber-GTEM cell-Anechoic chamber-Open area test site-RF absorbers-conducted interference measurements-conducted EMI from equipments-Experimental setup for measuring conducted EMI-Measurement of DM interferences.

UNIT 4 EMI CONTROL TECHNIQUE 12 Hrs. Shielding technique-Filter techniques-Grounding techniques-Bonding techniques-Cable connectors and components-Isolation transformer-Transient suppressor- EMI gasket- Opto-Isolator.

UNIT 5: EMC DESIGN OF PCB 12 Hrs.

Designing for EMC:Introduction-Different techniques involved in designing for EMC-EMC guide lines for PCB designs-EMC design guide line for audio and control circuit design, RF design, power supply design-Mother board designs and propagation delay- Trace routing, Impedance control, decoupling, Zoning and grounding.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Bernhard Keiser, “Principles of Electromagnetic Compatibility”, Artech House, 3rd Edition 1987.

2. Henry W.Ott, “Noise Reduction Techniques in Electronics Systems”, John Wiley and Sons. New York, 1976.

3. DonWhite, “Consultant incorporate-Handbook of EMI/EMC”, Vol 1, 1985.

4. Clayton R. Pau, “Introduction to EMC”, Wiley & Sons, 2006.

5. Sathyamurthy.S, “Basics of Electro Magnetic Compatibility”, Society of EMC Engineerirs (India), 2003.

6. Kodali.V.P., “Engineering EMC Principles, Measurements and Technologies”, IEEE Press, 2001.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 109: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 106 REGULATIONS 2015

SEC5633 EMBEDDED CONTROL SYSTEMS L T P Credits Total Marks

(For AE, EMB, E&C, PEID & PSE) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the fundamental principles of various peripherals and its operation x To learn the principles of DAC and ADC conversions

x To discuss about the operation of Asynchronous serial communication

x To apply the basic concept of control system in real time embedded application

UNIT 1 INTRODUCTION 12 Hrs.

Nonlinear controller elements - Controller implementation and testing in Embedded Systems. Controlling the hardware with software – Data lines – Address lines - Ports – Schematic representation – Bit masking – Programmable peripheral interface – Switch input detection – 74 LS 244.

UNIT 2 INPUT-OUTPUT DEVICES 12 Hrs.

Keyboard basics – Keyboard scanning algorithm – Multiplexed LED displays – Character LCD modules – LCD module display – Configuration – Time-of-day clock – Timer manager - Interrupts - Interrupt service routines – IRQ - ISR - Interrupt vector or dispatch table multiple-point - Interrupt-driven pulse width modulation.

UNIT 3 D/A AND A/D CONVERSION 12 Hrs.

R 2R ladder - Resistor network analysis - Port offsets - Triangle waves analog vs. digital values - ADC0809 – Auto port detect - Recording and playing back voice - Capturing analog information in the timer interrupt service routine - Automatic, multiple channel analog to digital data acquisition.

UNIT 4 ASYNCHRONOUS SERIAL COMMUNICATION 12 Hrs. Asynchronous serial communication – RS-232 – RS-485 – Sending and receiving data – Serial ports on PC – Low-level PC serial I/O module - Buffered serial I/O.

UNIT 5 CASE STUDIES: EMBEDDED C PROGRAMMING 12 Hrs.

Multiple closure problems – Basic outputs with PPI – Controlling motors – Bi-directional control of motors – H bridge – Telephonic systems – Stepper control – Inventory control systems- Burger alarms- Fire alarms.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Jean J. Labrosse, “Embedded Systems Building Blocks: Complete and Ready-To-Use Modules in C”, CMP, 2nd Edition, 2009.

2. Jim Ledin, “Embedded control systems in C/C++”, CMP Books,

3. Ball S.R., “Embedded microprocessor Systems – Real World Design”, Prentice Hall, 2nd Edition, 1996.

4. Herma K, “Real Time Systems – Design for distributed Embedded Applications”, Kluwer Academic, 1st Edition, 1997.

5. Daniel W. Lewis, “Fundamentals of Embedded Software where C and Assembly meet”, Prentice Hall of India, 2nd Edition, 2002.

6. Ben-Zion Sandler, “Robotics”, Elsevier Publications, 1999

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 110: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 107 REGULATIONS 2015

SEC5634

SOFTWARE MODELLING FOR EMBEDDED SYSTEM

L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x Introduce the students to the issues and challenges in developing software for embedded systems. x Educate the students in formal modeling, design and development methodologies. x Expose the students to software tools and techniques used in the development process

UNIT 1 EMBEDDED PROGRAMMING 12 Hrs.

C and Assembly - Programming Style - Declarations and Expressions - Arrays, Qualifiers and Reading Numbers - Decision and Control Statements - Programming Process - More Control Statements - Variable Scope and Functions - C Preprocessor - Advanced Types - Simple Pointers - Debugging and Optimization – In-line Assembly.

UNIT 2 C PROGRAMMING TOOLCHAIN IN LINUX 12 Hrs.

C preprocessor - Stages of Compilation - Introduction to GCC - Debugging with GDB - The Make utility - GNU Configure and Build System - GNU Binary utilities - Profiling - using gprof -Memory Leak Detection with val grind - Introduction to GNU C Library

UNIT 3 EMBEDDED C AND EMBEDDED OS 12 Hrs.

Adding Structure to ‘C’ Code: Object oriented programming with C, Header files for Project and Port, Examples. Meeting Real-time constraints: Creating hardware delays - Need for timeout mechanism - Creating loop timeouts - Creating hardware timeouts. Creating embedded operating system: Basis of a simple embedded OS, Introduction to sEOS, Using Timer 0 and Timer 1, Portability issue, Alternative system architecture, Important design considerations when using sEOS.

UNIT 4 TIME-DRIVEN MULTI-STATE ARCHITECTURE AND HARDWARE 12 Hrs.

Multi-State systems and function sequences: Implementing multi-state (Timed) system -Implementing a Multi-state (Input/Timed) system. Using the Serial Interface: RS232 - The Basic RS-232 Protocol - Asynchronous data transmission and baud rates - Flow control - Software architecture - Using on-chip UART for RS-232 communication - Memory requirements - The serial menu architecture - Examples. Case study: Intruder alarm system.

UNIT 5 EMBEDDED JAVA 12 Hrs. Introduction to Embedded Java and J2ME – Smart Card basics – Java card technology overview – Java card objects – Java card applets – working with APDUs – Web Technology for Embedded Systems.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Steve Oualline, ‘Practical C Programming 3rd Edition’, O’Reilly Media, Inc, 2006.

2. Stephen Kochan, “Programming in C”, 3rd Edition, Sams Publishing, 2009.

3. Michael J Pont, “Embedded C”, Pearson Education, 2007.

4. Zhiqun Chen, ‘Java Card Technology for Smart Cards: Architecture and Programmer’s Guide’, Addison-Wesley Professional, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN:

Max. Marks : 100 Exam Duration : 3 Hrs.

Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 111: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 108 REGULATIONS 2015

SEC5635

EMBEDDED SYSTEM MODELING USING UML

L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES x To comprehend the concepts of System Modeling x To study the various Hardware/Software Synthesis x To acquire knowledge about Memory and Interfacing x To study the basic principles of process models x To understand the concept of Unified Modeling Language

UNIT 1 SYSTEM MODELLING WITH H/S PARTITIONING 12 Hrs. Embedded systems, Hardware/Software Co-Design, Co-Design for System Specification and

modeling-Single-processor Architectures and Multi-ProcessorArchitectures,comparison of Co-Design Approaches, Models of Computation, Requirements for Embedded System Specification, Hardware/Software Partitioning Problem, Hardware/Software Cost Estimation, Generation of Partitioning by Graphical modeling,Formulation of the HW/SW scheduling, Optimization

UNIT 2 HARDWARE/SOFTWARE CO-SYNTHESIS 12 Hrs. The Co-Synthesis Problem, State-Transition Graph, Refinement and Controller Generation, Distributed System Co-Synthesis.

UNIT 3 MEMORY AND INTERFACING 12 Hrs. Memory: Memory write ability and storage performance – Memory types – composing memory – Advance

RAM interfacing communication basic – Microprocessor interfacing I/O addressing – Interrupts – Direct memory access – Arbitration multilevel bus architecture – Serial protocol – Parallel protocols– Wireless protocols – Digital camera example

UNIT 4 CONCURRENT PROCESS MODELS AND H/S CO-DESIGN 12 Hrs. Modes of operation – Finite state machines – Models – HCFSL and state charts language – state machine

models – Concurrent process model – Concurrent process – Communication among process –Synchronization among process – Implementation – Data Flow model. Design technology – Automation synthesis – Hardware software co-simulation – IP cores – Design Process Model

UNIT 5 UNIFIED MODELING LANGUAGE 12 Hrs. Object State Behaviors – UML State charts – Role of Scenarios in the Definition of Behavior – Timing

Diagrams – Sequence Diagrams – Event Hierarchies – Types and Strategies of Operations – Architectural Design in UML Concurrency Design –Representing Tasks – System Task Diagram – Concurrent State Diagrams – Threads. Mechanistic Design – Simple Patterns

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Raj Kamal, “Embedded Systems- Architecture, Programming and Design” Tata McGraw Hill, 2006.

2. Bruce Powel Douglas, “Real-Time UML, Second Edition: Developing Efficient Object for Embedded Systems, 2nd Edition, 1999, Addison-Wesley.

3. David. E. Simon, “An Embedded Software Primer”, Pearson Education, 2001.

4. Tammy Noergaard, ”Embedded System Architecture, A comprehensive Guide for Engineers and Programmers”, Elsevier, 2006 .

5. Raj Kamal, “Embedded Systems- Architecture, Programming and Design” Tata McGraw Hill, 2006.

6. Frank Vahid and Tony Gwargie, “Embedded System Design”, John Wiley & sons,2002.

7. Steve Heath, “Embedded System Design”, Elsevier,Second Edition, 2004. 8. Ralf Niemann, “Hardware/Software Co-Design for Data Flow Dominated Embedded Systems”, Kluwer Academic Pub, 1998.

END SEMESTER EXAM QUESTION PAPER PATTERN: Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 112: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 109 REGULATIONS 2015

SEC5636 DATA COMPRESSION TECHNIQUES L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To comprehend the concepts of Data compression and to study various coding methodologies. x To acquire knowledge about Audio compression and principles of Image compression. x To understand the concept of video compression.

UNIT 1 INTRODUCTION TO DATA COMPRESSION 12 Hrs.

Need for Compression - Storage requirements for multimedia applications -Compaction techniques – Huffmann coding, Adaptive Huffmann Coding, Arithmetic coding, Shannon-Fano coding, Dictionary techniques, LZW family algorithms

UNIT 2 CODING METHODOLOGIES FOR COMPRESSION 12 Hrs.

Coding a sequence, Generating a binary code.The LZ77 Approach, The LZ78 Approach, Applications: File Compression-UNIX compress, V.42bits, Predictive Coding: Prediction with Partial match (ppm): The basic algorithm, The ESCAPE SYMBOL, length of context, The Exclusion Principle, The Burrows-Wheeler Transform: Move to front coding, CALIC, JPEG-LS, Multi-resolution Approaches, Facsimile Encoding, Dynamic Markov Compression.

UNIT 3 AUDIO COMPRESSION 12 Hrs.

Audio compression techniques - ì- Law and A- Law companding. Frequency domain and filtering – Basic sub-band coding – Application to speech coding – G.722 – Application to audio coding – MPEG audio, progressive encoding for audio – Silence compression, speech compression techniques – Formant and CELP Vocoders

UNIT 4 IMAGE COMPRESSION 12 Hrs.

Predictive techniques – DM, PCM, DPCM: Optimal Predictors and Optimal Quantization– Contour based compression – Transform Coding – JPEG Standard – Sub-band coding algorithms - Design of Filter banks – Waveletbased compression - Implementation using filters – EZW, SPIHT coders – JPEG 2000 standards - JBIG, JBIG2 standards.

UNIT 5 VIDEO COMPRESSION 12 Hrs.

Video compression techniques and standards – MPEG Video Coding I - MPEG – 1 and 2– MPEG Video Coding II - MPEG – 4 and 7 – Motion estimation and compensation techniques – H.261 Standard, DVI technology – PLV performance – DVI real time compression, Packet Video.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Peter Symes, “Digital Video Compression”, McGraw Hill, 1st Edition, 2004

2. Mark S.Drew, Ze-Nian Li, “Fundamentals of Multimedia”, Prentice Hall International, 1st Edition, 2003

3. Khalid Sayood, “Introduction to Data Compression”, Morgan Kauffman Harcourt India,2Nd Edition, 2000

4. David Salomon, “Data Compression –The Complete Reference”, Springer Verlag New York Inc., 2ndEdition, 2001

5. Yun Q.Shi, Huifang Sun, “Image and Video Compression for Multimedia Engineering - Fundamentals, Algorithms

&Standards”, CRC press, 2003.

END SEMESTER EXAM QUESTION PAPER PATTERN:

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 113: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 110 REGULATIONS 2015

SEC5637

EMBEDDED SYSTEM PROGRAMMING IN JAVA

L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x Introduction to computer programming and problem solving with the Java language x To discuss problems in Desktop java and the requirements for embedded java x To introduce to the student Embedded Java Application Environment

UNIT 1 INTRODUCTION 12 Hrs.

Introduction to Programming Languages, Evolution of Java, Object -Oriented Programming Concepts and Java, Differences between C++ and Java, The Primary Characteristics of Java, The Architecture, Programming with Java-Tokens, Expressions, Using Data Types, Declarations, Control Flow

UNIT 2 JAVA CLASSES, PACKAGES AND INTERFACES 12 Hrs. Introduction, Classes, Working with Objects, Packages, Inheritance, Interfaces- Data Flow with Java Streams, Input Streams, Output Streams- Exception Handling in JAVA- JAVA Threads

UNIT 3 JAVA APPLETS 12 Hrs. JAVA Applets- Applet Examples, Java.applet- Applet Class, The Five Stages of an Applet's Life Cycle, Methods for Adding UI Components, Methods for Drawing and Event Handling

UNIT 4 EMBEDDED JAVA 12 Hrs.

Introduction to Embedded Java and J2ME –Smart Card basics –Java card technology overview –Java card objects –Java card applets –working with APDUs - Devloping J2ME applications- J2ME Configuration- J2ME Profiles,-Setting up development environment CLDC API- Development using K JAVA GUI Components, Development using K Java Event Handling, MIDP API, CDS API.

UNIT 5 APPLICATION DEVELOPMENT USING JAVA 12 Hrs. J2ME for Mobile devices- Set top box programming- Wireless communication-Network security- Medical client/server applications-Java Embedded Suite.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Herbert Schildt, “Java A Beginner's Guide”, McGraw Hill , 6th Edition ,2014.

2. Herbert Schildt, “Java: The Complete Reference”, McGraw Hill , 8th Edition,201 1.

3. Patrick Niemeyer and Jonathan Knudsen, “ Learning Java”, 3rd Edition, O'Reilly 2005.

4. Zhiqun Chen, ‘Java Card Technology for Smart Cards: Architecture and Programmer’s Guide’, Addison -Wesley Professional,

2000

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 114: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 111 REGULATIONS 2015

SEC5638

DEVICE DRIVER PROGRAMMING FOR EMBEDDED SYSTEMS

L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES x To comprehend the concepts of Device Driver

x To study the basic principles of Perl Programming and Query language. x To understand the concept of transcendental functions.

UNIT 1 DEVICE DRIVERS 12 Hrs. Introduction to User Interface-Database Server (Postgre SQL) - Domain name System-HTTP/Web Server-Introduction-Device Drivers Essentials-Device Driver Module-Device Driver construction

UNIT 2 TASKS AND INTER PROCESS COMMUNICATION 12 Hrs. Introduction-Tasks-Inter process communication facilities-Shared data structures-Message queues-pipes-sockets

UNIT 3 PERL PROGRAMMING AND STRUCTURED QUERY LANGUAGE 12 Hrs.

Introduction-Short course on Perl-Functions and subroutines-local variable-Perl statements-Basic blocks and switch statements-Perl modules-Built in functions in Perl-SQL statements-Relational database joining tables-Data types supported by SQL

UNIT 4 SHELL SCRIPT PROGRAMMING Shell programming-variables-conditional statement-system command Expansion-built in commands-Pipelines-

Bash and Bash scripts - The GNU sed stream editor - Conditional statements – Writing Interactive Scripts.

UNIT 5 FIXED POINT ARITHMETIC AND TRANSCENDENTAL FUNCTIONS 12 Hrs.

Introduction-transcendental functions-reduced coefficients polynomials-transcendental function library-Case Study: The Product design Process- Platform Drilling rate monitoring System – An Enhanced Entrance Security System with Time Log.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Practical Linux programming: Device Drivers, Embedded systems by AshfaqA.Khan

2. Bash Guide for beginners by MachteltGarrels

END SEMESTER EXAM QUESTION PAPER PATTERN:

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 115: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 112 REGULATIONS 2015

SEC5639 PRODUCT DESIGN AND DEVELOPMENT L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES: x To learn the basics of product design and testing.

x To acquire the knowledge of testing methodologies.

x To understand the basic concepts of system reliability. x To be well known with the back end management.

UNIT 1 PRODUCT DESIGN PHASE 12 Hrs.

System design – design phases – design styles – design of safety critical systems – design diversity – design for maintainability. System engineering – architecturing and engineering judgment – documentation – human interface – packaging and enclosures – grounding and shielding - circuit design – circuit layout – power – cooling – product integration, production and logistics.

UNIT 2 EMC TESTING AND DESIGN 12 Hrs.

RF emissions – immunity tests – low frequency techniques – EMC compliance. Electromagnetic coupling – PCB layout and grounding – choice of circuit configurations, components – special EMC techniques – shielding method

UNIT 3 ELECTRONIC SYSTEM RELIABILITY 12 Hrs.

Electronic products: definitions – failure physics – bath tub curve. Reliability of electronic components: device failure modes – circuit and system aspects – reliability in design – parameter variation and tolerances – design for production, test and maintenance.

UNIT 4 DESIGN AND DEVELOPMENT 12 Hrs. Development processes, Identifying customer needs, Establishing product specifications, Concept generation, Concept selection, Product architecture, Industrial design

UNIT 5 TOTAL QUALITY MANAGEMENT 12 Hrs.

Design for Manufacturing, Prototyping, Robust Design, Patents and Intellectual property, Product Development Economics, Managing Product Development Projects. Principles and Practices: Definition of quality, Customer satisfaction and Continuous improvement.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Tim Williams, “EMC for product designers”, 4th Elsevier, 2007.

2. Milton Ohring, “Reliability of materials and devices”, Elsevier, 1998.

3. Patrick D.T. O'Connor, David Newton, Richard Bromley, “Practical Reliability Engineering”, Wiley, 2002.

4. Clayton R. Paul, “Introduction to EMC”, John Wiley & Sons, 2006.

5. Dale H. Bester field, “ Total Quality Management, Secondedition, Pearson Education Asia

6. Kim R. Fowler, “Electronic Instrument Design: Architecturing for the life cycle”, Oxford University press, 2006.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 116: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 113 REGULATIONS 2015

SEC5640 PERVASIVE COMPUTING L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the basics of pervasive computing.

x To acquire the knowledge of device connectivity. x To understand the basic concepts of WAP. x To be well known with the PDA devices.

UNIT 1 INTRODUCTION 12 Hrs.

Pervasive Computing: Past, Present and Future Pervasive Computing-Pervasive Computing Market-m-Business-Application examples: Retail, Airline check-in and booking-Sales force automation-Health care-Tracking-Car information system-E-mail access via WAP

UNIT 2 DEVICE TECHNOLOGY 12 Hrs Device Technology: Hardware-Human Machine Interfaces-Biometrics-Operating Systems-Java for Pervasive devices

UNIT 3 DEVICE CONNECTIVITY 12 Hrs. Device Connectivity: Protocols-Security-Device Management Web Application Concepts: WWW architecture-Protocols-Transcoding-Client authentication via internet

UNIT 4 WAP FUNCTIONALITIES 12 Hrs.

WAP and Beyond: Components of the WAP architecture-WAP infrastructure-WAP security issues-WML-WAP push-Products-i-Mode-Voice Technology: Basics of Speech recognition- Voice Standards-Speech applications-Speech and Pervasive Computing

UNIT 5 PDA FUNCTIONALITIES 12 Hrs. PDA: Device Categories-PDA operation Systems-Device Characteristics-Software

Components-Standards-Mobile Applications-PDA Browsers Pervasive Web Application architecture: Background-Scalability and availability-Development of Pervasive Computing web applications-Pervasive application architecture

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Pervasive Computing, Technology and Architecture of Mobile Internet Applications, Jochen Burkhardt, Horst Henn, Stefan Hepper, Thomas Schaech & Klaus Rindtorff, Pearson Education, 2006

2. Fundamentals of Mobile and Pervasive Computing, Frank Adelstein, Sandeep KS Gupta, Golden Richard III, Loren Schwiebert, McGraw Hill edition, 2006

3. Stefen Poslad: Ubiquitous Computing: Smart Devices, Environments and Interactions, Wiley, Student Edition, 2010.

4. A. Genco, S. Sorce: Pervasive Systems and Ubiquitous Computing, WIT Press, 2012.

5. Ajith Abraham (Ed.): Pervasive Computing, Springer-Verlag, 2012.

6. Guruduth S. Banavar, Norman H. Cohen, Chandra Narayanaswami: Pervasive Computing: An Application-Based Approach, Wiley Interscience, 2012.

7. Frank Adelstein, S K S Gupta, GG Richard & L Schwiebert: Fundamentals of Mobile and Pervasive Computing, Tata McGraw-Hill, New Delhi, 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 117: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 114 REGULATIONS 2015

SEC5641 DISTRIBUTED EMBEDDED SYSTEM L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To make the student learn design concepts of distributed embedded system used in automotive and industrial environments

x To learn and design network based embedded system

x To learn how to develop distributed systems using Java and other similar tools

UNIT 1 HARDWARE INFRASTRUCTURE 12 Hrs. Broad Band Transmission facilities, Open Interconnection standards, Local Area Networks, Wide Area Networks, Network management, Network Security, Cluster computers.

UNIT 2 INTERNET CONCEPTS 12 Hrs. Capabilities and limitations of Internet- Interfacing Internet server applications to corporate databases -HTML and XML Web page design and the use of active components.

UNIT 3 DISTRIBUTED COMPUTING USING JAVA 12 Hrs. I/O streaming, Object serialization, Networking, Threading, RMI, multicasting, distributed databases, embedded java concepts, case studies.

UNIT 4 EMBEDDED AGENT 12 Hrs. Introduction to the embedded agents, embedded agent design criteria, Behavior based, Functionality based embedded agents, Agent co-ordination mechanisms and benchmarks embedded agent, Case study: Mobile robots.

UNIT 51 EMBEDDED COMPUTING ARCHITECTURE 12 Hrs.

Synthesis of the information technologies of distributed embedded systems, analog/digital co-design, optimizing functional distribution in complex system design, validation and fast prototyping of multiprocessor system-on-chip, dynamic scheduling algorithm for real-time multiprocessor systems.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Dietel and Dietel, JAVA how to program, Prentice Hall, 2004.

2. George Coulouris and Jean Dollimore, Distributed Systems – concepts and design, Addison Wesley,2002.

3. Bernd Kleinjohann, Architecture and Design of Distributed Embedded Systems, Kluwer Academic Publishers,2001.

4. www.embedded-journal.org

5. www.embeddedtechnologyjournal.com

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 118: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 115 REGULATIONS 2015

SEC5642 MOBILE APPLICATION DEVELOPMENT L T P Credits Total Marks

(For EMB) 4 0 0 4 100

COURSE OBJECTIVES

x To comprehend the concepts of mobile application development and its design constraints. x To acquire knowledge about Multimedia web Access

x To study the basic principles of Android OS.

x To understand the concept of IOS.

UNIT 1 INTRODUCTION 12 Hrs. Introduction to mobile applications – Embedded systems - Market and business drivers for mobile applications – Publishing and delivery of mobile applications – Requirements gathering and validation for mobile applications

UNIT 2 BASIC DESIGN 12 Hrs.

Design constraints for mobile applications, both hardware and software related – Architecting mobile applications – User interfaces for mobile applications – touch events and gestures – Achieving quality constraints – performance, usability, security, availability and modifiability.

UNIT 3 ADVANCED DESIGN 12 Hrs.

Designing applications with multimedia and web access capabilities – Integration with GPS and social media networking applications – Accessing applications hosted in a cloud computing environment – Design patterns for mobile applications.

UNIT 4 ANDROID OS 12 Hrs.

Introduction – Establishing the development environment – Android architecture – Activities and views – Interacting with UI – Persisting data using SQLite – Packaging and deployment – Interaction with server side applications – Using Google Maps, GPS and WiFi – Integration with social media applications.

UNIT 5 IOS 12 Hrs.

Introduction to Objective C – iOS features – UI implementation – Touch frameworks – Data persistence using Core Data and SQLite – Location aware applications using Core Location and Map Kit – Integrating calendar and address book with social media application – Using Wifi- iPhone marketplace.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. JefMcWherter and Scot Gowel, "Professional Mobile Application Development", Wrox, 2012

2. Charlie Colins, Michael Galpin and Mathias Kapler, “Android in Practice”, DreamTech , 2012

3. James Dovey and Ash Furow, “Begining Objective C”, Apres, 2012

4. David Mark, Jack Nuting, JefLaMarche and Frederic Olson, “Beginning iOS 6 Development: Exploring the iOS SDK”, Apres,

2013.

5. http:/developer.android.com/develop/index.html

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 119: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 116 REGULATIONS 2015

SEC5643 APPLICATIONS OF MEMS TECHNOLOGY L T P Credits Total Marks

(For P S E ) 4 0 0 4 100

UNIT I INTRODUCTION 12 Hrs.

History of MEMS development – Intrinsic characteristics - Overview of Micro Fabrication – Silicon and other material based fabrication process – Process selection and design – Points of consideration for deposition process - Points of consideration for etching process.

UNIT II ELECTROSTATIC SENSING AND ACTUATION 12 Hrs. Basic concepts Electro static sensors and actuators - Parallel plate capacitor – Principle – Design – Fabrication – Applications – Interdigitated finger capacitor – Applications of comb – Drive devices.

UNIT III THERMAL SENSING AND ACTUATION 12 Hrs. Introduction – Thermal sensors – Thermal Actuators – Fundamentals of thermal transfer – Thermocouple - Principle – Design – Fabrication – Thermal resistor sensors – Applications.

UNIT IV PIEZO RESISTIVE SENSORS 12 Hrs.

Origin and Expressions for piezoresistivity – Piezo resistive sensor materials - Metal strain gauges - Single crystal silicon – Polycrystalline silicon – Stress analysis of Mechanical elements – Applications of piezoresistive sensors.

UNIT V PIEZO ELECTRIC SENSING AND ACTUATION 12 Hrs. Piezoelectric effect - Cantilever piezo electric actuator model - Properties of piezoelectric materials - Applications

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Chang Liu, “Foundations of MEMS”, Pearson International Edition, 2006.

2. Marc Madou , “Fundamentals of Microfabrication”,CRC Press, 1997.

3. Boston , “Micromachined Transducers Sourcebook”,WCB McGraw Hill, 1998.

4. M.H.Bao, “Micromechanical transducers :Pressure sensors, accelerometers and gyroscopes”, Elsevier, Newyork, 2000.

5. Tai-Ran Hsu, “MEMS and Microsystems:Design and Manufacture”, McGraw-Hill,2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks - 70 Marks

Page 120: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 117 REGULATIONS 2015

SEC5644

MEMS AND NEMS DESIGN AND ITS APPLICATIONS

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To Study the design and working principle of MEMS and NEMS

x To learn about the methods and modeling fabrication process of MEMS x To understand the packaging and applications of MEMS

UNIT 1 OVERVIEW OF MEMS AND MICROSYSTEMS 12 Hrs.

Development of micro electronics - Region of Nanostructures - methods and limits on microminiaturization in semiconductors-MEMS.Definition – historical development – fundamentals – properties, Design of MEMS and NEMS, Microsystems and microelectronics, Microsystems and miniaturization, Working principle of micro system – Micro sensors, Micro actuators, Micro accelerometers and Micro fluidics and MEMS materials.

UNIT 2 MEMS AND NEMS MODELING AND FABRICATION 12 Hrs. Introduction to modeling, analysis and simulation, basic electro-magneticwith application to MEMS and NEMS,

modeling developments of micro-andnano actuators using electromagnetic-Lumped-parameter mathematical, models of MEMS, energy conversion in NEMS and MEMS. Fabrication processes: Photolithography, Ion Implantation, Diffusion, and Oxidation,Thin film depositions: LPCVD, Sputtering, Evaporation, Electroplating; Etching techniques: Dry and wet etching, electrochemical etching; Micro-stereo lithography for polymer MEMS.

UNIT 3 SENSORS FOR MEMS 12 Hrs. Types of sensors-Mechanical, optical, spintronic, bioelectronics and biomagnetic sensors-surface

modification-surface materials andinteractions and its examples. Piezo-resistive Pressure Sensor, Capacitive sensor, Piezoelectric sensing, Resonant sensing, Surface Acoustic Wave sensorsVibratory gyroscope, Electromechanical transducers: Piezoelectric transducers, Electrostrictive transducers, Magnetostrictive transducers, Electrostatic actuators, Electromagnetic transducers, Electro-dynamic transducers, Electro-thermal actuators, comparison of electro-thermal actuation process.

UNIT 4 MEMS DESIGN AND NEMS FABRICATION 12 Hrs. Nanofabrication using soft lithography – nanofabrication using manipulative techniques – nanofabrication

using carbon nanomaterials. _Nano electro mechanical systems - fabrication and process techniques - lntegration of nanosystems and devices - applications Micro system Design – Design consideration, process and Mechanical design, Optical MEMS,- System design basics – Gaussian optics, matrix operations, resolution. MEMS scanners and retinal scanning display, Digital Micro mirror devices.

UNIT 5 MEMS PACKAGING AND APPLICATIONS 12 Hrs. Polymers in Microsystems - Packaging of MEMS devices by anodic/fusion bonding - Pressure sensors and

packaging -Role of MEMS packaging, Types of MEMS packaging, selection of packaging materials, flip-chip and multichip Unit packaging, RF MEMS packaging issues. MEMS Devices and Applications - NEMS Devices and Applications

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. RF MEMS & Their Applications by Vijay K. Varadan, K. J. Vinoy and K. A. Jose John Wiley & Sons, 2003.

2. Tai – Rai Hsu, “MEMS and Microsystems DESIGN andManufacturing”, Tata MC Graw Hill, Ed tion 2002.

3. Gabriel M Rebeiz, “RF MEMS - Theory Design and Technology”, John Wiley and Sons, 2003.

4. NadimMaluf,” An introduction to Micro electro mechanical system design”, Artech House ,2000

5. S. E. Lyshevski, “MEMS and NEMS: Systems, Devices and Structures”, CRC Press, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 121: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 118 REGULATIONS 2015

SEC5645 BASICS OF NANOTECHNOLOGY L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To acquire knowledge of basic sciences required to understand the fundamentals of Nanomaterials x To acquire the knowledge of Nano Structures and its electronic, optical and magnetic properties of nanomaterials. x To get familiarize with the basic concepts of Energy Band diagrams and its levels & Statistical and Quantum x To acquire the knowledge of NEGF and its Formalism x To get familiarize with various applications of Nano Technology

UNIT 1 INTRODUCTION TO NANOTECHNOLOGY 12 Hrs. Definitions and Scales, Origins of Nanotechnology Beyond Moore’s Law. Current State of Nanotechnology,

Future of Nanotechnology, Nanotechnology in Nature and Applications Tools of trade- Seeing the nano Scale, Nature of Light, Electron Microscope,Scanning Probe Microscope, Basic governing Theories-Quantum Mechanics, Chemical Bonds, Crystal Structure Negative Differential Resistance (NDR).

UNIT 2 NANO MATERIALS 12 Hrs. Molecular building blocks for nanostructure systems, Nano Materials-Formation of Materials,Carbon

Nanomaterials,Buckyball,Carbon Nano Tubes, Inorganic Nano Materials, Zero Dimensional NanoStructures, One-Dimensional Structures, Two Dimensional Structures

UNIT 3 ELECTRICAL RESISTANCE-AN ATOMISTIC VIEW 12 Hrs. Energy Band Diagram: Energy level diagram, Fermi function, n-type operation, p-type operation, Negative

differential resistance-thermo electric effect-Nano transistors-inelastic spectroscopy-NEGF formalism-input parameters-derivation of NEGF equations- Inflow / Outflow, quantum of conductance, Potential profile, Iterative procedure for self-consistent solution, Quantum capacitance.

UNIT 4 NANOSCALE DEVICE MODELING 12 Hrs. Model Hamiltonian, SAM- Signals used to control and probe molecules, Synthesis; Fabrication and overview of

Nanotube devices- their properties. Inadequacy of macroscopic models, Equilibrium, Non-Equilibrium, Density Matrix and current operator; NEGF Formalism – Broadening.

UNIT 5 ETHICAL SOCIAL IMPLICATIONS AND APPLICATIONS 12 Hrs. Environment - Society, Technology, and the Environment, Environmental Risks of Nanotechnology,

Nanotechnology Solutions to Environmental Problems, Overall Risk and Precaution.Aplication : Water Purification, Solar Energy, Human Implants,RF-ID Chipped Identification,Military – Nano Enabled Military, Nano nabled Defence System.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Fritz Allhoff, Patrick Lin,and Daniel Moore,”What Is Nanotechnology and Why Does It Matter” WILEY BLACKWELL A John Wiley & Sons, Ltd., Publication,2010.

2. Suprio Dutta Tutorial on, “Electrical Resistance-an atomistic view”, Purdue University, 2004

3. Mark A. Reed and Takhee Lee, "Molecular Nano electronics", American Scientific Publishers, 2003. 4. Horst-Gunter Rubahn, "Basics of Nano Technology", Wiley-VCH Verlag Gmbh & Co, 2008.

5. Chris Binns, "Introduction to Nanoscience and NanoTechnology", John Wiley and Sons., 2010.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 122: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 119 REGULATIONS 2015

SEC5646 NANO ELECTRONICS AND SENSORS L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basic concepts of Nanoelectronics and Nanosensors. x To acquire the knowledge of advancements in MOSFET Devices. x To learn the basic concepts of Carbon Nanotubes.

x To be familiar with the Applications of Nanosensors.

UNIT 1 FUNDAMENTALS OF NANOELECTRONICS 12 Hrs.

Fundamentals of logic devices:- Requirements – dynamic properties – threshold gates; physical limits to computations; concepts of logic devices:- classifications – two terminal devices – field effect devices – coulomb blockade devices – spintronics – quantum cellular automata – quantum computing – DNA computer; performance of information processing systems;- basic binary operations, measure of performance processing capability of biological neurons – performance estimation for the human brain. Ultimate computation: - power dissipation limit – dissipation in reversible computation – the ultimate computer.

UNIT 2 I SILICON MOSFETS & QUANTUM TRANSPORT DEVICES 12 Hrs. Silicon MOSFETS - Novel materials and alternate concepts:- fundamentals of MOSFET Devices- scaling rules –

silicon-dioxide based gate dielectrics – metal gates – junctions & contacts–advanced MOSFET concepts-Quantum transport devices based on resonant tunneling:- Electron tunneling – resonant tunneling diodes – resonant tunneling devices; Single electron devices for logic applications:- Single electron devices – applications of single electron devices to logic circuits.

UNIT 3 CARBON NANOTUBES 12 Hrs. Carbon Nanotube: Fullerenes – types of nanotubes – formation of nanotubes – assemblies – purification of

carbon nanotubes – electronic propertics – synthesis of carbon nanotubes – carbon nanotube interconnects – carbon nanotube FETs –Nanotube for memory applications – prospects of an all carbon nanotube nanoelectronics.

UNIT 4 INTRODUCTION TO NANO SENSORS 12 Hrs. Fundamentals of Nano Sensors: Micro and nano-sensors, Fundamentals of sensors, biosensor, micro

fluids,MEMS and NEMS, Packaging and characterization of sensors, Method of packaging at zero level, dye level and first level Sensors.

UNIT 5 NANO SENSORS AND ITS APPLICATIONS 12 Hrs. Nanoparticles and Micro–organism- Biosensors- Bioreceptors and their properties - Biochips- Integrated

nanosensor networks for detection and response- DNA based biosensors and diagnostics- Natural nanocomposite systems; spider silk, bones, shells - Nanomaterials in bone substitutes and dentistry – Implants and Prosthesis – Tissue Engineering – Neuroscience -Neuro-electronic Interfaces –Nanorobotics – Photodynamic Therapy – Protein Engineering – Nanosensors in Diagnosis–Drug delivery – Cancer therapy and Other therapeutic applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rainer Waser (Ed.), Nanoelectronics and Information Technology: Advanced Electronic Materials and Novel Devices, Wiley-VCH, 2003

2. Meixner H., "Sensors: Micro & Nanosensors, Sensor Market trends" Wiley-VCH, 1995.

3. Neelina H. Malsch (Ed.), “Biomedical Nanotechnology”, CRC Press 2005.

4. Raguse, Nanotechnology: Basic Science and Emerging Technologies, Chapman & Hall / CRC, 2002

5. T. Pradeep, NANO: The Essentials – Understanding Nanoscience and Nanotechnology, TMH, 2007

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 123: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 120 REGULATIONS 2015

SEC5647 CARBON NANO MATERIALS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic concepts of Nanomaterials and devices.

x To be familiar with the applications of Carbon Nano tubes and crystals

UNIT 1 BASICS OF NANO MATERIALS 12 Hrs.

Introduction- Atomic Layer Etching Processes on Silicon Surfaces- Nanoscale Fabrication Processes of Silicon Surfaces with Halogens- Self-Organized Nanopattern Formation on Copper Surfaces. Fundamentals of magnetic materials, Ferri, Superpara magnetic materials -resistance. Important properties in relation to nanomagnetic materials.

UNIT 2 CARBON NANO TUBES 12 Hrs.

Carbon materials – Allotropes of carbon - Structure of Carbon Nanotubes - types of CNTs- – Electronic properties of CNTs- Band structure of Graphene –Band structure of SWNT from graphene - electron transport properties of SWNTs – Scatterings in SWNTs – Carrier mobility in SWNTs.

UNIT 3 SYNTHESIS AND INTEGRATION OF SWNT DEVICES 12 Hrs.

Introduction- CVD Synthesis - Method - Direct Incorporation with Device Fabrication Process - SWNT Synthesis on Metal Electrodes- Lowering the Synthesis Temperature- Controlling the SWNT Growth- Location, Orientation, Chirality-Narrowing Diameter Distributions-Chirality Distribution Analysis for Different CVD Processes - Selective Removal of the Metallic Nanotubes in FET Devices – Integration.

UNIT 4 CARBON NANOTUBE FIELD-EFFECT TRANSISTORS 12 Hrs.

Schottky Barrier Heights of Metal S/D Contacts- High-k Gate Dielectric Integration- Quantum Capacitance-Chemical Doping- Hysteresis and Device Passivation- Near Ideal, Metal-Contacted MOSFETs- SWNT MOSFETs-SWNT band to band tunneling FETs. Applications

UNIT 5 LINEAR PHOTONIC CRYSTALS AND THERMO ELECTRIC MATERIALS 12 Hrs.

Maxwell’s Equations, Photonic Band Gap and Localized Defect States Nonlinear Optics in Linear Photonic Crystals, Guided Modes in Photonic Crystals Slab, Transmission Spectra, Thermo Electric Materials (TEM): Concept of phonon, Thermal conductivity, Exothermic & endothermic processes. Different types of TEM; Bulk TEM Properties. 1D & 2D TEM; Composite TEM; Applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Ali Javey, Jing Kong, "Carbon Nanotube Electronics", Springer Science media, 2009.

2. Dr.Kaoru ohno,Dr,Masatoshi Tanaka, Jun Takeda, "Nano-and Micromaterials", Springer Berlin Heidelberg, 2008

3. Bharat Bhushan, " Springer Handbook of Nanotechnology", Springer-Verlag: Heidelber, Germany, 2004

4. Gc.Shi, "Multiscaling in molecular and continuum mechanics: interaction of time and size from macro to nano", Springer,

2007.

5. Brian Cantor, "Novel Nanocrystalline Alloys and Magnetic Nanomaterials," Institute of Physics Publications, 2005.

6. S.Chikazumi and S.H. Charap," Physics of Magnetism", Springer-verlag berlin Heideberg, 2005.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 124: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 121 REGULATIONS 2015

SEC5648 NANO SENSORS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To acquire the knowledge about the Different Types of Nanosensors x To learn the various characteristics of Nanosensors

x To understand the applications of sensors by using nanotechnology

UNIT 1 FUNDAMENTALS OF NANO SENSORS 12 Hrs. Micro and nano-sensors, Fundamentals of sensors, micro fluids, MEMS and NEMS, Characteristics of sensors.

UNIT 2 CLASSIFICATION OF SENSORS 12 Hrs.

Thermal energy sensors :temperature sensors, heat sensors- Electromagnetic sensors- electrical resistance sensors, electrical current sensors, electrical voltage sensors, electrical power sensors, Mechanical sensors , gas and liquid flow sensors, position sensors - Chemical sensors - Optical and radiation sensors.

UNIT 3 INDUSTRIAL APPLICATIONS OF SENSORS 12 Hrs.

Sensors for aerospace and defense: Accelerometer, Pressure Sensor, Night Vision System, Nano tweezers, nano-cutting tools, Integration of sensor with actuators and electronic circuitry, Civil applications: metrology, bridges and other industrial applications.

UNIT 4 BIOSENSORS 12 Hrs.

Biosensors: Clinical Diagnostics, generation of biosensors, immobilization, characteristics, applications, DNA Biosensors, . Biochips. Metal Insulator Semiconductor devices, Schottky devices. Sensor for bio-medical applications: Cardiology, Neurology and as diagnostic tool.

UNIT 5 MAGNETIC BIOSENSORS 12 Hrs.

Magnetic biosensors: Introduction, Magnetoresistance-based sensors, Hall effect sensors, Other sensors detecting stray magnetic fields, Sensors detecting magnetic relaxations, Sensors detecting ferrofluid susceptibility.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Meixner H., "Sensors: Micro & Nanosensors, Sensor Market trends" Wiley-VCH, 1995.

2. Ping Sheng, Zikang Tang "Nanoscience & Technology: Novel structure and phenomena"Taylor & Francis, 2003.

3. Michael Rieth. "Nano Engineering in Science & Technology : An introduction to the world of nano design" World Scientific

Publishing Co.pte.ltd, 2003

4. Vijay K.Varadan "Nanosensors,Microsensors,and Biosensors and Systems",SPIE-International Society for Optical Engine,

2007.

5. Larry Nagahara, Nongjian Tao, Thomas Thundat, "Introduction to Nanosensors Series: Nanostructure Science and

Technology",Springer-Verlag New York Inc, 2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 125: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 122 REGULATIONS 2015

SEC5649

PROPERTIES OF NANOSTRUCTURES AND MATERIALS

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Understand the optical,Mechanical and Electronic properties of Materials x To acquire the knowledge of Quantum dots and its dimensions x To Study about the interaction of materials and thermal conductivity

UNIT 1 MECHANICAL PROPERTIES 12 Hrs. Mechanical Properties of nanomaterials, Types of indentation: Oliver & Pharr, Vickers indentation process, Nano Indentation by AFM

UNIT 2 ELECTRONIC PROPERTIES 12 Hrs. Electronic Properties: Free electron theory of metals, Band theory of solids, Bloch theorem, Kroning -Penne

model, Metals and Insulators, Semiconductors: Classification, Transport properties, Size and Dimensionality effects, Band structures, Brillouin zones, Mobility, Resistivity, Relaxation time, Recombination centers, Hall effects.

UNIT 3 OPTICAL PROPERTIES 12 Hrs.

Optical properties , Photonic crystals, optical properties of semiconductors, band edge energy,band gap, Core-shell nanomaterials, Quantum dots etc., for size influences of optical properties, optical transitions, absorptions, interband transitions, quantum confinements, Fluorescence/luminescence, photoluminescence/fluorescence, optically excited emission, electroluminescence, Laser emission of quantum dot, Photo fragmentation and columbic explosion, luminescent quantum dots for biological labeling.

UNIT 4 MAGNETIC PROPERTIES 12 Hrs.

Magnetic Materials: Basic Magnetic Phenomena; Diamagnetism, Paramagnetism, Ferromagnetism, Ferrimagnetism, Anti-ferromagnetism, Some examples of these materials and their applications, RKKY Interactions, Ferrofluids, Introduction to superconductivity; London Equation and Josephson effect.

UNIT 5 THERMAL PROPERTIES 12 Hrs. Thermal properties of nanostructures- thermal conductivity measurements for nanowires, nanotubes, thin films.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Introduction to Nano Technology by Charles. P. Poole Jr & Frank J. Owens. Wiley India Pvt. Ltd.

2. Solid State physics by Pillai, Wiley Eastern Ltd.

3. Introduction to solid state physics 7th edition by Kittel. John Wiley & sons (Asia ) Pvt Ltd.

4. Nano Technology and Nano Electronics – Materials, devices and measurement techniques by WR Fahrner – Springer

5. Encyclopaedia of Nano Technology by M.Balakrishna Rao and K.Krishna Reddy, Vol I to X Campus books.

6. Nano Technology - Science, innovation and opportunity by Lynn E. Foster. Prentice Hall Pearson education. 7. Hand book of Nano structured materials Vol I & V 8. Encyclopedia of Nano Technology by H.S.Nalwa

9. K K Nanda, Pramana J. Phys., Vol. 72, No. 4, April 2009

10. A.A.Shavtsburg & M.F.Gerald, Chemical Physics Letters 317 2000. 615–618

11. V P Skripov, V P Koverda and V N Skokov, Phys. Status Solid A66, 109 (1981)

12. R Goswami and K Chattopadhyay, Act Mater. 52, 5503 (2004)

13. V. Germain et al. J. Phys. Chem. B, Vol. 107, No. 34, 2003

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Page 126: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 123 REGULATIONS 2015

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

SEC5650 NANO AND MOLECULAR ELECTRONICS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basic concepts of Nanoelectronics and nanoelectronic devices. x To be familiar with the applications of molecular electronic devices & its concept. x To be familiar with the concept of spintronics

UNIT 1 MOLECULAR ELECTRONIC COMPUTING ARCHITECTURES 12 Hrs. Molecular Electronic Computing Architectures: Present Microelectronic Technology, Fundamental Physical

Limitations of Present, Technology, Molecular Electronics, Computer Architectures Based on Molecular, Electronics,Characterization of Switches and Complex Molecular Devices.

UNIT 2 UNIMOLECULAR ELECTRONICS 12 Hrs.

Unimolecular Electronics: Donors and Acceptors, Homos and Lumos Contacts, Two-Probe, Three-Probe, and Four-Probe Electrical Measurements, Resistors, Rectifiers or Diodes,Switches, Capacitors, Future Flash Memories, Field-Effect, Transistors, Negative Differential Resistance Devices, Coulomb Blockade Device, and Single-Electron Transistor, Future Unimolecular Amplifiers, Future Organic Interconnects, Three-Dimensional Molecular Electronics and Integrated Circuits for Signal and Information Processing Platforms: Data and Signal Processing Platforms,Microelectronics and Nanoelectronics: Performance Estimates, Synthesis, Taxonomy in Design of MICS and Processing Platforms.

UNIT 3 THE DESIGN OF THREE-DIMENSIONAL MOLECULAR INTEGRATED CIRCUITS 12 Hrs. The Design of Three-Dimensional Molecular Integrated Circuits: Data Structures, Decision Diagrams, and Hyper

cells, Decision Diagrams and Logic Design of MICS, Hypercell Design, Three-Dimensional Molecular Signal/Data Processing and Memory Platforms, Hierarchical Finite-State Machines and Their Use in Hardware and Software Design, Adaptive Defect-Tolerant Molecular Presenting-and-Memory Platforms, Hardware–Software Design, The Design and Synthesis of Molecular, Electronic Devices:Modeling and Analysis of Molecular Electronic Devic es, Particle Velocity, Particle and Potentials.

UNIT 4 MOLECULAR ELECTRONICS DEVICES 12 Hrs. Molecular Electronics Devices: Experimental Techniques, Molecular Conductance, Molecular Adsorption on

Metal Surfaces and Role of the Electrodes, Role of Surface efects, Chemisorption, Alligator Clips for Molecular Electronics, The Theory of Electron Transport in molecules, Quantum Current, Relevant Length Scales, Scattering, Sequential Transport, The Non-Equilibrium Green’s, Function Method, Computational Tools and Algorithms,DFT and NEGF for Transport Calculations, General Algorithms, Modeling of the Electrodes.

UNIT 5 SPINTRONICS 12 Hrs. Introduction, Overview, History & Background, Generation of Spin Polarization Theories of spin Injection, spin

relaxation and spin dephasing, Spintronic devices and applications, spin filters, spin diodes, Magnetic tunnel transistor -Memory devices and sensors -ferroelectric random access memory-MRAMS -Field Sensors -Multiferro electric sensors-Spintronic Biosensors.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Introducing Molecular Electronics, G. Cumbertl & G. Fagas , Springer, 2005. 2. Nano and Molecular Electronics Handbook, S.C. Levshevski, CRC Press, 2007.

3. Spin Electronics by M. Ziese and M.J. Thornton

4. Nanoelectronics & Nanosystems:From Transistor to Molecular & Quantum Devices: Karl Goser, Jan Dienstuhl and others.

5. Branda Paz, “A Handbook on Nanoelectronics”, Vedams books, 2008.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Page 127: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 124 REGULATIONS 2015

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

SEC5651 NANO BIO-MATERIALS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the basic concepts of Nanobiomolecules. x To be familiar with the biological methods of synthesis. x To be familiar with Nanocomposite and Nano Biosystems.

UNIT 1 BASIC OF NANOBIOMOLECULES 12 Hrs. Structure property relationship of Biological Materials: Nano Structure of proteins and Polysaccharides –

Structure property relationship of tissues, bones and teeth - Collagen rich tissues - elastic tissues – Preparationof nano biomaterials – Polymeric scaffolds collagen – Elastins – Mucopolysaccharides – Proteoglycans -Cellulose and derivates – Dextrans – Alginates – Pectins - Chitin.

UNIT 2 BIOLOGICAL METHODS OF SYNTHESIS 12 Hrs. Use of bacteria, fungi, Actinomycetes for nanoparticle synthesis, Magnetotactic bacteria for natural spynthesis of

magnetic nanoparticles; Mechanism of formation; Viruses as components for the formation of nanostructured materials; Synthesis process and application, Role of plants in nanoparticle synthesis

UNIT 3 USE OF MICROGANISM AND ITS TOXICITY DETECTION 12 Hrs. Microorganisms for synthesis of nanomaterials and for toxicity detection Natural and artificial synthesis of

nanoparticles in microorganisms; Use of microorganisms for nanostructure formation, Testing of environmental toxic effect of nanoparticles using microorganisms.

UNIT 4 NANOCOMPOSITE 12 Hrs. Biomaterials, teeth and bone substitution, Natural nanocomposite systems asspider silk, bones, shell s;

organic-inorganic nanocomposite formation through self-assembly. Biomimetic synthesis of nanocomposite material; Use of synthetic nanocomposites for bone, teeth replacement.Introduction - Development of nano medicines – Nano Shells – Nano pores – Tectodendrimers.

UNIT 5 NANOBIO SYSTEMS & DNA 12 Hrs. Nanoparticle-biomaterial hybrid systems for bioelectronic devices, Bioelectronics systems based on

nanoparticle-enzyme hybrids; nanoparticle based bioelectronics biorecognition events. Biomaterial nanocircuit ry; Protein based nanocircuitry; Neurons for network formation.

DNA nanostructures for mechanics and computing and DNA based computation; DNA based nanomechanical devices. Biosensor and Biochips.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Bionanotechnology: Lessons from Nature by David S. Goodsell 2. Nanomedicine, Vol. IIA: Biocompatibility by Robert A. Freitas 3. Handbook of Nanostructured Biomaterials and Their Applications in Nanobiotechnology - Hari Singh Nalwa

4. Nanobiotechnology; ed. C.M.Niemeyer, C.A. Mirkin. 5. Nanocomposite Science & Technology Ajayan, Schadler& Braun 6. Introduction to Nanoscale Science and Technology (Nanostructure Science and Technology) -MassimilianoDi Ventra

7. Nanobiotechnology; ed. C.M.Niemeyer, C.A. Mirkin. 8. Nanomedicine, Vol. I: Basic Capabilities

9. Dendrimers I, II, III, Ed. F. Vogtle

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 128: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 125 REGULATIONS 2015

SEC5652

ADVANCED CRYSTAL GROWTH TECHNIQUES

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To Learn the emerging techniques in crystal growth

x To understand the different parameters for evaluation of crystal growth x To Study the various deposition techniques used for crystal growth

UNIT 1 CRYSTAL GROWTH AND THIN FILMS 12 Hrs.

Definition of crystal growth: Environment (gas phase and plasma) for crystal growth techniques; requirement for substrate; substrate cleaning; deposition parameters and their effects on crystal growth, nanocrystalline thin film.

Structure of thin films: Formation of thin films (sticking coefficient, formation of thermodynamically stable cluster-nucleation); microstructure, surface roughness; density; stress in thin films; adhesion; metastable structure.

UNIT 2 VACUUM TECHNOLOGY 12 Hrs. Vacuum technology: Concept of different vacuum pumps; rotary, diffusion, turbo molecular pump, cryogenic

pump, ti-sublimation pump, gas kinetics; concept of different gauges: pirani, penning, pressure control.

Physical parameters for evaluation of crystal growth: Mechanical, electrical, thermal, chemical, optical.

UNIT 3 TECHNIQUES OF DEPOSITION 12 Hrs. Physical vapor deposition (PVD) techniques: Thermal evaporation, resistance evaporation; electron beam

evaporation; laser abalation ;ion vapor evaporation and cathodic arc deposition. Electrical discharges used in thin film deposition: Sputtering; glow discharge Sputtering; magnetron Sputtering; ion beam Sputtering; ion plating ; ECR plasma, monitoring plasma conditions

UNIT 4 ADVANCED TECHNIQUES 12 Hrs. Atomic layer deposition (ALD) and chemical vapor deposition(CVD):Importance of ALD technique, atomic layer

growth: physics and technology. Chemical vapor deposition techniques: Advantages and disadvantages of Chemical vapor deposition techniques(CVD) over PVD techniques, reaction types, boundaries and flow, different kinds of CVD techniques: metal organic (MO) CVD ,photo assisted CVD, thermally activated CVD, plasma enhanced( RF, wave) CVD, low pressure(LP) CVD, atmospheric pressure(AP) CVD and Pulsed laser deposition technique.

UNIT 5 PROCESSING TECHNOLOGY 12 Hrs. Processing technology: Pattern transfer: Molecular beam epitaxy , scanning electron beam lithography,

reactive ion etching, ion milling, ion beam dry itching,.Applications: Thin Film Photo voltaic cells, Thin film Batteries.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Chopra K.L., "Thin film phenomenon", Tata McGraw-Hill, 1968.

2. Chang C.Y. and Sze S.M., ’VLSI technology’ Tata McGraw-Hill,1996.

3. Ghandhi S.K. , VLSI fabrication principles; silicon and gallium arsenide, 2nd Edition, John Wiley and Sons, 1994.

4. G.L. and Carlson R.W. “Methods of experimental physics” vol 14.’ 3.Vaccume physics and technology’J.F.O’Hanlon." A Users Guide to vaccume technology "John Wiley and Sons, 1989.

5. Roth A., "Vaccume Technology" north-holland, 1990.

6. Delchar T.A., "vacuum physics and techniques", Chapman and hall, 1993. 7. Hirth J.P. and Pound G.M. "Evaporation: nucleation and growth kinetics" Pergamon press, Oxford, 1963.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 129: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 126 REGULATIONS 2015

SEC5653 NANO PHOTONIC MATERIALS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the fundamentals of nano photonics x To learn the nano photonic materials and properties x To learn the modern apporaches in nano photonics

UNIT 1 FOUNDATIONS FOR NANOPHOTONICS 12 Hrs. Photonsandelectrons:similaritiesanddifferences,freespacepropagation.Confinementofphotonsandelectrons.Pr

opagationthroughaclassicallyforbidden one:tunneling.Localizationunderaperiodicpotential:Bandgap. Cooperative effects for photons and electrons. Nanoscale optical interactions,axial and lateral nanoscopiclocalization. Nanoscale confinement of electronic interactions:Quantunconfinementeffects,nanoscale interaction dynamics, nano scale electronic energy transfer. Cooperative emissions.

UNIT 2 QUANTUM CONFINED MATERIALS 12 Hrs. Inorganic semiconductors, quantum wells, quantum wires, quantum dots, quantum rings. Manifestation of

quantum confinement:Optical properties nonlinear optical properties. Quantum confined stark effect. Dielectric confinement effect, superlattices. Core-shell quantum dots and quantum-dot-quantum wells. Quantum confined structures as Lasing media.OrganicQuantum-confined structures

UNIT 3 PROPERTIES OF PHOTONIC CRYSTALS 12 Hrs. Linear and Non linear properties of Photonic Crystals – Solitary Wave Formation in One dimensional Photonic

Crystals – VariationalApproachto the NLCME – Radiation lossess – Microscopic Analysis of theOptical and Electronic Properties of Semiconductor Photonic crystal structures – Inhomogeneous Maxwell equations in semiconductor photonic crystal –Bloch equations in real space structures

UNIT 4 PLASMONIC AND METAMATERIALS 12 Hrs. Optical properties of photonic/plasmonic structures in nanocomposite glass- calculation of effective permittivity – metamaterials with different unit cells – numerical simulation of meander structures

UNIT 5 NEW APPORACHES IN NANOPHOTONICS 12 Hrs. Near FieldOptics – Apertureless near field optics- near field scanning optical

microscopy(NSOMorSNOM)-SNOM based detection of plasmonic energy transport-SNOM based visualization of waveguide structures-SNOM in nanolithography-SNOM basedopticaldatastorageandrecovery-generationofopticalforces-opticaltrappingand manipulation of single molecules and cells in optical confinement-laser trapping and dissection for biological systems.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Wehrspohn R.B., Kitzerow H.S., and Busch K., "Nanophotonic Materials", Wiley-VCH,2008

Caloz, C.andItoh,T, "Electromagnetic Metamaterials. Transmission Line Theory and MicrowaveApplications",

2. JohnWiley& Sons, Inc., 2006. 3. Collin, R.E .Field Theory of Guided Waves", IEEE Press, Oxford University Press, 1991. 4. Joannopoulos, J.D., Meade, R.D. and Winn, J.N. ,“ Photonic Crysta ls: Molding the Flow of Light", Princeton University

Press, 1995.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 130: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 127 REGULATIONS 2015

SEC5654 ADVANCED NANOMATERIALS L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the fundamentals of magnetic materials

x To learn the nanostructure magnetism and carbon nano structures x To learn the modern apporaches in nano materials

UNIT 1 FUNDAMENTALS OF MAGNETIC MATERIALS 12 Hrs. Fundamentals of magnetic materials, Dia, Para, Ferro, Antiferro, Ferri, Superpara magnetic materials AND giant

and colossal magneto-resistance.Important properties in relation to nanomagnetic materials. Basic superconductivity phenomena; flux quantization andJosephson effects.

UNIT 2 PROPERTIES OF MAGNETIC MATERIALS 12 Hrs.

Nanostructure Magnetism; Effect Bulk Nanostructuring of Magnetic property; Gaint and colossalMagneticresistance; Super Para Magnetism in metallic nanoparticle; Super para magnetism/ FMin Semi-conduction quantumdots.

UNIT 3 PROPERTIES OF NANOSTRUCTURES 12 Hrs. Carbon Nano Structures: Introduction; Fullerenes, C60, C80 and C240

Nanostructures;Properties&Applications(mechanical, optical and electrical),Nanodiamond,Types of Nanodiamonds.

UNIT 4 APPLICATIONS OF NANOPARTICLES 12 Hrs. Semiconductor nanoparticles – applications, Optical luminescence and fluorescence from direct band gap

semiconductor nanoparticles, surface-trap passivation in core-shell nanoparticles, carrier injection, polymer-nanoparticle,LED and solar cells, electroluminescence, barriers to nanoparticle lasers, doping nanoparticles, Mn-Zn-Se phosphors, light emission from indirect semiconductors, light emission form Si nanodots.

UNIT 5 THERMAL AND ELECTRICAL PROPERTIES 12 Hrs.

Thermo Electric Materials (TEM): Concept of phonon, Thermal conductivity, Specific heat, Exothermic &endothermic processes. Different types of TEM; Bulk TEM Properties. One dimensional TEM; Composite TEM; Applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Brian Cantor, "Novel Nanocrystalline Alloys and Magnetic Nanomaterials," Institute of Physics Publications, 2005.

2. S.Chikazumi and S.H. Charap," Physics of Magnetism", Springer-verlag berlin Heideberg, 2005.

3. E.W. Lee, "Magnetostriction and Magnetomechanical Effects", The Institute of Physics, 1955

4. Luis M.Liz-Marzan and V.Kamat ,"Nanoscale materials", Kluwer Academic Publishers, 2003. 5. JahachiSatio, "Physical properties of Carbon Nanotube", Wiley-vchverlag, 2010.

6. S.Subramony& S.V. Rotkins, "Applied Physics Of Carbon Nanotubes : Fundamentals Of Theory, Optics And Transport devices", John Wiley & Sons Ltd, 2010.

7. Michael J. O’Connell, "Carbon Nanotubes: Properties and Applications", CRC/Taylor& Francis, 2006.

8. Liming Dai, "Carbon Nano Technology", Elsevier, 2006.

9. CNR Rao and A Govindaraj, " Nanotubes and Nanowires", The Royal Society of Chemistry, 2005.

10. CR Rowe, "Handbook of Thermoelectrics", CRC Press, 1995.

11. A. A. Balandin, K. L. Wang, " Handbook of Semiconductor Nanostructures and NanodevicesVol 1-5", American Scientific publishers,2006.

12. Cao Guozhong, "Nanostructures and Nanomaterials - Synthesis, Properties and Applications", Imperial College Press, 2004.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 131: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 128 REGULATIONS 2015

SEC5655

NANO SCALE MATERIALS SPECTROSCOPY, HEALTH AND

ENVIRONMENTAL ISSUES

L T P Credits Total Marks

4 0 0 4 100 (For NANO)

COURSE OBJECTIVES x To understand the basics of different nano materials x To learn the spectroscopy methods x To learn the health and environmental issues

UNIT1 NANODIMENSIONALMATERIALS 12 Hrs 0D,1D,2D structures – Size Effects – Fraction of Surface Atoms – specific Surface Energy and Surface Stress–

Effect on the Lattice Parameter – Phonon Density of States – the General Methods available for the Synthesis of Nanostrutures–precipitative – reactive – hydrothermal/solvothermal methods – suitability of such methods for scaling– potential Uses

UNIT 2 TEM 12 Hrs. Thermo Electric Materials (TEM): Concept of phonon, Thermal conductivity, Specific heat, Exothermic &

endothermic processes. Different types of TEM; Bulk TEM Properties. One dimensional TEM; Composite TEM; Applications

UNIT 3 NANOMATERIALS FOR ENVIRONMENTAL REMEDIATION 12 Hrs Introduction- Nanoparticle - based Remediation Materials - Acid-Base Chemistry - Redox Chemistry – Field

Deployments of ZVI – Absorption Chemistry – Hybrid Nanostructured Remediation Materials - Self-assembled Monolayers on Mesoporous Supports(SAMMS) – Functional CNTs.

UNIT 4 ELECTRON SPECTROSCOPIES FOR NANOMATERIALS 12 Hrs X-Ray Beam Effects, Spectral Analysis – Core Level Splitting Line widths – Elemental Analysis: Qualitative and

Quantitative – Secondary Structure, XPS Imaging - Angle – Resolved - Basic Principles of AES – Instrumentation – Experimental Procedures Including Sample Preparation – AES Modifications and Combinations with other Techniques –Auger Spectra: Direct and Derivative Forms and Applications - Electron energy loss spectroscopy of nano materials.

UNIT 5 POSSIBLE HEALTH IMPACTOF NANOMATERIALS 12 Hrs Sources of Nanoparticles; Epidemiological Evidence; Entry Routes into the Human Body – Lung, Intestinal

Tract, Skin; Nano particle Size – Surface and Body Distribution; Effect of Size and Surface Charges ; Nanoparticles, Thrombosis and Lung Inflammation ; Nanoparticles and Cellular Uptake; Nanoparticles and the Blood – Brain Barrier.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. C.N.R.Rao,A.Mu¨ller,A.K.Cheetham, TheChemistryofNanomaterials:Synthesis,PropertiesandApplications,Volume1,

Wiley-VCH,VerlagGmbH, Germany(2004). 2. Brian Cantor, "Novel Nanocrystalline Alloys and Magnetic Nanomaterials," Institute of Physics Publications, 2005.

3. ChallaS.S.R.Kumar,~Nanomaterials-Toxicity,HealthandEnvironmentalIssues,Wiley-VCHpublisher(2006). 4. NancyA.Monteiro -Riviere, C.LangTran ,~ Nanotoxicology: Characterization, Dosing and Health Effects, Informa

healthcare(2007). 5. D.Drobne,~NanotoxicologyforsafeandSustainableNanotechnology, Dominantpublisher(2007). 6. JahachiSatio, "Physical properties of Carbon Nanotube", Wiley-vchverlag, 2010. 7. S.Subramony& S.V. Rotkins, "Applied Physics Of Carbon Nanotubes : Fundamentals Of Theory, Optics And Transport

Devices", John Wiley & Sons Ltd, 2010. 8. VladimirG.BordoandHorst-GünterRubahn;~OpticsandSpectroscopyatSurfacesandInterfaces”John-Wiley and sons, Ind.,

(2005)

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 132: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 129 REGULATIONS 2015

SEC5656

IMAGING AND SPECTROSCOPIC TECHNIQUES FOR NANOTECHNOLOGY

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Study the morphological Techniques

x To learn more about the imaging and Spectroscopic Techniques x To Study in-depth of various Lithographic Methods.

UNIT 1 ELECTRON MICROSCOPY 12 Hrs.

Necessity of Morphological studies-overview of Elelctron Microscopy, Electron microscopes: scanning electron microscope (SEM) – transmission electron microscope (TEM); atomic force microscope (AFM) – scanning tunneling microscope (STM) - Working Principle, and their applications. Various techniques in Electron Microscopy: EELS, EDX & WDX, FE-SEM, HR-TEM, HAADF, RHEED, limitations and Accuracies.

UNIT 2 X-RAY PHOTOELECTRON SPECTROSCOPY 12 Hrs. Principles of X-ray Spectroscopies, configurations, design and principles of ARXPS & UPS, XANES, NEXAFS,EXAFS-case study of XRD.

UNIT 3 SCANNING PROBE MICROSCOPY AND APPLICATION 12 Hrs. Nano indentation-Force modulation-scanning tunneling microscope (STM) principle-conductive Fm-Basic principles and application of EFM, MFM, AFM, SCM, ECAFM, ECSTM..

UNIT4 SPECTROSCOPIC TECHNIQUES – I & TECHNIQUES II 12 Hrs.

X-ray diffraction - Debye-Scherer formula – dislocation density – micro strain – Principle and Applications of Raman Spectroscopy, FTIR and its Applications – Dynamic Light Scattering (DLS). UV – Visible Spectrophotometer - Principle and Applications of Photoluminescence (PL) Spectroscopy.

Impedance Analysis - Micro hardness - nanoindentation – Electron Paramagnetic Resonace Spectra and vibrating sample magnetometer – Nuclear Magnetic Resonance (NMR) and its role in Nanoscience. Differential scanning calorimeter (DSC) – Thermogravimetric/Diffferential Thermal Analyzer (TG/DTA) and it s role in characterization of Nanomaterials.

UNIT 5 LITHOGRAPHIC METHODS 12 Hrs.

Introduction – Lithography – Photolithography - Phase-shifting photolithography - Electron beam lithography - X-ray lithography - Focused ion beam (FIB) lithography - Neutral atomic beam lithography - Nanomanipulation and Nanolithography - Soft Lithography - Assembly of Nanoparticles and Nanowires Other Methods for Microfabrication.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. J.Goldstein, D. E. Newbury, D.C. Joy, and C.E. Lym, “Scanning Electron Microscopy and X -ray Microanalysis”, 2003.

2. S.L. Flegler, J.W. Heckman and K.L. Klomparens, “Scanning and Transmission Electron Microscopy: A Introduction”, WH Freeman & Co, 1993.

3. P.J.Goodhew, J.Humphreys, R.Beanland, “Electron Microscopy and Analysis”R.Haynes, D.P.Woodruff and T.A.Talchar,“Optical Microscopy of Materials”, ambridge University press, 1986.

4. G.R. Chatwal and Sham Anand “Instrumental Methods Of Chemical Analysis” Himalaya publishing house, 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 133: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 130 REGULATIONS 2015

SEC5657 MICRO AND NANO FABRICATION L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the different types of micro and nano fabrication techniques x To study the importance of the deposition and diffusion techniques x To learn how to design a micro and nano CMOS circuit by using lithography techniques

UNIT 1 CRYSTAL GROWTH, WAFER PREPARATION, EPITAXY AND OXIDATION 12 Hrs. Basic steps in IC fabrication - Electronic grade silicon – crystal plane and orientation – Defects in the lattice –

Czochralski crystal growing – silicon shaping – Processing consideration – Vapour phase epitaxy – Liquid phase epitaxy - selective epitaxy - Molecular beam Epitaxy - Epitaxial Evaluation – Growth mechanism and kinetics – Thin oxides – Oxidation Techniques and systems – oxide properties – redistribution of dopants at interface – oxidation of poly silicon – Oxidation induced effects

UNIT 2 LITHOGRAPHY, WET AND DRY ETCHING 12 Hrs. Mask Making – Optical Lithography – Electron lithography – X-ray lithography –Ion lithography. – Plasma

properties – Feature size control and Anisotropie Etch mechanism – Lift of Techniques – Plasma reactor – Fl2 &Cl2 based etching – Relative plasma etching Techniques and Equipments

UNIT 3 DEPOSITION, DIFFUSION, ION IMPLANTATION 12 Hrs. Depositon process – Physical vapour depositon - Sputtering – Poly silicon - plasma assisted depositon -

models of diffusion in solids – Fick’s one dimensional diffusion equation – Atomic diffusion mechanism – Carrier recovery due to annealing - Implantation equipment – Annealing -Shallow junction – high energy implantation - Metallization applications – metallization choices – Patterning – Metallization problems

UNIT 4 DEVICE AND MOS CIRCUIT FABRICATION 12 Hrs. Isolation – p-n junction isolation – self alignment – local oxidation – Trench techniques – Planarization –

Chemical- mechanical polishing – Metallization and Gettering – Basic MOS device considerations – MOS transistor Layout and design rules – Metal - gate transistor layout – Poly silicon-Gate transistor layout – Chanel length and width Biases – CMOS technology - CMOS Isolation and Latch up – Silicon - on –Insulator devices – State-of- the art and advanced CMOS technologies.

UNIT 5 FABRICATION OF ARRAYS OF SI MICRO /NANO STRUCTURES BASED ON ATOM LITHOGRAPHY 12 Hrs.

Introduction to Atom Lithography based on Meta stable atoms beam (MAB) and Self Assembled Monolayer structures (SAMs) – Principle and procedure - Mechanism of forming SAMs on Si substrates - Exposure to MAB – Etching processing and pattern transferring - Experimental achievements – Arrays of Si(11), (10) and (10) microstructures – Problems and perspectives14 NT-Eng&Tech-SRM-2013

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Sami Fransila, “Introduction to Microfabrication”, Wiley Publications, 2010.

2. Sorab. K. Gandhi, "VLSI Fabrication and Principles", McGraw Hil, 205. 3. Richard C.Jaeger, “Introduction to Microelectronic Fabrication”, Prentice hall, 2002. 4. Mark J. Jackson, “Microfabrication and Nano manufacturing”, Taylor and Francis group, 206.

5. Bo Cui, “Recent advances in Nanofabrication Techniques and Aplications”,InTech Publisher, 2011 6. Milton Ohring, “Materials Science of Thin Films: Depositon and Structure”, Academic Pres, 2002.

7. Rointan F. Bunshah, “Handbok of Depositon Technologies for Films and coatings, science, Technology and aplications”, Noyes Publications, 1994.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 134: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 131 REGULATIONS 2015

SEC5658

NANO MATERIALS IN HEALTH CARE – TOXICOLOGY

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To study the environmental and health issues of nano materials

x To understand the needs and regulations of nanomaterials. x To learn about the forthcoming challenges in healthcare by using nanomaterials

UNIT 1 INTRODUCTION 12 Hrs.

Identification of Nano - Specific Risks- Responding to the Challenge -Human health hazard – Risk reduction – Standards – Safety – transportation of NP– Emergency responders. Risk assessment –Environmental Impact– Predicting hazard – Materials Characterization. Risk Assessment related to nanotechnology – Environmentaland policy making- Ecotoxicity measurement of Polychlirinated biphenyl and intermediates in their degradation

UNIT 2 NANOTOXICOLOGY 12 Hrs. Inhalation of nanomaterials – Overview. Introduction- Inhalation deposition and Pulmonary clearance

ofInsoluble Solids – Bio –persistence of Inhaled solid material. Systemic Trenslocation of inhaled Particles.Pulmonary effects of SWCNT- Pulmonary Inflammatory Responses to SWCNTs In Vivo - Interactions ofpulmonary Inflammation with oxidative stress – Interactions of SWCNTs with Macrophages

UNIT 3 EXPERIMENTAL ISSUES 12 Hrs.

Nanoparticle exposure and systematic cardiovascular effects – experimental data – respiratory particulatematter exposure and cardiovascular toxicity, Nanoparticles – Hypothesis and research approaches. SWCNT –Experimental data. Toxicity of polymeric nanoparticles with respect to their application as drug carriers. Particleexposure through the indoor air environment –Measurement of indoor of PM and experimental study.

UNIT 4 ETHICS 12 Hrs. Needs for regulations, training and education for health protection and environmental security

ofnanotechnologies – definitions and essence – general benefits – benefits for health and medical practice –potential risks – The approaches to assessment of exposure to the nanotechnology. Bioethics and legalaspects of potential health and environmental risks in nanotechnology – Legal regulatory considerations ofnanotechnology.

UNIT 5 CHALLENGES AND FUTURES 12 Hrs.

Nanotechnology – the frame of worker training, public education, and participation – Introduction – Nanotoxicity– Workers protection – International documents – protection of medical staff – Nurses education – Publicinformation. Occupational risk assessment and management – focus on Nanomaterials

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. P.P. Simeonova, N. Opopol and M.I. Luster, “Nanotechnology - Toxicological Issues and EnvironmentalSafety”, Springer 2006.

2. Vinod Labhasetwar and Diandra L. Leslie, “Biomedical Applications of nanotechnology”, A John Willy & son Inc,NJ, USA, 2007.

3. Miyawaki, J.; et.al Toxicity of Single-Walled Carbon Nanohorns. ACS Nano 2 (213–226) 2008.

4. Hutchison, J. E. Green Nanoscience: A Proactive Approach to Advancing Applications and ReducingImplications of Nanotechnology. ACS Nano 2, (395–402) 2008.

5. Mo-Tao Zhu et.al Comparative study of pulmonary responses to nano- and submicron-sized ferric oxide in rats Toxicology, 21 (102-111) 2008.

6. Dracy J. Gentleman, Nano and Environment: Boon or Bane? Environmental Science and technology,43 (5), P1 239, 2009.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 135: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 132 REGULATIONS 2015

SEC5659 NANOSCALE INTEGRATED COMPUTING L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the basic concepts of Nano Computing and Quantum Computing. x To acquire the knowledge about Spin-Wave, Molecular Computing x To learn the fundamentals and recent advancements of Medical Nano Robotics.

UNIT 1 INTRODUCTION TO NANOCOMPUTING 12 Hrs. Micro computing era – Transistor as a switch, difficulties with transistors at the nanometer scale – Nanoscale devices – Molecular devices – Nanotubes – Quantum dots – Wave computing – Quantum computing

UNIT 2 QUANTUM COMPUTING 12 Hrs.

Reversible computations – Quantum computing models – Complexity bounds for quantum computing – Quantum compression – Quantum error correcting codes – Quantum cryptography – Computing with quantum dot cellular automata – Quantum dot cellular automata cell – Ground state computing – Clocking – QCA addition – QCA multiplication – QCA memory – 4-bit processor

UNIT 3 SPIN-WAVE ARCHITECTURES 12 Hrs.

Spin wave crossbar – Spin wave reconfigurable mesh – Spin wave fully interconnected cluster – Multi-scale Hierarchical architecture – Spin wave based logic devices – Logic functionality – Parallel computing with spin waves – Parallel algorithm design techniques – Parallel routing and broadcasting – On-Spin wave crossbar – On-Spin wave reconfigurable mesh – On-Spin wave fully interconnected cluster

UNIT 4 MOLECULAR COMPUTING 12 Hrs. Switching and memory in molecular bundles – molecular bundle switches – Circuit and architectures in molecular computing – Molecular grafting for silicon computing – Molecular grafting on intrinsic silicon nanowires – Self assembly of CNTs

UNIT 5 COMPUTATIONAL TASKS IN MEDICAL NANOROBOTICS 12 Hrs.

Medical Nanorobot designs – Microbivores – Clottocytes – Chromallocytes – Common functions requiring onboard computation – Nanorobot control protocols: Operation protocols – Biocompatibility protocols – Theater protocols – Nanoscale image processing: Labeling problem – Convex Hull problem – Nearest neighbor problem.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Nielsen M. A. and Isaac L. Chuang, ~Quantum computation and quantum information~, Cambridge University

Press, (2000).

2. Jain A. K., ~Fundamentals of Digital Image Processing, Prentice-Hall, (1988).

3. Schroder D. K., ~Semiconductor Material and Device Characterization ~, New York, (2006).

4. Zhou C. and New Haven, ~Atomic and Molecular wires, Yale University Press, (1999)

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 136: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 133 REGULATIONS 2015

SEC5660

NANO TECHNOLOGY FOR ADVANCED DRUG DELIVERY SYSTEMS

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To acquire the knowledge of Nano Bio-active and molecular Arrays x To understand the concepts of how nanotechnology is used for various medical applications x To learn the strategies of drug delivery and degradation by using Nanotechnology

UNIT 1 NANOMOLECULAR DIAGNOSTICS - ARRAY AND CHIPS 12 Hrs. Introduction -Nanodiagnostics -Rationale of Nanotechnology for Molecular Diagnostics -Nanoarrays for

Molecular Diagnostics .NanoProTM System -Nanofluidic/Nanoarray Devices to Detect a Single Molecule of DNA-Self-Assembling ProteinNanoarrays -Fullerene Photodetectors for Chemiluminescence DetectiononMicrofluidicChips - Protein Microarray for Detection of Molecules with Nanoparticles Protein Nanobiochip Nanoparticles for Molecular Diagnostics -Gold Nanoparticles -Quantum Dots for Molecular Diagnostics Magnetic Nanoparticles -Use of Nanocrystals in Immunohistochemistry -Imaging Applications of Nanoparticles Study ofChromosomes byAtomic ForceMicroscopy-Applications of Nanopore Technology for Molecular Diagnostics DNA–Protein and DNA– Nanoparticle Conjugates UNIT 2 NANO BIOACTIVE GLASSES 12 Hrs.

Introduction - Nano Bioactive glasses – Preparation – Methods –Nano-bioactive glass powders – Properties – Mechanical-measurement of bioactivity – In vitro studies - coating on metallic implant – Characterization -Implant applications.

UNIT 3 APPLICATION IN CANCER THERAPY & NANOMEDICINE 12 Hrs. Introduction and Rationale for Nanotechnologyin Cancer Therapy -- Passive Targeting of Solid Tumors:

Pathophysiological Principles and Physicochemical Aspects of Delivery Systems -Active Targeting Strategies in Cancer with a Focus on\Potential Nanotechnology Applications -Pharmacokinetics of Nanocarrier-Mediated Drug and Gene Delivery - Multifunctional Nanoparticles for Cancer Therapy- Neutron Capture Therapy of Cancer: Nanoparticlesand High Molecular Weight Boron Delivery Agents. Nano-Oncology- Nanoneurology- Nanocardiology-Nano-Orthopedics- Nano-Ophthalmology

UNIT 4 DELIVERY MECHANISM 12 Hrs. Introduction, Antibody conjugated nanoparticles – Conjugated nanoparticles interaction with biological

surfaces– Biomedical nanoparticles – Liposomes - Dentrimers - Different types of drug loading, drug release andBiodegradable polymers – Applications.

UNIT 5 TARGETED DRUG DELIVERY 12 Hrs. Basic and special pharmacology – strategies for targeted delivery – in nature – Bacteria – virus – prion

strategies for targeted delivery – by human – oral delivery – transdermal – transmucosal – invasive – Targeteddelivery to brain – macrophage targeting

TEXT / REFERENCE BOOKS 1. Challa Kumar, Nanomaterials for medical diagnosis and therapy , Wiley VCH 2005 2. James A. Schwarz, Cristian I. Contescu, Karol Putyera, “Dekker encyclopedia of nanoscience and nanotechnology” CRC Press,

2004. 3. Natalie P. Praetorius and Tarun K. Mandal, Recent Patents on Drug Delivery & Formulation 4. Maksym V Yezhelyev, Xiaohu Gao, Yun Xing, Ahmad Al-Hajj, ShumingNie, Ruth M O’Regan, Lancet Oncol 5. Y. Lu, S.C. Chen, “Micro and nano-fabrication of biodegradable polymers for drug delivery” Advanced Drug Delivery Reviews,

56 (1621-1633) 2004. 6. Wei Xia and Jiang Chang, Preparation and characterization of nano-bioactive-glasses (NBG) by a quick alkali-mediated sol– gel

method, Materials letters, 61 (3251-3253) 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Part A : 6 Questions of 5 Marks each – No choice -

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks

Exam Duration : 3 Hrs. 30 Marks 70 Marks

Max. 60 Hours

Page 137: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 134 REGULATIONS 2015

SEC5661 LITHOGRAPHY NANO FABRICATION L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To understand the different types patterning available in thinfilms x To study the various modes of characteristics techniques x To acquire and learn the techniques used in Nanoimprint technology

UNIT 1 PATTERNING OF THIN FILMS 12 Hrs. Introduction - Necessity for a clean room - different types of clean rooms - construction and maintenance of a

clean room - Lithography - Optical lithography - Optical projection lithography - Multistage scanners resolution - Photo mask - Binary mask- Phase shift mask - Attenuated phase shift masks - alternating phase shift masks - Off axis illumination

UNIT 2 MASKLESS OPTICAL LITHOGRAPHY 12 Hrs. Optical proximity correction - Sub resolution assist feature enhancement - Optical immersion lithography -

Optical interferometric lithography- Holographic lithography. Maskless optical projection lithography - Zone plate array lithography - Extreme ultraviolet lithography.

UNIT 3 ELECTRON BEAM LITHOGRAPHY 12 Hrs. Scanning electron beam lithography - maskless EBL - parallel direct-write e-beam systems-electron beam

projection lithography - Scattering with angular limitation projection e-beam lithography - Projection reduction exposure with variable axis immersion lenses.

UNIT 4X RAY LITHOGRAPHY 12 Hrs. Ion beam lithography - Focusing ion beam lithography - Ion projection lithography - Projection focused ion multi-beam - Masked ion beam lithography - Masked ion beam direct structuring - atom lithography

UNIT 5 NANOIMPRINT LITHOGRAPHY AND SOFT LITHOGRAPHY 12 Hrs. Nanoimprint lithography (NIL) - NIL- hot embossing- UV-NIL- Soft Lithography - Moulding/Replica moulding:

Printing with soft stamps - Edge lithography - Dip-Pen Lithography-set up and working principle - Etching techniques-( RIE) Reactive Ion Etching- Magnetically enhanced RIE - (IBE) Ion beam etching- Other etching techniques.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Tai Ran – Hsu,”MEMS and Microsystems, Design, Manufacture and Nanoscale Engineering”, John Wiley & Sons, 2008.

2. Charles P.Poole Jr and. Frank J.Owens, “Introduction to Nanotechnology”, Wiley Interscience, 2003. 3. Sulabha K. Kulkarni, “Nanotechnology: Principles and Practices”, Capital publishing company, 2007. 4. M.Gentili, C. Giovannella, S.Selci, “Nanolithography: A Borderland between STM, EB, IB and X -Ray Lithographies” (NATO ASI

Series), Kluwer Academic Publishers, 1994.

5. D. S. Dhaliwal et al., PREVAIL: “Electron projection technology approach for next generation lithography”, IBM Journal Res. & Dev. 45, 615, 2001.

6. M. Baker et al., “Lithographic pattern formation via metastable state rare gas atomic beams”, Nanotechnology 15, 1356, 2004.

7. H.Schift et al., “Fabrication of polymer photonic crystals using nanoimprint lithography”, Nanotechnology 16: 261 -265, 2005. 8. R.D. Piner, “Dip-Pen” Nanolithography, Science 283, 661.45, 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 138: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 135 REGULATIONS 2015

SEC5662 INDUSTRIAL NANO TECHNOLOGY L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES x To Understand the Basic concepts of Semiconductors and Electronic Devices x To Learn about the Structure of magnetic and Sensors x To understand how Nano technology has been used in various Applications

UNIT 1 SEMICONDUCTOR NANOSTRUCTURES 12 Hrs. Semiconductor fabrication techniques. Electronic structure and properties of semiconductor

nanostructures.Principles and performance of semiconductor nanostructures based electronic and electro-optical devices.Advantages of nano electrical and electronic devices –Electronic circuit chips – Lasers - Micro and Nano-Electromechanical systems – Sensors, Actuators, Optical switches, Bio-MEMS –Diodes and Nano-wire Transistors - Data memory –Lighting and Displays – Filters (IR blocking) – Quantum optical devices – Batteries - Fuel cells and Photo-voltaic cells – Electric double layer capacitors – Lead-free solder – Nanoparticle coatings for electrical products

UNIT 2 MAGNETIC NANOSTRUCTURES 12 Hrs. Magnetism in solids-magnetic domains. Nanomagnetic properties of materials-nanostructure

relationships.Fabrication and properties of nanostructured magnets. Photoinduced magnetism and spintronics.Nanomagnetic probes. Electronic magneto transport and micro magnetic modeling.

UNIT 3 NANOSENSORS AND ACTUATORS 12 Hrs. Micro and nano electromechanical systems-fabrication process, choice of materials, calculations,

performanceof different nanostructures, advantages and limitations of various approaches. Applications-thermal, radiationmagnetic, chemical and mechanical nanosensors and micro actuators.

UNIT 4 MOLECULAR ELECTRONICS 12 Hrs. Conducting and semiconducting polymers-hybridization, conjugation and excitations. Molecular

crystals.Organic electroluminescent displays-injection, transport, exciton formation and light emission. Influence of supramolecular order- excimers, H and J aggregates. Liquid crystal display. UNIT 5 INDUSTRIAL APPLICATIONS 12 Hrs.

Nanomaterials in bone substitutes & dentistry. Antimicrobial applications of nanomaterials. Food and cosmeticapplications of nanomaterials. Application of nanomaterials in textiles, paints, catalysis, lubricants, fuel cells and batteries. Nanofibre production - Electrospinning – Controlling morphologies of nanofibers – Tissue engineering application – Polymer nanofibers - Nylon-6 nanocomposites from polymerization - Nano-filled polypropylene fibers - Bionics– Swim-suits with shark-skin-effect,Soil repellence, Lotus effect - Nano finishing in textiles (UV resistant, antibacterial, hydrophilic, self-cleaning, flame retardant finishes) – Modern textiles (Lightweight bulletproof vests and shirts, Colour changing property, Waterproof and Germ proof, Cleaner kids clothes, Wired and Ready to Wear) Cosmetics – Formulation of Gels, Shampoos, Hair-conditioners (Micellar self-assembly and its manipulation) – Sun-screen dispersions for UV protection using Titanium oxide – Color cosmetics.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. J. Verdeyen, “Laser Electronics”, II Edition, Prentice Hall, 1990. 2. C.W. Turner, T. Van Duzer, “Principles of Superconductive Devices and Circuits”, 1981 3. Reynolds, M.Pomeranty, “Electro responsive molecules and polymeric systems”, Skotheim T. Marcel Dekker New York,1991 4. A .Yariv, “Principles of Optical Electronics”, John Wiley, New York, 1984 5. M C Petty, M R Bryce, D Bloor (eds.), 'Introduction to Molecular Electronics', Edward Arnold, London,1995

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. Part A : 6 Questions of 5 Marks each – No choice - 30 Marks Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 139: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 136 REGULATIONS 2015

SEC5663

NANO PHOTO ELECTROCHEMICAL SYSTEMS

L T P Credits Total Marks

(For NANO) 4 0 0 4 100

COURSE OBJECTIVES

x To Understand the fundamentals of quantum structures

x To study about the electron transfer reactions and photo catalysis x To learn about the development of the storage cells.

UNIT 1 INTRODUCTION TO PHOTO ELECTROCHEMISTRY 12 Hrs. Photo electro chemistry Introductionary concepts: Energy bands, Conduction and charge carrier Generations, Fermi level. Photosynthetic and regenerative cells. Photocatalytic water splitting and its importance.

UNIT 2 FUNDAMENTALS AND APPLICATIONS OF QUANTUM CONFINED STRUCTURES 12 Hrs.

Quantisation effects in semiconductors for nanostructures – Optical spectroscopy of quantum wells, super lattices and quantum dots - Hot electron and hole cooling dynamics in quantum confined semiconductors – High conversion efficiency via multiple exciton generation in quantum dots – Quantum dot solar cell configurations.

UNIT3 FUNDAMENTALS AND APPLICATIONS IN ELECTRON TRANSFER REACTIONS 12 Hrs.

Thermodynamics of ET and PET reactions – Classical Marcus theory – Semiclassical theories of nonadiabatic electron transfer – Electron transfer in donor-bridge-acceptor supermolecules – Electrochemical electron transfer – Rate control by reorganisation dynamics - Optimisation of photoinduced electron transfer in photoconversion.

UNIT 4 FUNDAMENTALS IN METAL OXIDE HETEROGENEOUS PHOTOCATALYSIS 12 Hrs.

The complex science underlying metal oxide photocatalysis – Metal oxide photochemistry, photophysics and modeling – Challenges in heterogeneous photocatalysis – Theoritical description of quantum yields – Evidence for a gas/solid surface reaction being photocatalytic.

UNIT 5 MESOSCOPIC SOLAR CELLS AND PHOTOELECTROCHEMICAL STORAGE CELLS 12 Hrs.

Mode of function of dye-sensitised solar cells – DSSC research and development – Solid state dye-sensitisedcells – Pilot production of modules, outdoor field tests and commercial DSSC development - Comparative solar energy storage process – Modes of photoelectrochemical storage – Optimisation of photoelectrochemical storage – High efficiency multiple bandgap cells with storage.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Vayssieres, Lionel , "On Solar Hydrogen and Nanotechnology", John Wiley and Science, 2009.

2. Allen J. Bard, Larry R. Faulkner, "Electrochemical Methods: Fundamentals and Applications", John Wiley

and Sons, 1980. 3. E. Pelizzetti, "Homogeneous and Heterogeneous Photocatalysis", Kluwer Academic Publishers, 1986.

4. David H. Volman, Douglas C. Neckers and Gunther Von Bunau, "Advances in Photochemistry," John Wiley &

Sons, 1997. 5. Michael Grätzel,Photoelectro chemical cells insight review articles Nature, 2001.

6. Finklea Harry O. Phtoelectrochemistry introductionary concepts, Photoelectrochemistry energy conversion, Vol

60(4), 1983.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

Part A : 6 Questions of 5 Marks each – No choice - 30 Marks

Part B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 140: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 137 REGULATIONS 2015

SEC5664

RESEARCH PROBLEMS IN MOBILE COMPUTING

L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES

x To appreciate the social and ethical issues of mobile computing

x To learn successful mobile computing applications and services

x To address the open research problems in mobile computing

UNIT 1 INTRODUCTION 12 Hrs.

Introduction: Mobile and Wireless Devices – Simplified Reference Model – Need for Mobile Computing – Wireless Transmissions – Multiplexing – Spread Spectrum and Cellular Systems – Medium Access Control – Comparisons.

UNIT 2 TELECOMMUNICATION AND SATELLITE SYSTEMS 12 Hrs. Telecommunication Systems – GSM – Architecture – Sessions – Protocols – Hand Over and Security – UMTS and IMT-2000 – Satellite Systems.

UNIT 3 WIRELESS LAN

Wireless LAN: IEEE S02.11 – Hiper LAN – Bluetooth – MAC layer – Security and Link Management.

12 Hrs.

UNIT 4 MOBILE IP AND WAP 12 Hrs.

Mobile IP: Goals – Packet Delivery – Strategies – Registration – Tunneling and Reverse Tunneling – Adhoc Networks – Routing Strategies. Wireless Application Protocol (WAP) – Architecture – XML – WML Script – Applications.

UNIT 5 OPEN RESEARCH ISSUES 12 Hrs.

Issues in Mobile cloud computing -Physical specifications of Mobile devices -Inconsistent Bandwidth -High computing Applications -Delay in input/output from user to cloud- Open Research Issues- Data delivery-Task division - Better service.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Jochen Schiller, “Mobile Communications”, Pearson Education, Delhi, 2000.

2. Sandeep Singhal, Thomas Bridgman, Lalitha Suryanarayana, DanilMouney, JariAlvinen, David Bevis, Jim Chan and StetanHild,

The Wireless Application Protocol: Writing Applications for the Mobile Internet, Pearson Education, Delhi, 2001.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 141: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 138 REGULATIONS 2015

SEC5665 NETWORKING IN LINUX L T P Credits Total Marks

(For CS) 4 0 0 4 100

COURSE OBJECTIVES x To provide basic knowledge of working with Linux

x To understand basic Linux command lines for networking x To configure and analyze protocols

UNIT 1 INTRODUCTION 12 Hrs.

Network services – Names and Addresses – The Host Table – DNS – Mail services – File and Print servers – configuration servers – summary - Getting started – connected and Non-connected Networks – Basic information – planning Routing – Planning Naming Service – Other services – Informing the Users – summary - Basic Configuration - Kernel – configuration – Using Dynamically Loadable Modules – Recompiling the Kernel – Linux Kernel configuration – Startup Files – The Internet Daemon – The Extended Internet Daemon.

UNIT 2 CONFIGURING 12 Hrs. Configuring the Interface – The ifconfig command – TCP / IP over a Serial Line – Installing PPP - Configuring

Routing – common routing configuration – The minimal routing table – Building a static routing table – configuring DNS – BIND : Unix name service – configuring the Resolver – configuring named – using ns lookup.

UNIT 3 NETWORK SERVICES 12 Hrs.

Local Network Services – the Network File system – Sharing Unix printers – using samba to share resources with windows – Network Information – service – DHCP – Managing Distributed servers – Post office servers – send mail – sendmail’s function – running sendmail as a Daemon – Sendmail Aliases – Modifying a sendmail of File – Testing Sendmail.

UNIT 4 SECURITY 12 Hrs. Configuring Apache – Installing Apache software – configuring the Apache server – understanding anLttpd.

Conf File – Web server security - Managing your web server – Network Security – Security planning – user Authentication – Application security – Security Monitoring – Access control – Encryption – Firewalls.

UNIT 5 TROUBLESHOOTING AND INTERNET MANAGEMENT 12 Hrs.

Troubleshooting TCP / IP Applications a problem – Diagnostic Tools – Testing Basic connectivity – Troubleshooting Network Access – Checking Routing – Checking Name Service – Analyzing Protocol problems – Protocol case study - Applications : Internet Management – Introduction – The level of Management Protocols – Architectural Model – Protocal Framework – Examples of MIB variables – The structure of Management Information – Formal Definitions using ASN 1 – Structure and Representation of MIB object names – Simple Network Management Protocol – SNMP message format – Example encoded SNMP message – New features in SNMPv3 - Summary.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Craig Hunt, “TCP / IP Network Administration”, 3rd Edition, O’Reilly Networking 2002.

2. Douglas E Comer, “Internetworking with TCP / IP – Principles, Protocols and Architectures”, Fourth Edition, Prentice – Hall of India Pvt. Ltd., 2002.

3. Steven Graham, Steve Shah, “LINUX Administration A beginner’s Guide”, 3rd Edition, McGraw Hill, 2002.

4. Nicholas wells, “Guide to Linux Installation and administration”, VikasPublishing house, 2000.

5. QRed Hat, “Official Red Hat Linux 8 Administrator’s Guide”, Wiley – Dreamtech India Pvt. Ltd., 2002.

6. Steve Maxwell, “UNIX system Administration, A beginner’s Guide”, Tata McGraw Hill Edition, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN Max Marks : 70 Exam Duration: 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 142: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 139 REGULATIONS 2015

SEC5666

MODELING & SIMULATION OF COMMUNICATION NETWORK

L T P Credits Total Marks

(For AE & CS) 4 0 0 4 100

COURSE OBJECTIVES x To understand the behaviour of the system and identify the aspects

x To know both analytical methods and simulation techniques (Monte Carlo Techniques) applied in performance modeling of communication systems and networks

UNIT 1 SIMULATION METHODOLOGY 12 Hrs.

Introduction, Aspects of methodology, Performance Estimation, Simulation sampling frequency, Low pass equivalent simulation models for bandpass signals, Multicarrier signals, Non-linear and time-varying systems, Post processing – Basic graphical techniques and estimations.

UNIT 2 RANDOM SIGNAL GENERATION & PROCESSING 12 Hrs.

Uniform random number generation, mapping uniform random variables to an arbitrary pdf, Correlated and Uncorrelated Gaussian random number generation, PN sequence generation, Random signal processing, testing of random number generators.

UNIT 3 MONTE CARLO SIMULATION 12 Hrs. Fundamental concepts, Application to communication systems, Monte Carlo integration, Semianalytic techniques, Case study: Performance estimation of a wireless system.

UNIT 4 ADVANCED MODELS & SIMULATION TECHNIQUES 12 Hrs.

Modeling and simulation of non-linearities: Types, Memoryless non-linearities, Non-linearities with memory, Modeling and simulation of Time varying systems : Random process models, Tapped delay line model, Modelling aand simulation of waveform channels, Discrete memoryless channel models, Markov model for discrete channels with memory, Tail extrapolation, pdf estimators, Importance sampling methods.

UNIT 5 NETWORK AND TRAFFIC MODELLING 12 Hrs. Queuing theory related to network modeling, Poissonian and NonPoissonian modeling of network traffic ; Specific Examples.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. William.H.Tranter, K. Sam Shanmugam, Theodore. S. Rappaport, Kurt L. Kosbar, Principles of Communication Systems

Simulation, Pearson Education (Singapore) Pvt. Ltd,2004.

2. M.C. Jeruchim, P.Balaban and K. Sam Shanmugam, Simulation of Communication Systems: Modeling, Methodology and

Techniques, Plenum Press, New York, 2001.

3. Averill.M.Law and W. David Kelton, Simulation Modeling and Analysis, McGeaw Hill Inc., 2000.

4. Geoffrey Gorden, System Simulation, Prentice Hall of India, 2nd Edition, 1992.

5. Jerry Banks and John S. Carson, Discrete Event System Simulation, Prentice Hall of India, 1984.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 143: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 140 REGULATIONS 2015

SEC5667 TIME FREQUENCY ANALYSIS L T P Credits Total Marks

(For AE & CS) 4 0 0 4 100

COURSE OBJECTIVES

x To provide fundamental concepts of time-frequency analysis techniques converging to the subject of wavelet transforms

x To understand multiresolution analysis x To Appreciate the important features of wavelets, and perform simple analyses and computations

UNIT 1 INTRODUCTION 12 Hrs.

Review of Fourier Transform, Parseval’s Theorem and need for joint time -frequency Analysis, Concept of non-stationary signals, Short-time Fourier transform (STFT), Uncertainty Principle, Localization/Isolation in time and frequency, Hilbert Spaces, Banach Spaces, Fundamentals of Hilbert Transform.

UNIT 2 BASES FOR TIME-FREQUENCY ANALYSIS 12 Hrs.

Wavelet Bases and filter Banks, Tilings of Wavelet Packet and Local Cosine Bases, Wavelet Transform, Real Wavelets, Analytic Wavelets, Discrete Wavelets, Instantaneous frequency, Quadratic time-frequency energy, Wavelet Frames, Dyadic wavelet Transform, Construction of Haar and Roof scaling function using dilation equation and graphical method.

UNIT 3 MULTIRESOLUTION ANALYSIS 12 Hrs

Haar Multiresolution Analysis, MRA Axioms, Spanning Linear Subspaces, nested subspaces, Orthogonal Wavelets Bases, Scaling Functions, Conjugate Mirror Filters, Haar 2-band filter Banks, Study of upsamplers and downsamplers, Conditions for alias cancellation and perfect reconstruction, Discrete wavelet transform and relationship with filter Banks, Frequency analysis of Haar 2-band filter banks, scaling and wavelet dilation equations in time and frequency domains, case study of decomposition and reconstruction of given signal using orthogonal framework of Haar 2-band filter bank.

UNIT 4 WAVELETS 12 Hrs.

Daubechies Wavelet Bases, Daubechies compactly supported family of wavelets, Daubechies filter coefficient calculations, Case study of Daub-4 filter design, Connection between Haar and Daub-4, Concept of Regularity, Vanishing moments. Other classes of wavelets like Shannon, Meyer, Battle-Lamarie.

UNIT 5 BI-ORTHOGONAL WAVELETS AND APPLICATIONS 12 Hrs. Construction and design. Case study of bi-orthogonal 5/3 tap design and its use in JPEG 2000. Wavelet Packet

Trees, Time-frequency localization, compactly supported wavelet packets, case study of Walsh wavelet packet bases generated using Haar conjugate mirror filters till depth level 3. Lifting schemes for generating orthogonal bases of second- generation wavelets.

Max. 60 Hours

TEXT / REFERENCES

1. S. Mallat, A Wavelet Tour of Signal Processing, Academic Press, Second Edition, 1999. 2. L. Cohen, “Time -frequency analysis”, Prentice Hall, 1995.

2. G. Strang and T. Q. Nguyen, Wavelets and Filter Banks, Wellesley-Cambridge Press, Revised Edition, 1998.

3. Daubechies, "Ten Lectures on Wavelets", SIAM, 1992. 3. P. P. Vaidyanathan, Multirate Systems and Filter Banks, Prentice Hall, 1993.

4. M. Vetterli and J. Kovacevic, Wavelets and Subband Coding, Prentice Hall, 1995

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 144: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 141 REGULATIONS 2015

SEC5668 PROGRAMMING IN PERL L T P Credits Total Marks

(For VLSI) 4 0 0 4 100

COURSE OBJECTIVES x To understand the concept of programming in PERL

x To equip the student for GUI development and system administration

UNIT 1 INTRODUCTION 12 Hrs.

Introduction – Scalar data – Chomp operator – while control structure – the undef value – the defined function – Lists and Arrays – List Literals - .List Assignment – Interpolating Array into Strings – Subroutines – System and User functions – Invoking a subroutine – Private variables in subroutines – The return operator – Hash element access – Hash functions.

UNIT 2 BASIC I/O 12 Hrs.

Input from standard input – Input from the diamond operator – The invocation arguments – Output to standard output – A pattern test program – Character classes – General quantifiers – Anchors – Memory parentheses – Precedence.

UNIT 3 REGULAR EXPRESSIONS AND FUNCTIONS 12 Hrs.

Regular Expressions – binding operator – interpolating into patterns – the match variables – the split operator – the join function – unless control structure – until control structure – expression modifiers – loop controls – logical operators .

UNIT 4 FILE HANDLES AND FILE TESTS 12 Hrs.

File handles and file tests :– operating a file handle – fatal errors with die – using filehandles – file tests – Directory operations :- Globbing – directory handles – recursive directory listing – removing files – renaming files – links and files – mapping and removing directories.

UNIT 5 PROCESS MANAGEMENT 12 Hrs.

Process management – system function – exec function – the environment variables – processes as filehandles – sending and receiving signals – formatting data with sprintf – advanced sorting – DBM files and DBM hashes – manipulating data with pack and unpack.

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Randal L. Schwartz and Tom Phoenix, Learning Perl, Third Edition, O’Reilly & Associates, 2001.

2. Mark Jason Dominus , Higher Order Perl , First edition , Morgan Kaufmann publishers , 2005.

3. Joseph N. Hall, Joshua A. McAdams, Brian D Foy , Effective Perl Programming , Addison Wesley publication, 2010.

4. Larry Wall, Tom Christiansen & Jon Orwant, Programming Perl , Third Edition, O’Reilly & Associates, 2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max Marks : 70 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each-No choice 30 Marks

PART B : 2 Questions from each unit with internal choice, each carrying 14 Marks 70 Marks

Page 145: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 142 REGULATIONS 2015

SPECIAL MACHINES AND THEIR L T P Credits Total Marks

SEE5601 CONTROLLERS

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES x To impart knowledge on working principle and characteristics of switched reluctance motors, permanent magnet

brushless motors, permanent magnet synchronous motors x To study the working principle of novel motors

UNIT 1 STEPPER MOTORS Introduction to stepper motor - Constructional features and principle of operation - Single phase stepper

motors - Modes of excitation - Characteristics - PM stepper motor, Hybrid Stepper motor - Construction and operation of Enhanced PMH stepper motor, Disc Magnet stepper motor, Electro hydraulic stepper motor - Drive circuits for stepper motor – Open loop control and Closed loop control of stepping motor - Single stack variable reluctance stepper motor - Multi-stack stepper motor – Electromagnetic torque developed in reluctance motor - Effect of saturation - Static and dynamic characteristics - Applications of stepper motor

UNIT 2 SWITCHED RELUCTANCE MOTORS 12 Hrs. Constructional features - Principle of operation - Torque equation - Power electronic converter circuits -

Characteristics and control - Torque-speed Characteristics, Current sensing - Rotor position measurement and estimation- Sensor less rotor position estimation- Incremental inductance measurement and constant flux linkages method – Control of SRM for traction type load.

UNIT 3 PERMANENT MAGNET BRUSHLESS DC MOTORS Commutation in DC motor - Difference between mechanical and electronic commutators - Hall effect sensors

-Optical sensors - Multiphase brushless motor - Square wave permanent magnet brushless motor drives - Torque and EMF equation – Torque - speed characteristics – Controllers

UNIT 4 PERMANENT MAGNET SYNCHRONOUS MOTORS Construction and operation of synchronous motors-d-q transformation and d-q model - Closed loop control in

d-q reference frame - Vector control of permanent magnet synchronous motors - DTC of VSI and CSI fed electrically excited synchronous motors.

UNIT 5 NOVEL MOTORS Construction and operation of Written pole motors - Piezoelectric Motors - Bearingless motors - Slotless

motors – Coreless Stator PM brushless motors: Disc type coreless motors, Cylindrical type motors with coreless

stator winding. Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Miller. T.J.E. “Brushless Permanent Magnet and Reluctance Motor Drives”, Clarendon Press, Oxford, 1989. 2. Kenjo. T, “Stepping motors and their Microprocessor control”, Clarendon Press, Oxford, 1989. 3. R.Krishnan, “Switched Reluctance Motor Drives – Modelling, Simulation, Analysis, Design and Applications”, CRC

Press,2001. 4. J.F.Gieras, “Advancements In Electrical Machines”, Springer Publishers, 2008 5. Kenjo, T and Nagamori, S, “Permanent Magnet and Brushless DC motors”, Clarendon Press, Oxford, 1989. 6. K.Venkataratnam, “Special Electrical Machines”, University Press Private Limited, 2008. 7. V.V.Athani, “Stepper Motors-Fundamentals, Applications and Design”, New Age International (P) Limited, 1st Edition (Reprint

2013)

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 146: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

M.E. / M. Tech REGULAR 143 REGULATIONS 2015

L T P Credits Total Marks SEE5602 POWER ELECTRONICS IN POWER SYSTEMS ________________________________________________

(for PEID) 4 0 0 4 100

COURSEOBJECTIVES x To provide a chance for the students to learn more about FACTS devices, since they must be aware of reactive

power compensation & power factor improvement.

x To impart more knowledge about the different power converter circuits which helps in energy storage and effective utilization

UNIT 1 LOAD COMPENSATION Introduction – Need for Compensation – Objectives in load compensation – Specifications of load

compensator – Classification of compensation – Shunt & Series Compensation – Voltage Sag, Swell, Surges - Effects of Voltage Collapse

UNIT 2 INTRODUCTION TO FACTS DEVICES Thyristor Controlled Reactor (TCR) – Thyristor Switched Capacitor (TSC) – Saturable Reactor – Saturated

Reactor Compensator – Static VAR Compensator (SVC) – Thyristor Controlled Series Capacitor (TCSC) – STATCOM – Dynamic Voltage Restorer (DVR)

UNIT 3 HARMONICS CONTROL & POWER FACTOR IMPROVEMENT Reactive power variation for fully controlled converter – Half controlled converter – Fully controlled converter

with controlled freewheeling – Methods of employing natural commutation – Methods of employing forced commutation – Implementation of forced commutation

UNIT 4 VOLTAGE CONTROL USING STATIC TAP CHANGER Introduction to voltage regulators – Single Phase voltage controllers – Sequence control of AC voltage

controllers – Manually controlled voltage regulator (Conventional Methods) – Static Tap changer using thyristors.

UNIT 5 UNINTERRUPTIBLE POWER SUPPLY SYSTEMS Switched mode power supply (SMPS) – Parallel UPS – Rotating UPS – Static UPS types – UPS using

resonant power converters – High voltage DC transmission – Static circuit breakers

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Miller.T.J.E, “Reactive Power Control in Electric Systems”, Wiley-Interscience, New York, 1982. 2. G.K.Dubey, “Thyristorised Power Controllers”, New Age International Publishers, 2n d Edition (2012). 3. Dr.P.S.Bimbhra, “Power Electronics”, Khanna Publishers, 5th Edition, 2014. 4. R. Mohan Mathur, Rajiv K. Varma, “Thyristor-based Facts Controllers for Electrical Transmission systems”, Wiley-IEEE, 2002. 5. P.C.Sen, “Power Electronics”, Tata Mc Graw Hill, 2008. 6. “Static compensator for AC power systems”, Prac.IEE Vol 128, pt.c, Nov 1981, pp362-406. 7. “A static alternative to the Transformers on Load tap Changing”, IEEE Trans. On PAS, Vol 101, Sep.1982, pp 3091 -3095. 8. K. R. Padiyar, “FACTS Controllers in Power Transmission and Distribution”, New Age International, 2007(Reprint 2013). 9. Narain G Hingorani and Laszlo Gyugyi, “Understanding FACTS: Concepts and Technology of Flexible AC Transmission

Systems”, Wiley-IEEE Press, 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 147: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 144 REGULATIONS 2015

SEE5603 LINEAR AND NON LINEAR SYSTEM THEORY L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES x To impart knowledge in State Space Analysis

x To understand and implement various stability analysis in Non linear systems x To gain knowledge in MIMO system analysis

UNIT 1 PHYSICAL SYSTEMS AND STATE ASSIGNMENTS 12 Hrs. State space modelling of Electrical, Mechanical, Hydraulic, Pneumatic, Thermal systems – Modelling of some typical systems like DC Machines - Inverted Pendulum.

UNIT 2 STE SPACE ANALYSIS 12 Hrs.

Realisation of State models: Non-uniqueness - Minimal realization - Balanced realisation – Solution of state equations: – State transition matrix and its properties - Free and forced responses – Properties: Controllability and observability - Stabilisability and detectability – Kalman decomposition.

UNIT 3 MIMO SYSTEMS FREQUENCY DOMAIN DESCRIPTIONS 12 Hrs.

Properties of transfer functions – Impulse response matrices – Poles and zeros of transfer function matrices – Critical frequencies – Resonance – Steady state and dynamic response – Bandwidth - Nyquist plots - Singular value analysis.

UNIT 4 NON-LINEAR SYSTEMS 12 Hrs. Types of non-linearity – Typical examples – Equivalent linearization - Describing functions - Analysis using Describing functions - Phase plane analysis.

UNIT 5 STABILITY 12 Hrs.

Stability concepts – Equilibrium points – BIBO and asymptotic stability – Direct method of Liapunov – Application to non-linear problems – Frequency domain stability criteria – Popov’s method and its extensions.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. M.Gopal, “Modern Control System Theory”, New Age International, 3rd Edition (Reprint 2014)

2. John S.Bay, “Fundamentals of Linear State Space Systems”, McGraw-Hill, 1999.

3. Eroni-Umez and Eroni, “System dynamics & Control”, Thomson Brooks/ Cole, 1998.

4. K. Ogata, “Modern Control Engineering”, Pearson Education, 2010.

5. Charles L.Phillips & Royce D.Harbor, “Feedback Control Systems”, Prentice Hall Inc., 4th Edition,1999.

6. Thomas Kailath, “Linear Systems”, Prentice-Hall, 1980.

7. Hassan K. Khalil, “Nonlinear Systems”, Prentice Hall, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 148: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 145 REGULATIONS 2015

SEE5604 ANALYSIS OF ELECTRICAL MACHINES L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES x To analyze the steady state and dynamic characteristics of DC Machines through mathematical modeling.

x To analyse the steady state and dynamic characteristics of Three phase Induction Machines and Three phase Synchronous Machines using reference frame theory.

UNIT 1 PRINCIPLES OF ELECTROMAGNETIC ENERGY CONVERSION 12 Hrs. Magnetic circuits, permanent magnet, stored magnetic energy, co-energy - force and torque in singly and doubly excited systems – machine windings and air gap mmf - winding inductances and voltage equations.

UNIT 2 DC MACHINES 12 Hrs.

Elementary DC machine and analysis of steady state operation - Voltage and torque equations – dynamic characteristics of permanent magnet and shunt d.c. motors –Time domain block diagrams - solution of dynamic characteristic by Laplace transformation

UNIT 3 REFERENCE FRAME THEORY 12 Hrs. Historical background – phase transformation and commutator transformation – transformation of variables from stationary to arbitrary reference frame - variables observed from several frames of reference.

UNIT 4 INDUCTION MACHINES 12 Hrs.

Three phase induction machine, equivalent circuit and analysis of steady state operation – free acceleration characteristics – voltage and torque equations in machine variables and arbitrary reference frame variables – analysis of dynamic performance for load torque variations.

UNIT 5 SYNCHRONOUS MACHINES 12 Hrs.

Three phase synchronous machine and analysis of steady state operation - voltage and torque equations in machine variables and rotor reference frame variables (Park’s equations) – analysis of dynamic performance for load torque variations

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Paul C.Krause, Oleg Wasynczuk, Scott D. Sudhoff, “Analysis of Electric Machinery and Drive Systems”, John Wiley, Third Edition, 2013.

2. P S Bimbhra, “Generalized Theory of Electrical Machines”, Khanna Publishers, 5th Edition, 2013.

3. A.Fitzgerald, Charles Kingsley and Stephan D. Umans, “ Electric Machinery”, Tata McGraw Hill, 6thEdition, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 149: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 146 REGULATIONS 2015

INDUSTRIAL MANAGEMENT IN POWER L T P Credits Total Marks

SEE5605 ELECTRONICS

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES x To impart knowledge on operation, modelling and control of industrial management in power electronics x To provides an integrated set of control, supervision and management functions for power generation, distribution

and supply in industrial plants

UNIT 1 POWER MANAGEMENT TECHNOLOGIES Introduction, Integrated Circuits Power Technology - Processing and Packaging – Diodes and Bipolar

Transistors- MOS Transistor - DMOS Transistors - CMOS Transistors - Passive Components, Discrete Power Technology -Processing and Packaging - Power MOSFET.

UNIT 2 CIRCUITS Analog Circuits –Transistors – NPN – PNP Trans conductance - Transistor as Transfer Resistor – Transistor

Equation, Elementary Circuits - Current Mirror - Current Source – Buffer - Differential Input Stage, Operational Amplifer- Inverting and Non Inverting Amplifier, Voltage Reference, Voltage Regulator, Switching Regulators, Digital Circuits -Logic Function - NAND Gate - Set Reset R Flip Flop.

UNIT 3 CONVERTERS AND DC-DC CONVERSION ARCHITECTURES 12 Hrs. Buck Converters - Switching Regulator Power Train - Output Capacitor - Electrolytic Capacitor and Transient

Response - Ceramic Capacitors - Losses in the Power Train - The Analog Modulator - Driver -Switching Regulator Control Loop, Fly back Converters, DC-DC Conversion - Valley Control Architecture - Monolithic Buck Converter - Battery Charging Techniques.

UNIT 4 AC-DC ARCHITECTURES 12 Hrs. Power Architecture - PFC Architecture - DC-DC Conversion Down To Low Voltage - Power AC Adapter – DDR Power Management Architecture.

UNIT 5 FUTURE DIRECTIONS AND SPECIAL APPLICATIONS 12 Hrs. Voltage Regulation with Power Factor Correction, Green Power (Energy Management), Motor Drivers For

Portable Electronic Applications - Camera Basics - Motor And Motor Drivers - Drive Implementation, Efficiency - DSC Power consumption.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Dr.Nazzareno Rossetti, “Managing Power Electronics: VLSI & DSP Driven Computer Systems”, A John Wiley & Sons, Inc.,

2006.

2. Muhammad H.Rashid, “Power Electronics Hand BooK”, Elsevier Inc., 2nd Edition 2007.

3. Steve Doty, Wayne C.Turner, “Energy Management Hand Book”, The Fairmont Press, 7th Edfition 2009.

4. Paul R.Gray, Paul J.Hurst, Stephen H.Lewis and Robert G.Meyer “Analysis and Design of Analog Integrated Circuits”, John Wiley & Sons, Inc., 2009.

5. Liv, Kramer, Indiver, Delbruck Douglors, “Analog VLSI: Circuits and Principles”, Massachusetts Institute of Technology, 2002.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 150: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 147 REGULATIONS 2015

MODELLING AND SIMULATION IN POWER L T P Credits Total Marks

SEE5606 ELECTRONICS SYSTEM

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES x To provide the requisite knowledge necessary to appreciate the dynamical equations involved in the analysis of

different power electronic device configurations.

x To provide knowledge on modelling and simulation of machines and power simulation circuits and systems.

UNIT 1 INTRODUCTION AND MODELLING OF POWER ELECTRONICS ELEMENT 12 Hrs. Importance of simulation – Semiconductor device modelled as resistor – RL combination – RLC combination - Analog hybrid model for thyristor – Modelling of firing circuits for thyristor.

UNIT 2: SYSTEMATIC METHOD OF FORMULATION & SOLVING STATE EQUATION 12 Hrs.

Network topology – Incidence matrix – Fundamental cutest & loop matrices – Proper tree algorithm – Algorithm for the formulation of fundamental cutest matrix – Welsh Algorithm – Computer solution of state equation – Explicit & Implicit integration method.

UNIT 3 MACHINE MODELLING 12 Hrs.. DC machine modelling – Equivalent circuit & electromagnetic torque – Electromechanical modelling – State space modelling – AC machine modelling for three phase induction motors – Squirrel cage type.

UNIT 4 PHASE CONTROLLED DC MOTOR DRIVES 12 Hrs.

Introduction to phase controlled converters – Single phase & three phase controlled converters – Control circuited– Control modelling – Steady state analysis of three phase converter controlled DC motor drive – Transfer function –Design of controllers.

UNIT 5 VECTOR CONTROLLED INDUCTION MOTOR DRIVES 12 Hrs.

Introduction to principle of vector control –Description of direct vector control-Flux and torque processor-Implementation with six step current source-Implementation of voltage source-Derivation of indirect vector control scheme-Indirect vector control scheme-Implementation of an indirect vector control scheme.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rajagoplan .V, “Computer aided analysis of power electronics systems”, Marcel Dekker Inc, USA 1987.

2. Krishnan .R, “Electric motor drives modelling analysis & control”, Prentice Hall of India Pvt Ltd, 2nd Edition 2007.

3. Van Valkenburg M.E, “Network Analysis”, 3rd Edition, Prentice Hall of India Pvt Ltd, New Delhi, 1990.

4. Simulink Reference Manual, Math Works, USA.

5. Tusim Reference Manual, Applied, USA.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 151: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 148 REGULATIONS 2015

SEE5607 INTELLIGENT CONTROL L T P Credits Total Marks

(for PEID) 4 0 0 4 100

COURSE OBJECTIVE x This subject aims to furnish ideas related to neural network, fuzzy logic, genetic algorithm and its implementation

ideas in electric drives to students to develop and learn issues related to the power converters

UNIT 1 INTRODUCTION 12 Hrs.

. Approaches to intelligent control. Architecture for intelligent control. Symbolic reasoning system, rule – based systems, the AI approach. Knowledge representation. Expert systems.

UNIT 2 ARTIFICIAL NEURAL NETWORKS 12 Hrs. Concept of Artificial Neural Networks and its basic mathematical model, McCulloch - Pitts neuron model, simple

Perceptron, Adaline and Madaline, Feed-forward Multilayer Perceptron. Learning and Training the neural network. Hopfield network, Self Organizing network and Recurrent network- Back propagation networks. Principal Component analysis and wavelet transformations related to power electronics.

UNIT 3 OPTIMIZATION TECHNIQUES 12 Hrs.. Objective function-Uni modal-Multi model Constraints-Fitness Function-Operators Genetic Algorithm, Tabu search, ant-colony search

UNIT 4 FUZZY LOGIC SYSTEM 12 Hrs..

Introduction to crisp sets and fuzzy sets, basic fuzzy set operation and approximate reasoning. Introduction to fuzzy logic modelling and control. Fuzzification, inferencing, defuzzification. Fuzzy knowledge and rule bases. Fuzzy modelling and control schemes for nonlinear systems. Self-organizing fuzzy logic control- Neuro fuzzy controllers.

UNIT 5 APPLICATION (QUANTITATIVE APPROACH ONLY) 12 Hrs..

GA application to power electronics for the harmonic reduction in inverter. Case studies: Harmonic elimination method using Neural Network – V/F Speed control of an Induction Motor with Neural network based SVM modulator for inverter. Neuro Fuzzy Based efficiency optimized control-Stability analysis of fuzzy control systems.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. S.N.Sivanandam, S.Sumathi and S.N.Deepa, “Introduction to Neural Networks using MATLAB 6.0”, Mc Graw Hill Publishing companies Limited, 3rd Edition 2008.

2. Lawrence V.Fansett, “Fundamentals of Neural Networks: Architectures, Algorithums & Applications”, Prentice Hall, 1994.

3. Simopn S.Haykin, “Neural Networks: A Comprehensive Foundation”, Macmillan,1994.

4. S.Rajasekaran, G.A.Vijayalakashmi Pai, “Neural Networks, Fuzzy Logic & Genetic Algorithams: Synthesis & Applications”, PHI, 3rdEdition 2007.

5. S.N.Sivanandam & S.N.Deepa, “Introduction to genetic Algorithms”, Spinger Publications 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 152: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 149 REGULATIONS 2015

POWER ELECTRONICS FOR RENEWABLE L T P Credits Total Marks

SEE5608 ENERGY SYSTEMS

(for PEID) 4 0 0 4 100

COURSE OBJECTIVES: x To provide the knowledge of photo voltaic system and wind energy system

x To learn the hybrid connected PV and wind energy system with diesel power plant

UNIT 1 INTRODUCTION 12 Hrs.

Overview of Indian energy scenario – Energy sources and availability – Energy crisis – Need to develop new energy technologies – Solar energy availability in India – Wind survey in India – Emerging trends in electrical energy utility – Energy and environment.

UNIT 2 POWER ELECTRONICS FOR PHOTO VOLTIC SYSTEMS 12 Hrs.

Solar cell fundamentals – Conversion of sunlight to electricity – Cell performance – Basics of photovoltaic – Types of PV power systems – Standalone PV systems – Battery charging – PV charge controllers – Maximum Power Point Tracking (MPPT) – Inverters for stand alone PV systems – Solar water pumping – Power conditioning unit for PV water pumping.

UNIT 3 HYBRID AND GRID CONNECTED PV SYSTEMS 12 Hrs.

PV Diesel hybrid systems – Control of PV – Diesel hybrid system – Grid connected PV systems – Inverters for grid connected applications – Inverter – Inverter types – Power control through PV inverters – System configuration – Grid inverter characteristics.

UNIT 4 POWER ELECTRONICS FOR WIND POWER SYSTEM 12 Hrs. Basics of wind power – Types of wind turbines – Types of wind generators – Types of wind power systems – Stand alone wind diesel hybrid systems – Grid connected wind energy systems.

UNIT 5 SYSTEM MANAGEMENT OF WIND ENERGY CONVERTER 12 Hrs. Prototype development – Control circuitry – Microcontroller – Complex programmable logic device – Gate driver circuitry for wind energy applications.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Rashid. M. H, “Power Electronics Handbook”, Academic press, 2001.

2. Erickson. R., Angkrtitrakul. S, Al – Nasean. O and Lujan. G, “Novel power electronics systems for wind energy applications” – Final report, National Renewable Energy Laboratory, Colorado, US. – Aug 24, 1999 – Nov 30, 2002.

3. Rai. G. D, “Non conventional energy sources”, Khanna publishers, 4th Edition 2000.

4. B.H.Khan, “Non Conventional Energy Resources”, Tata Mc GrawHill, 2nd Edition 2009.

5. J.K.Manwell, J.G.McGowan, A.L.Rogers, “Wind energy explained – Theory Design and applications”, John Wiley & Sons, 2nd Edition 2009.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 153: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

M.E. / M. Tech REGULAR 150 REGULATIONS 2015

L T P Credits Total Marks SEE5609 POWER CONVERSION TECHNIQUE

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES

x To understand the concepts, basic operation, steady-state operation of power electronic devices. x To study the various PWM techniques .

x To analyse the various converters and to apply power electronics to energy systems.

UNIT 1 POWER ELECTRONICS DEVICES IN POWER SYSTEMS 12 Hrs.

Characteristics of Ideal switches, Real switches, Practical Power Switching Devices - Construction, principle of operation and characteristic of SCR, MOSFET, GTO, IGBT - Thermal Design of Power Switching Devices - Intelligent Power Modules

UNIT 2 PWM TECHNIQUES 12 HRS.

Single Pulse Width modulation – Multiple Pulse Width modulation – Sinusoidal Pulse Width modulation – Modified Sinusoidal Pulse Width modulation – Phase-Displacement control – Third harmonic Pulse Width modulation – 600 Pulse Width modulation – Space vector modulation.

UNIT 3 VOLTAGE SOURCE CONVERTER (VSC) 12 Hrs. Single phase converter - Two level VSC – three level VSC – five level VSC – chain circuit multi level VSC - Three phase converter – reduction of harmonic distortion.

UNIT 4 POWER FACTOR CORRECTION (PFC) CIRCUIT 12 Hrs.

Energy balance in PFC circuits – Passive PFC – Active PFC – system configuration of PFC power supply. Voltage Controllers – Three phase full wave controller – three phase Bi-directional delta-connected controller – matrix converter.

UNIT 5 POWER ELECTRONICS IN CLEAN ENERGY 12 Hrs

. Clean energy systems - solar energy systems – wind energy systems – fuel cell energy systems – power electronics and energy conversation.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Muhammad H. Rashid , Power Electronics: Circuits, Devices and Applications, Third edition, Pearson education, 2004

2. V. Ramanarayanan, “Course Material on Switched Mode Power Conversion”, Department of Electrical Engineering, Indian Institute of Science, Bangalore

3. Muhammad Rashid, “Power Electronics Handbook: Devices, Circuits, and Applications,”Second Edition ,Academic Press

4. Yong Hua Song and Allan T Johns, “Flexible ac transmission systems(FACTS), IEE Power and Energy Series 30”, London, UK, 1999. ISBN 0 85296 7713.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 154: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 151 REGULATIONS 2015

INDUSTRIAL POWER SYSTEM ANALYSIS L T P Credits Total Marks

SEE5610 AND DESIGN

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To study the power factor correction and harmonic analysis of power system.

x To understand the performance of ground grid.

UNIT 1 MOTOR STARTING STUDIES 12 Hrs.. Introduction-Evaluation Criteria-Starting Methods-System Data-Voltage Drop Calculations-Calculation of Acceleration time-Motor Starting with Limited-Capacity Generators-Computer-Aided Analysis-Conclusions.

UNIT 2 POWER FACTOR CORRECTION STUDIES 12 Hrs.. Introduction-System Description and Modelling-Acceptance Criteria-Frequency Scan Analysis-Voltage

Magnification Analysis-Sustained Over voltages-Switching Surge Analysis-Back-to-Back Switching-Summary and Conclusions.

UNIT 3 HARMONIC ANALYSIS 12 Hrs.. Harmonic Sources-System Response to Harmonics-System Model for Computer-Aided Analysis-Acceptance Criteria-Harmonic Filters-Harmonic Evaluation-Case Study-Summary and Conclusions.

UNIT 4 FLICKER ANALYSIS 12 Hrs.. Sources of Flicker-Flicker Analysis-Flicker Criteria-Data for Flicker analysis- Case Study-Arc Furnace Load-Minimizing the Flicker Effects-Summary.

UNIT 5 GROUND GRID ANALYSIS 12 Hrs.. Introduction-Acceptance Criteria-Ground Grid Calculations-Computer-Aided Analysis - Improving the Performance of the Grounding Grids-Conclusions.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Ramasamy Natarajan, ”Computer-Aided Power System Analysis”, Marcel Dekker Inc., 2002.

2. George L.Kusic, “Computer-Aided Power System Analysis”, Taylor & Francis, 2008 Second Edition

3. Prabha Kundur, Power System stability and control- Tata MC GrawHill Edition,1994

4. M.A.Pai, “ Computer techniques in power system Analysis”, Tata McGraw-Hill, 1979

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100

PART A : 6 Questions of 5 Marks each – No choice PART B : 2 questions from each unit of internal choice, each carrying 10 marks

Exam Duration : 3 Hrs. 20 Marks 50 Marks

Page 155: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 152 REGULATIONS 2015

SEE5611 RESTRUCTURED POWER SYSTEM

L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To understand forth coming trend in power system x To understand deregulated power system environment x To understand pricing, trading and congestion

UNIT 1 INTRODUCTION TO POWER SYSTEM RESTRUCTURING 12 Hrs. An overview of the restructured power system, difference between integrated power system and restructured

power system, transmission open access, wheeling, Power systems operation – Genco’s, Transco’s, Disco’s, customers - Restructuring Models: Pool Co Model, Bilateral Contracts Model, Hybrid Model, Multilateral trade model - Power Exchange (PX): Market Clearing Price(MCP) - Market operations: Day-ahead and Hour-Ahead Markets, Elastic and Inelastic Markets UNIT 2 ISO AND ELECTRIC UTILITY MARKET 12 Hrs.

Independent System Operator (ISO): The Role of ISO - Ercot ISO - New England ISO - Midwest ISO: MISO’s Functions, Transmission Management, Transmission System Security, Congestion Management, Ancillary Services Coordination, Maintenance Schedule Coordination. California Markets: Generation, Power Exchange, Scheduling Co-ordinator, UDCs, Retailers and Customers - New York Market: Market operations - market clearing and pricing,, Bilateral trading, Ancillary services.

UNIT 3 OASIS: OPEN ACCESS SAME-TIME INFORMATION SYSTEM 12 Hrs. Introduction - Structure of OASIS: Functionality and Architecture of OASIS - Implementation of OASIS Phases:

Phase 1, Phase 1-A, Phase 2 - Posting of information: Types of information available on OASIS, Information requirement of OASIS, Users of OASIS.

UNIT 4 ELECTRIC ENERGY PRICING & TRADING 12 Hrs. Pricing of Electricity, nodal pricing, zonal pricing, embedded cost, postage stamp method, contract path

method, boundary flow method, MW-mile method, MVA-mile method, comparison of different methods - Essence of Electric Energy Trading - Energy Trading Framework: The Qualifying factors - Derivative Instruments of Energy Trading: Forward Contracts, Futures Contracts, Options, Swaps. UNIT 5 CONGESTION MANAGEMENT 12 Hrs.

Total Transfer Capability – Limitations – Margins – Available transfer capability (ATC) – Procedure – methods to compute ATC – Static and Dynamic ATC – Bid, Zonal and Node Congestion Principles – Inter and Intra zonal congestion – Generation Rescheduling – Transmission congestion contracts. Max. No. of Hrs: 60

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Mohammad Shahidehpour, et,al., “Restructured Electrical Power Systems – Operation, Trading and Volatility”, Marcel Dekker

Inc, 2001.

2. Loi Lei Lai, “ Power System Restructuring and Deregulation – Trading, performance& information technology”, John Wiley sons, 2001.

3. Kankar Bhattarcharya, et,al., “Operation of restructured power systems”, Springer US, 2001.

4. S. A. Khaparde and A. R. Abhyankar, “Restructured Power Systems”, Alpha Science International, Limited, 2006, 5. S. C. Srivastava and S. N. Singh, “Operation and Management of Power system in Electricity Market”, Narosa Publishing

House, New Delhi, India, 2008.

6. M. Shahidehpour and M. Alomoush, “Restructuring Electrical Power Systems”, Marcel Decker Inc.,

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 156: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

M.E. / M. Tech REGULAR 153 REGULATIONS 2015

L T P Credits Total Marks

SEE561 2 POWER SYSTEM INSTRUMENTATION

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x The course is designed to familiarize the student with the functions and instrumentation available in a modern power

generation plant.and provides a technical overview of different methods of power generation and hence bring out the various measurements involved in power generation plants.

x A broad spectrum of knowledge about the different types of devices and control techniques used for analysis is studied in detail.

UNIT 1 INTRODUCTION 12 Hrs. Definition of SCADA - Operation and Control using SCADA - Data acquisition systems for Power System

applications - Data Transmission and Telemetry- Measurement and error analysis. Object and philosophy of power system instrumentation to measure large currents, high voltages, Torque and Speed - Standard specifications.

UNIT 2 PROGRAMMABLE LOGIC CONTROLLERS 12 Hrs. Structure of PLC - Control program – Programming: Simple Relay Layouts and Schematics - PLC Connections

- Ladder Logic Inputs - Ladder Logic Outputs – Tutorial problems - Case studies - PLC equipment - computer control of power system - Man Machine Interface.

UNIT 3 POWER PLANT INSTRUMENTATION 12 Hrs.

Piping and Instrumentation diagram of thermal and nuclear power plants - Fuel measurement – gas analysis meters - smoke measurement - Monitoring systems – measurement and control of furnace draft – measurement and control of combustion – Turbine monitoring and control: speed, vibration, shell temperature monitoring – radiation detection instruments – process sensors for nuclear power plants – spectrum analyzers – nuclear reactor control systems and allied instrumentation.

UNIT 4 DISTRIBUTION AUTOMATION 12 Hrs.

Definitions – automation switching control – management information systems (MIS) – remote terminal units – communication method for data transfer – consumer information service (CIS) – graphical information systems (GIS) - automatic meter reading (AMR) – Remote control load management.

UNIT 5 ENERGY MANGEMENT TECHNIQUES AND INSTRUMENTS 12 Hrs. Demand side management (DSM)– DSM planning – DSM Techniques – Load management as a DSM satergy

– energy conservation – tarrif options for DSM - Energy audit – instruments for energy audit – Energy audit for generation, distribution and utilization systems – economic analysis.

Max. 60 Hours TEXT / REFERENCE BOOKS

1. Liptak B.G, Instrumentation in the Process Industries, Chilton Book Co., 1973.

2. Sherry A., Modern Power Station Practice, Vol.6 ( Instrumentation, controls and Testing ), Pergamon Press,1971.

3. Pabla. A.S “Electric power distribution “- Tata McGraw Hill; Sixth Edition ,New Delhi 2004

4. Mahalanabis A.K.;Kothari D.P and Ahson S I “ Computer aided Power System analysis and control” - Tata McGraw Hill; New Delhi 1988.

5. Murphy. W.R and McKay G “Energy Management” Butterworths Publications National Book League, 1981

6. Wayne C Turner “Energy Management Hand Book” Sixth Edition, The Fairmont Press Inc.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 157: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 154 REGULATIONS 2015

SEE561 3 POWER SYSTEM DYNAMICS L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To anlyse small signal stability and trasient stability x To study subsynchronous oscillation x To study the concept of voltage stability.

UNIT 1 SMALL SIGNAL STABILITY ANALYSIS WITHOUT CONTROLLERS 12 Hrs. Classification of stability – Basic concepts and definitions –Rotor angle stability, The Stability Phenomena.

Fundamental Concepts of Stability of Dynamic Systems - Statespace representation, stability of dynamic system, Linearisation, Eigen properties of the state matrix: Eigen values and eigenvectors, modal matrices, eigen value and stability, mode shape and participation factor. Single-Machine Infinite Bus (SMIB) Configuration- Classical Machine Model stability analysis with numerical example , Effects of field circuit dynamics ,Effect of field flux variation on system stability ,Analysis with numeric examples

UNIT 2 SMALL SIGNAL STABILITY ENCHANCEMENT WITH CONTROLLERS 12 Hrs.

Effect of excitation system – Thyristor excitation system with Automatic Voltage Regulator(AVR) , Power System Stabilizer(PSS) – Effect of AVR on synchronizing and damping components –principle behind small signal stability improvement methods - Delta-omega and Delta P, Omega stabilizers.

UNIT 3 TRANSIENT STABILTY ANALYSIS 12 Hrs. Factors influencing Transient stability – numerical integration method – Euler and RK method – Simulation of

power system dynamic response-structure of power systemmodel – Synchronous machine representation – transmission network and loadrepresentation – overall system equation and their solution – simplified transient stability simulation using simultaneous implicit method - principle behind transient stability enhancement method - Regulated shunt compensation, Dynamic braking , Reactive switching, high speed excitation system.

UNIT 4 SUBSYNCHRONOUS OSCILLATION (SSR) 12 Hrs. Turbine-Generator torsional Characteristics – torsional interaction with power system Control-generator

excitation control, speed governors, dc converters- sub-synchronous resonance – counter measures to SSR problem – impact of network switching disturbances- torsional interaction between closely coupled units – hydro generator – torsional characteristics

UNIT 5 VOLTAGE STABILITY 12 Hrs.

Basic concepts related to Voltage stability – Voltage collapse – classification of voltage stability – voltage stability analysis – prevention of voltage collapse – system design measures – system operating measures

Max. 60 Hours

TEXT / REFERENCE BOOKS 1. Prabha Kundur, Power System stability and control- Tata MC GrawHill Edition,1994 2. Ramanujam.R, “ Power System Dynamics Analysis and Simulation”, Prentice-Hall Of India Pvt. Limited, 2009. 3. Peter. W. Sauer & M.A Pai, Power System Dynamics and Stability, Prentice Hall, 1998. 4. Padiyar,K,R., Power System Dynamics, Stability and Control, Anshan, 2004, 2nd edition, . 5. Cutsem.T.V and Vournas.C, “Voltage Stability of Electric Power Systems”, Kluwer publishers,1998 6. Anderson P.M and Fouad, “Power System Control and Stability”, Second Edition ,Wiley India Pvt Limited.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 158: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 155 REGULATIONS 2015

SEE5614 POWER SYSTEM RELIABILITY

L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSEOBJECTIVE x To understand the concept of reliability in generation system, transmission system, bulk power system and

interconnected system

UNIT 1 CONCEPTS OF RELIABILITY 12 Hrs.

Definition of Reliability and Risk – Reliability Model – The Poisson Probability Distribution – Reliability of Equal Time steps – Mean Time to Failures - Reliability of Complex Systems – series and parallel Systems - markov processes - continuous markov processes - recursive techniques.

UNIT 2 GENERATION SYSTEM RELIABILITY ANALYSIS 12 Hrs. Probabilistic generation and load models – determination of LOLP and expected value of demand not served – determination of reliability of isolated and interconnected generation systems.

UNIT 3 TRANSMISSION SYSTEM RELIABILITY ANALYSIS 12 Hrs. Deterministic contingency analysis- probabilistic load flow – fuzzy load flow – probabilistic transmission system reliability analysis – determination of reliability indices like LOLP and expected value of demand not served.

UNIT 4 BULK POWER SYSTEM RELIABILITY 12 Hrs Service quality criterion - conditional probability approach - single system application - two plant, single load system - two plant, two load system - networked system approach.

UNIT 5 INTERCONNECTED SYSTEM GENERATING CAPACITY RELIABILITY 12 Hrs.Probability array for two systems - loss of load approach - load forecast uncertainty - interconnection benefits Max. 60

Hours

TEXT / REFERENCE BOOKS

1. Ali Chowdhury and Don Koval, “Power Distribution System Reliability: Practical Methods and Applications” John wiley, 2009.

2. Roy Billington Power System Reliability Evaluation Gordon and Breach Science Publishers, New York,1970.

3. Balbi Dhillon .S Power System Reliability, Safety and Management Ann Arbor Science, 1984.

4. Gerald H. Sandler System Reliability Engineering Prentice Hall Space Technology Series.

5. Roy Billington and Ronald Allan.N Reliability Evaluation of Engineering Systems, Concepts and Techniques Springer; 2nd ed. 1992 edition.

6. Endrenyi .J Reliability Modelling in Electric Power Systems John Wiley.

7. Turan Gonen Electric Power Distribution System Engineering McGraw Hill.1986

8. Mohammad Shahidehpour, Yaoyu Wang, Communication and Control in Electric Power Systems: Applications of parallel and distributed processing, A John Wiley & Sons, Inc., Publication, 2003

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 159: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 156 REGULATIONS 2015

SEE561 5 POWER QUALITY

L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To understand the linear and non linear load

x To study various measurement and analysis of voltage and current.

UNIT 1 INTRODUCTION 12 Hrs. Introduction – Characterisation of Electric Power Quality: Transients, short duration and long duration voltage

variations, Voltage imbalance, waveform distortion, Voltage fluctuations, Power frequency variation, Power acceptability curves – power quality problems: poor load power factor, Non linear and unbalanced loads, DC offset in loads, Notching in load voltage, Disturbance in supply voltage – Power quality standards.

UNIT 2 NON -LINEAR LOADS 12 Hrs. Single phase static and rotating AC/DC converters, Three phase static AC/DC converters, Battery chargers, Arc furnaces, Fluorescent lighting, pulse modulated devices, Adjustable speed drives.

UNIT 3 MEASUREMENT AND ANALYSIS METHODS 12 Hrs.

Voltage, Current, Power and Energy measurements, power factor measurements and definitions, event recorders, Measurement Error – Analysis: Analysis in the periodic steady state, Time domain methods, Frequency domain methods: Laplace~s, Fourier and Hartley transform – The Walsh Transform – Wavelet Transform.

UNIT 4 ANALYSIS AND CONVENTIONAL MITIGATION METHODS 12 Hrs..

Analysis of power outages, Analysis of unbalance: Symmetrical components of phasor quantities, Instantaneous symmetrical components, Instantaneous real and reactive powers, Analysis of distortion: On–line extraction of fundamental sequence components from measured samples – Harmonic indices – Analysis of voltage sag: Detorit Edison sag score, Voltage sag energy, Voltage Sag Lost Energy Index (VSLEI)- Analysis of voltage flicker, Reduced duration and customer impact of outages, Classical load balancing problem: Open loop balancing, Closed loop balancing, current balancing, Harmonic reduction, Voltage sag reduction.

UNIT 5 POWER QUALITY IMPROVEMENT 12 Hrs. Utility-Customer interface –Harmonic filters: passive, Active and hybrid filters –Custom power devices: DSTATCOM, DVR, UPQC –Status of application of custom power devices

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Arindam Ghosh “Power Quality Enhancement Using Custom Power Devices”, Kluwer Academic Publishers, 2002.

2. Arrillaga J., Smith,B,C.,Vatsan,N,R and Wood,A,R., “Power System Harmonic Analysis,” John Wiley, 1997.

3. Heydt.G.T, “Electric Power Quality”, Stars in a Circle Publications, 1994(2nd edition)

4. Dugan, Roger C., “ Electrical Power System Quality”,TMH,2012(3rd edition).

5. Arrillaga, J.,“ Power System Quality Assessment” , John Wiley, 2000.

6. Derek A. Paice, “Power electronic converter harmonics”,John Wiley & sons, 1999.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 160: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 157 REGULATIONS 2015

SEE561 6 POWER SYSTEM AUTOMATION L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To understand electric power system distribution issues

x Implementation of automation system into electric distribution system x To understand smart metering and control

UNIT 1 DISTRIBUTION AUTOMATION 12 Hrs.

Problems with existing Distribution system – Need for Distribution Automation – Characteristics of Distribution system – Functions of Distribution Automation – Distribution Automation for improved Energy management - Communication requirements for Distribution Automation – Remote Terminal unit.

UNIT 2 SUBSTATION AUTOMATION 12 Hrs.

Definition of Substation Automation – Driving Substation Automation – Functions of Substation Automation systems – State and trends of substation Automation – Intelligent substation monitoring and control – Advantages of an Enterprise Energy management substation automation solution.

UNIT 3 SCADA SYSTEMS 12 Hrs.

Introduction – Block Diagram – Components of SCADA – Functions of SCADA – SCADA applied to Distribution Automation – Advantages – Requirements and feasibility – Distribution Automation Integration mechanisms – Communication protocols in SCADA systems.

UNIT 4 FEEDER AUTOMATION 12 Hrs.

Losses in distribution systems - System Losses and Loss reduction – Network Reconfiguration – Improvement in voltage profile- Capacitor placement for Distribution system for Reactive power compensation – Proposed Algorithm for location of capacitor.

UNIT 5 REMOTE METERING 12 Hrs. Automatic Meter Reading (AMR)system – Components of AMR system – Communication methods for Meter Reading- Services and functions –Financial Analysis – Planning for AMR implementation.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Dr. M.K. Khedkar, Dr. G.M. Dhole, “A Textbook of Electric Power Distribution Automation”, Laxmi Publications, Ltd..First Edition,201 0.

2. James Northcote-Green, R. B. Wilson, “Control and Automation of Electric Power Distribution Systems” CRC press - Taylor and Francis group ,2nd edition,2012.

3. Cobus Strauss, “Practical Electrical Network Automation and Communication Systems” , Newnes – an imprint os Elsevier,2003.

4. Stuart A. Boyer, “SCADA: Supervisory Control and Data Acquisition”, 3rd Edition, ISA- Instrument Society of America Automation Society.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 161: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 158 REGULATIONS 2015

SEE5617 POWER SYSTEM PROTECTION L T P Credits Total Marks

(for P SE ) 4 0 0 4 100

COURSE OBJECTIVES: x To provide a insight about the various protective schemes employed in protecting the power systems. x To study about various protective components and their applications to power systems.

UNIT 1 PROTECTIVE RELAYS 12 Hrs.

Introduction - review of basic protection – characteristic function of protective relays – basic relay elements and relay terminology – static relay – basic construction – advantages – non critical switching circuits – safety devices – digital filtering in protection relays

UNIT 2 GENERATOR AND TRANSFORMER PROTECTION 12 Hrs.

Protective relays – protection of generators – stator phase fault protection – transformer protection – magnetizing inrush current – factors affecting differential protection - application and connection of transformer differential relays – transformer over current protection – earth fault and phase fault protection

UNIT 3 BUS BAR PROTECTION 12 Hrs.

Bus protection – typical bus arrangements – bus combination – differential protection of bus bars – external and internal fault – actual behaviours of a protective ct – classification of lines and feeders – techniques applicable for line protection – distance protection for phase faults – fault resistance and relaying – long line protection

UNIT 4 PROTECTION OF REACTORS, BOOSTERS & CAPACITORS 12 Hrs. Placement of reactors in power system – types of reactor – reactor rating application and protection – booster in

the power system – transformer tap changing – protection of boosters – capacitors in an interconnected power system – series – shunt – series shunt connections – protection of capacitors

UNIT 5 NUMERICAL PROTECTION 12 Hrs.

Introduction – block diagram of numerical relay - sampling theorem- correlation with a reference wave – least error squared (les) technique - digital filtering-numerical over - current protection – numerical transformer differential protection-numerical distance protection of transmission line.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Paithankar.Y.G and Bhide.S.R, “Fundamentals of Power System Protection”, Prentice-Hall of India, 2nd edition,2003

2. Madhava Rao.T.S, “Power System Protection :Static Relay with Microprocessor Applications”, Tata Mcgraw Hill,2nd edition,2001.

3. Lewis Blackburn, J,Thomas.J.Domin, “Protective Relaying – Principles and Applications “,CRC Press; 3rd edition,2006.

4. Prabha Kundur, “Power System Stability and Control”, Mcgraw-Hill, 1st edition,2006.

5. Badri Ram and Vishwakarma.D.N, “Power System Protection and Switchgear”, Tata Mcgraw- Hill , 2nd edition 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 162: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 159 REGULATIONS 2015

SEE561 8 POWER SYSTEM ECONOMICS L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVE x The course is designed to familiarize the student with the fundamental of power system economics and provides a

technical overview of transmission networks and electricity markets..

UNIT 1 FUNDAMENTAL OF COMPETITION AND ECONOMICS 12 Hrs.

Models of competition – fundamentals of markets – modelling – consumers - producers – market equilibrium – pareto efficiency – global welfare and deadweight loss – concepts from the theory of the firm – types of markets: Spot market – forward market – future market – market with imperfect competition

UNIT 2 MARKETS FOR ELECTRICAL ENERGY 12 Hrs.

Need for a managed spot market – open electrical energy markets: bilateral trading – electricity pools – managed spot market: balancing resources – gate closure – interaction between the managed spot market and other markets – settlement process

UNIT 3 PARTICIPATING IN MARKETS, SYSTEM SECURITY 12 Hrs. Consumer’s perspective – producer’s perspective – perspective of plants with very low marginal costs – hybrid participants perspective – need for system security – Ancillary services: obtaining – buying – selling.

UNIT 4 TRANSMISSION NETWORKS AND ELECTRICITY MARKETS 12 Hrs.

Decentralized trading over a transmission network – centralized trading over a transmission network: two bus system – three bus system – mathematical formulation of nodal pricing – managing transmission risks in a centralized trading system

UNIT 5 INVESTING IN GENERATION AND TRANSMISSION 12 Hrs.

Generation capacity from an investor’s perspective – customers’ perspective – nature of transmission business – cost based transmission expansion – Value-based transmission expansion: value of transmission – transmission demand and supply function – optimal transmission capacity – effect of economies of scale.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Daniel S. Kirschen, Goran Strbac, “Fundamentals of Power System Economics”, John wiley & sons Ltd, 2004

2. Steven Stoft, “Power System Economics: Designing Markets for Electricity”, Wiley-Academy, 2001.

3. Elgerd O.I, “Electric energy systems theory-An Introduction”, Tata McGraw Hill, 1982.

4. Murthy P.S.R, “Power system operation and control”, Tata McGraw Hill,1987.

5. Allen J. Wood, Bruce F. Wollenberg, Gerald B. Sheble, “Power Generation, Operation and Control”, John Wiley and Sons, 3 rd

edition,2013.

6. Kirchmayer.L,”Economic operation of power systems”, John Wiley and Sons, New York, 1958.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 163: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 160 REGULATIONS 2015

SEE561 9 POWER LINE COMMUNICATION L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To acquire knowledge in various Digital transmission techniques and channelization x To have an clear understanding in protocols and Standards for PLC system. x To have an exposure in PLC implementation

UNIT 1 CHANNEL CHARACTERIZATION 12 Hrs. Introduction-Channel Modelling Fundamentals-Models for outdoor channels: LV Case-Models for Indoor Channels- Measuring Techniques-PLC Channel Emulation Tools

UNIT 2 DIGITAL TRANSMISSION TECHNIQUES 12 Hrs. Introduction-Modulation and Coding for Narrowband PLC systems-Modulation and Coding for Broadband PLC systems

UNIT 3 PROTOCOLS FOR PLC SYSTEM 12 Hrs. Introduction-Broadband PLC Media Access Control Layer-Protocols for PLC supporting Energy Management Systems-Internet Protocol Television Over PLC

UNIT 4 INDUSTRIAL AND INTERNATIONAL STANDARDS ON PLC-BASED NETWORKING

TECHNOLOGIES 12Hrs. Introduction- PLC Standardization by Industrial Alliances-International Standards on PLC-networking Technology- ETSI and CENELEC Standards

UNIT 5 SYSTEMS AND IMPLEMENTATIONS 12 Hrs. Introduction- PLC Smart Grid Systems-PLC Broadband Access Systems-Multimedia PLC Systems-DC-PLC Systems

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Hendrik C. Ferreira, Lutz Lampe, John Newbury, Theo G. Swart, “Power Line Communications: Theory and Applications for Narrowband and Broadband Communications over power lines”, wiley publication, 2011

2. Justinian Anatory, Nelson Theethayi , “Broadband Power Line-Communication Systems: Theory & Applications”, wit press, 2010

3. Klaus Dostert, “ Power line Communications”, Prentice Hall, ,2nd Edition,2001.

4. Karim shah, Zeshan sikandar Niazi,M.Abdul Haq, “ Home Automation using Power line communication”, LAP Lambert Academic Publishing , 2011.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 164: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 161 REGULATIONS 2015

SEE5620 POWER DISTRIBUTION SYSTEM L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVE x To cover all aspects of distribution engineering from basic system planning and concepts through distribution system

protection and reliability

UNIT 1 GENERAL ASPECTS 12 Hrs.

Classification of distribution systems – requirements of distribution systems – over head versus underground systems – types DC distributors and loading - Industrial and commercial distribution systems – Energy losses in distribution system - Factors in Power system loading, Future distribution systems - comparison of O/H lines and underground cable system – single phase and three phase unbalanced network model – power flow, short circuit and loss calculations.

UNIT 2 DISTRIBUTION SYSTEM PLANNING 12 Hrs. Distribution system expansion planning – load characteristics – load forecasting – design concepts – optimal location of substation – design of radial lines – solution technique.

UNIT 3 VOLTAGE CONTROL OF DISTRIBUTION SYSTEM 12 Hrs. Voltage control – Application of shunt capacitance for loss reduction – Harmonics in the system – static VAR systems – Optimization for loss reduction and voltage improvement.

UNIT 4 DISTRIBUTION SYSTEM PROTECTION 12 Hrs. System protection – requirement – fuses and section analyzers over current. Under voltage and under frequency protection – coordination of protective device

UNIT 5 METERING, BILLING AND COLLECTION 12 Hrs. Metering – types of meters – advance meter infrastructure (AMI) systems – meter selection – meter installation – metering system errors – testing methods – billing – collection.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Sullivan.R.L.” Power System Planning, Tata McGraw Hill,1977.

2. Pabla. A.S., “Electric power distribution systems”, Tata McGraw Hill,5th edition,2000.

3. Turen Gonen,“Electric Power Distribution System Engineering(Mcgraw Hill Series in Electrical and Computer Engineering)”,Mcgraw Hill International, 1986.

4. Dale R. Patrick, Stephen W. Fardo, “Electrical Distribution Systems”, Second Edition,The Fairmont Press, Inc.,2009.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 165: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 162 REGULATIONS 2015

SEE5621 MODERN CONTROL SYSTEMS L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To gain knowledge in designing of State Space Analysis for SISO and MIMO systems x

To have an clear understanding optimal control and its solution methods. x To have an exposure in stability analysis of Nonlinear Systems.

UNIT1 1STATE SPACE ANALYSIS 12 Hrs.

Definitions concerning state space analysis approach – State model of a single input single output system (SISO)- State model of a multiple input multiple output system (MIMO)- State transition matrix and its properties-Solution of Linear Time invariant continuous time state equations – Solution of Linear Time varying Continuous time state equations.

UNIT 2 ANALYSIS OF STATE SPACE MODEL 12 Hrs.

Similarity Transformation- General Concepts of Controllability and Observability – Controllability and Observability tests for continuous time systems - Time Invariant and Time Varying systems - Controllability and observability canonical forms of state model – Canonical decomposition theorem

UNIT 3 DESIGN OF STATE SPACE MODEL 12 Hrs. Pole Placement by state feedback – single input systems – multi input systems – full order observers – Reduced order observers – Dead beat control by state feedback – Deadbeat observers.

UNIT 4 OPTIMAL CONTROL 12 Hrs. Introduction – Performance index – optimal control problems – minimization of performance index – principle of optimality – Solutions to optimal Control problems.

UNIT 5 STABILITY ANALYSIS OF NON- LINEAR SYSTEMS 12 Hrs.

Basic concepts of non linear systems - Autonomous system and Equilibrium state – Stability Definitions – Quadratic form of a scalar function - Definiteness of a matrix by Sylvestors theorem – Lyapunov’s Stability criterion – Lyapunov Direct method for Linear Time invariant system – Constructing Lyapunov’s function for nonlinear system by Krasovkii’s method – Popov’s criterion for stability of nonlinear systems.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. D. Roy Choudhury, “Modern Control Systems” prentice hall of India private limited, 2005.

2. M.Gopal, “Modern Control System Theory” ,John Wiley & Sons Inc; 2n d edition,1993.

3. S. M. Tripathi, “Modern Control Systems; An Introduction”, Laxmi Publications,2008.

4. Ogata Katsuhiko, “ Modern Control Engineering, “Fifth Edition ,Prentice Hall, 4th 2001.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 166: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 163 REGULATIONS 2015

SEE5622 EHV AC AND DC TRANSMISSION L T P Credits Total Marks

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To understand bulk power transmission system x To have an exposure in EHV testing

UNIT 1 INTRODUCTION 12 Hrs.

Introduction to EHV AC and DC transmission - comparison between HVAC and HVDC- overhead and underground transmission schemes - Factors concerning choice of HVAC and HVDC transmission - Role of EHVAC transmission - problems involved in EHVAC transmission.

UNIT 2 EHV AC TRANSMISSION 12 Hrs.

EHV AC Transmission - Properties of bundled conductors- Surface voltage gradient on single, double and multi conductor bundles - Effects of corona-power loss-charge voltage diagram with corona-attenuation of travelling waves due to corona loss-noise generation and their characteristics-corona pulses, their generation and properties (qualitative study only)- Problems of EHV AC transmission at power frequency- High phase order transmission - Comparison of power handling capacity

UNIT 3 HVDC TRANSMISSION 12 Hrs.

HVDC Transmission - Review of rectification and inversion process - Constant current and constant extinction angle modes of operation - Analysis of DC transmission systems - Harmonics on AC and DC sides and filters for - Multi terminal D.C. transmission systems - Parallel operation of A.C. and D.C. transmission - Voltage stability in AC/DC systems - Modern developments in HVDC transmission.

UNIT 4 EHV CABLE TRANSMISSION 12 Hrs.

Introduction – Electrical characteristics of EHV Cables – Properties of cable insulation materials – breakdown and withstand Electrical stresses in solid insulation statistical procedure- EHV insulators - their characteristics and pollution performance.- Design basis of cable insulation-Tests on cable characteristics- Surge performance of cable systems – Gas insulated EHV lines.

UNIT 5 EHV TESTING 12 Hrs. Objectives of multi objective optimization – concepts of Pareto optimality – Solution to Pareto multi objective optimization: weighted aggregation – Goal programming.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Begamudre R.D , “Extra High Voltage AC Transmission Engineering”, Wiley Eastern Ltd., 4th edition,1997.

2. Padiyar K.R. , “ HVDC Power Transmission Systems - Technology and System Interaction” Wiley Eastern Limited. New Age

International (P) Ltd.,2nd edition ,201 1.

3. Adamson C and Hingorani N G ,“HVDC Power Transmission” Garro way Limited, England.

4. Kuffel and Zaengl “High Voltage Engineering Fundamentals” Newnes; 2nd edition ,2000.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 167: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 164 REGULATIONS 2015

HIGH VOLTAGE DIRECT CURRENT L T P Credits Total Marks

SEE5623 TRANSMISSION

(for PSE, PEID) 4 0 0 4 100

COURSE OBJECTIVES x To impart knowledge on operation, modelling and control of HVDC link.

UNIT 1 DC POWER TRANSMISSION TECHNOLOGY 12 Hrs. Introduction - Comparison of AC and DC transmission - Application of DC transmission – Description of DC transmission system - Planning for HVDC transmission - Modern trends in DC transmission.

UNIT 2 ANALYSIS OF HVDC CONVERTERS 12 Hrs. Pulse number, choice of converter configuration - Simplified analysis of Graetz Circuit - Converter bridge characteristics – Characteristics of a twelve pulse converter - Detailed analysis of converters.

UNIT 3 CONVERTER AND HVDC SYSTEM CONTROL 12 Hrs.

General principles of DC link control - Converter control characteristics - System control hierarchy - Firing angle control - Current and extinction angle control - Starting and stopping of DC link - Power control - Higher level controllers - Telecommunication requirements.

UNIT 4 MULTITERMINAL DC SYSTEM 12 Hrs. Multiterminal DC systems: Introduction – Potential application of MTDC systems – Types of MTDC systems – Control and protection of MTDC systems - Operation of HVDC breaker.

UNIT 5 HARMONICS AND CONVERTER COMPONENT MODEL 12 Hrs.

Introduction - Generation of harmonics - Design of AC filters - DC filters - Carrier frequency and RI noise. Converter model - Continuous time model - Discrete time converter model - Detailed model of the converter.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Padiyar, K.R., “HVDC Power Transmission System”, New Age International (P) Ltd. 1s t edition, 1990.

2. Edward Wilson Kimbark, “Direct Current Transmission”, Vol. I, Wiley Interscience, New York, London, Sydney, 1971.

3. Rakosh Das Begamudre, “Extra high voltage AC transmission Engineering”, New Age International (P) Ltd., New Delhi,3rd edition, 2006

4. Arrillaga, Jos., “High Voltage Direct Current Transmission”, IEE Power and Energy series,1998.

5. VIijay K. Sood, “HVDC & FACTS Controllers – Application of static converters in power system”.,Kluwer academic publishers,2004

6. Jos Arillaga, Y.H.Liu, N.R. Watson, “Flexible Power Transmission” – The HVDC option-John wiley & sons ltd, 2007.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 168: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 165 REGULATIONS 2015

INSULATION TECHNOLOGY AND HIGH L T P Credits Total Marks

SEE5624 VOLTAGE TESTING

(for PSE) 4 0 0 4 100

COURSE OBJECTIVES x To study the fundamentals of high voltage engineering

x To have an exposure in measurement and testing of high voltages x To have an exposure in gas insulated sub station.

UNIT 1 INTRODUCTION 12 Hrs. Fundamentals of high voltage engineering - voltage stresses - Testing voltages - Testing with power frequency voltages - Testing with lightning impulse voltages - Testing with switching impulse voltages

UNIT 2 MEASUREMENT OF HIGH VOLTAGES 12 Hrs.

Peak voltage measurement – Sphere gap – Rod gap – Chubb Fortescue method – Active voltage capacitors for measuring circuits, Electrostatic voltmeter, Impulse voltage measurement – generalized voltage generation and measuring circuits – voltage dividers –Dividers voltage arm.

UNIT 3 ELECTROSTATIC FIELDS AND FIELD STRESS CONTROL 12 Hrs.

Electrical field distribution and break down strength of insulating materials - simple configurations of fields - stress control by floating screens -experimental field analysis techniques - finite element numerical method - charge simulation method.

UNIT 4 HIGH VOLTAGE TESTING 12 Hrs. High voltage testing of electrical equipment - testing of overhead line insulators, cables, bushings, power capacitors - power transformers, circuit breakers - various kinds of test voltages

UNIT 5 GAS INSULATED SUB STATION 12 Hrs.

Characteristics of GIS – SF6 properties – Specifications of SF6 gas to GIS applications, Layout of GIS station – Advantages of GIS stations – comparison – Economics – user requirements –main features – general arrangements – planning and installation – components of GIS.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Kuffel. E and Zaengl. W.S “High Voltage Engineering Fundamentals” Units I & II Pergamon press, Oxford 1984 Publisher : Robert Maxwell, MC

2. Dieter Kind “An Introduction to High Voltage Experimental Techniques” Wiley Eastern Ltd., New Delhi.

3. Gallagher T.J. and Peermain.A. “High Voltage Measurement, Testing and Design” Wiley 1984.

4. Kuffel.E. and Abdullah H “High Voltage Engineering”.Oxford ; New York : Pergamon Press,1st 1970.

5. Alston.L.L “High Voltage Technology” Oxford University Press.1968,

6. Dekker, Adrianus J. “Electrical Engineering Materials” Prentice Hall of India, New Delhi.1987

7. M.S.Naidu, “ Gas Insulated Substations”, I. K. International publishing house ,2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 169: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 166 REGULATIONS 2015

ELECTRICAL TRANSIENTS IN POWER L T P Credits Total Marks

SEE5625 SYSTEM

(for PSE) 4 0 0 4 100

COURSE OBJECTIVE x To teach students the fundamentals of electrical transients and equip them with the skills to recognize and solve

transient problems in power networks and components.

UNIT 1 INTRODUCTION 12 Hrs. Classification of electromagnetic transients – Transient simulator – Digital simulation – State variable analysis - Method of difference equations –Range of Applications.

UNIT 2 ANALYSIS OF CONTINOUS AND DISCRETE SYSTEMS 12 Hrs. Introduction to continuous system –State variable formulation –Successive differentiation – Controller canonical form – Observer canonical form – Diagonal canonical form - Time domain solution to state equation.

UNIT 3 TRANSMISSION LINES AND CABLES 12 Hrs.

Bergeron’s Model – Multiconductor transmission lines - Frequency dependent transmission lines – Frequency to time domain transformation – Phase domain model – Overhead transmission line parameters – Bundled subconductors – Earth wires – Underground cable parameters.

UNIT 4 APPLICATION OF INSULATIORS TO SWITCHING SURGES 12 Hrs.

Necessary data for line insulation Design – Data Derived from Laboratory tests - Data Derived from Network analysis – Switching Surge Laboratory Tests - Influence of wave shape - Influence of contamination on switching surge flashover voltage of insulators – Applications of test results to line design.

UNIT 5 INSULATION COORDINATION 12 Hrs.

Basic requirements of insulation coordination – Reduced Insulation levels – Choice of Surge Diverters – Rated voltage – Protective level – Positioning of Diverters – Effect of system design – substation and transformer feeders - Insulation coordination as applied to a substation – Transformer Insulation level.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. C.S. Indulkar, D.P. Kothari, K. Ramalingam,” Power System Transients : A Statistical Approach” second edition,2010 ,PHI learning private limited, New Delhi.

2. Jos Arrillaga, Neville Watson, N. R Watson,” Power Systems Electromagnetic Transients Simulation”, The Institution of Engineering and Technology, London, United Kingdom.,Reprinted ,2007.

3. Pritindra Chowdhari, “Electromagnetic transients in Power System”, Research Studies Press; 2nd Edition 2008.

4. Allan Greenwood, “Electrical Transients in Power System”, Wiley & Sons Inc. New York,2nd edition,1991.

5. Klaus Ragaller, “Surges in High Voltage Networks”, Plenum Pub cor, New York, 1980.

6. Rakosh Das Begamudre, “Extra High Voltage AC Transmission Engineering”, (Second edition) New age International (P) Ltd., New Delhi, 1990.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 170: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 167 REGULATIONS 2015

ADVANCED INSTRUMENTATION SYSTEM L T P Credits Total Marks

SIC5601 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES x To use of lab view program for control design

x To describe virtual instrumentation for analog and digital measurement principle. x

To understand the concept of fiber optics and industrial application.

x To study the fundamentals of sensors and measurements and control using smart sensors.

UNIT 1 LAB VIEW 12 Hrs.

Review of Virtual Instrumentation: Historical perspective, advantages etc, block diagram and architecture of a

Virtual Instrument. Data-flow techniques: Graphical programming in data flow, comparison with conventional

programming.

UNIT 2 VIRTUAL INSTRUMENTATION 12 Hrs.

Programming techniques: VIs and sub VIs, loops and charts, arrays, structures, clusters and graphs case and

sequence structures, formula nodes, local and global Variables, string and file I/O– PC for DAQ and Instrument Control-

Instrument drives-VXI Bus.

UNIT 3 FIBER OPTIC AND LASER INSTRUMENTATION 12 Hrs.

Fiber optic sensors, Intrinstic & extrinsic type (Temperature, flow, pressure, level ) Characteristics and laser

generation, Types of lasers.

UNIT 4 INDUSTRIAL APPLICATIONS OF LASERS 12 Hrs. Laser for measurement of distance and length, velocity, acceleration – Calculation of power requirements of

laser for material processing

UNIT 5 SMART INSTRUMENTATION 12 Hrs. Introduction to Intelligent sensors – smart sensors for temperature and pressure – Smart transmitters for

measurement of differential pressure, flow and temperature- self diagnosis and remote calibration features.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Allen. H.C. An Introduction to optical fibers, McGraw Hill International Book Co., 1995.

2. Oshes.D.C and Russel callen.W, Introduction to Laser and applications, Addison Wesley, 1978.

3. Whereelt B.S. Laser Advances and applications John Willey, 1979.

4. Skoog, Holler & Nieman, Principles of Instrumental Analysis, Fifth Edition- Standers College Publisher, Harcourt Brace

College publishing, 1998.

5. Leonard Sokolof, Basic concepts of Lab VIEW4, Prentice Hall, 1998.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 171: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 168 REGULATIONS 2015

ADVANCED PROCESS CONTROL L T P Credits Total Marks

SIC5602 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES x To give an overview of predictive control and adaptive control. x To

design controllers for interacting multivariable systems x To design

model based predictive control systems.

x To study adaptive control schemes

UNIT 1 INTRODUCTION TO PROCESS CONTROL 12 Hrs.

Review of advancements in process control – Statistical process control – Introduction to Multivariable process

control – selection of controlled outputs manipulation and measurements – RGA for non-square plant – Control

configuration elements – decentralized feedback control – Trade-offs in MIMO feedback design.

UNIT 2 ROBUST CONTROL 12 Hrs.

Plants with uncertain parameter: Introduction – Crane, four-wheel car steering – Automatic car steering – A flight

control problem – notation for uncertain plants. Analysis and design: Eigen value specification – Introduction to

Robustness analysis – Introduction to robust controller design – Three basic rules of robust control.

UNIT 3 MODEL BASED PREDICTIVE CONTROL 12 Hrs. MPC strategy – MPC elements – prediction models – objective function – obtaining the control law – review of

some MPC algorithms –

UNIT 4 NON-LINEAR PREDICTIVE CONTROL 12 Hrs. Introduction to Non-linear predictive control. Implementation of Multi Variable control and Model Predictive

Control for Heat exchanger, Distillation column and batch process.

UNIT 5 ADAPTIVE CONTROL 12 Hrs. Introduction- Adaptive control Vs Conventional Control – Direct Adaptive Control - Indirect Adaptive Control –

Model reference Adaptive Control – Self tuning regulators – gain scheduling – inferential control- Adaptive inferential

control.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Sigurd skogestad Ian postlethwaite, Multivariable Feedback Control, John wiley & sons, 2005.

2. Jurgen Ackermann , Andrew Bartlett , Dieter Kaesbauer , Wolfgang Sienel , Reinhold Steinhauser, Robust Control: Systems

with Uncertain Physical Parameters,Springer- Verlag New York, Inc., Secaucus, NJ, 2001

3. Camacho.E.F and Bordom, Model Predictive Control, Second edition, Springer – Verlog London limited, 2004.

4. Stephanopoulis C, Chemical Process Control, Prentice Hall of India Pvt. Ltd ,2008.

5. Coughanour.D.R,LeBlanc.S.E, Process system analysis and control, McGraw Hill, 2nd Edition, 2009.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 172: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 169 REGULATIONS 2015

SIC5603 ADVANCED DIGITAL CONTROL SYSTEM L T P Credits Total Marks

(Common to E&C, Applied Electronics) 4 0 0 4 100

COURSE OBJECTIVES x To impart knowledge on various types of controllers.

x To provide advanced understanding of adaptive principles.

x To understand the basic digital control systems and their relationship to continuous systems. x

To understand of controller design methods based on z-plane.

UNIT 1 PRINCIPLES OF CONTROLLERS 12 Hrs.

Review of frequency and time response analysis and specification of control system, need for controller,

continuous time compensation, continuous time PI, PD, PID controllers, Digital PID Controllers - Sampling and holding

– Sample and hold devices – D/A and A/D conversion – observability.

UNIT 2 DESIGN USING TRANSFORM AND STATE SPACE TECHNIQUES 12 Hrs.

Reconstruction – Z transform – Inverse Z transform – Properties – Pulse transfer function and state variable

approach – Review of controllability, Methods of discretisation – Comparison – Direct design – Frequency response

methods – State space design – Pole assignment – Optimal control – State estimation in the presence of noise – Effect

of delays.

UNIT 3 COMPUTER BASED CONTROL 12 Hrs. Selection of processors – Mechanization of control algorithms – PID control laws -Predictor merits and demerits –

Application to temperature control – Control of electric drives – Data communication for control.

UNIT 4 PRACTICAL ASPECTS OF DIGITAL CONTROL ALGORITHMS 12 Hrs. Algorithm development of PID control algorithms-Software implementation- Implementation using

microprocessors and microcontrollers-Finite word length effects-, Choice of data acquisition systems- Microcontroller

based temperature control systems-Microcontroller based motor speed control systems.

UNIT 5 QUANTIZATION EFFECTS AND SAMPLE RATE SELECTION 12 Hrs. Analysis of round off error – Parameter round off – Limit cycles and dither – Sampling theorem limit – Time

response and smoothness – Sensitivity to parameter variations – Measurement noise and antialising filter – Multirate

sampling.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Ogata.K (1987)- Discrete time control systems PHI.

2. Gopal.M., “Digital control Engineering “, Wiley Eastern Ltd.,1989.

3. Franklin G.F. David Powell.J Michael Workman, “Digital control of Dynamic Systems”, 3rd Edition, Addison Wesley, 2000.

4. Paul Katz, “Digital control using Microprocessors”, Prentice Hall International, 1982.

5. Forsytheand.W.Goodall.R.N., “Digital Control”, McMillan, 1991.

6. Chesmond, Wilson, Lepla, “Advanced Control System Technology”, Viva – low price edition, 1998.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 173: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 170 REGULATIONS 2015

SIC5604 ADVANCED DIGITAL COMMUNICATION L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES x To update the knowledge of fundamental techniques of generation, transmission and reception of communication

system.

x To understand the different block coded and convolutional coded digital communication systems.

x To understand the coherent and no coherent receivers and its impact on different channel characteristics. x To understand the different types of communication.

UNIT1 INTRODUCTION 12 Hrs. Elements of a digital communication system. An overview of source coding techniques for analog sources

Channel capacity & coding

Linear Block Codes: The generator matrix and the parity check matrix. Examples of linear block codes. Cyclic codes.

Hard decision and soft decision decoding of block codes. Performance comparison of the above two schemes.

UNIT 2 CONVOLUTIONAL CODES 12 Hrs. Transfer function of a conventional code. Optimum decoding of convolutional codes - the Viterbi algorithm,

Probability of error for soft decision and hard decision decoding schemes. Practical considerations on the application of convolutional codes; coded modulation for bandwidth-constrained channels.

UNIT 3 BASE BAND DATA TRANSMISSION 12 Hrs. Characterization of band limited channels. Signal design for band limited channels. Nyquist criterion for zero ISI.

Partial response signalling, design of band limited signals with controlled ISI, data detection for controlled ISI. Signal design for channels with distortion. Optimum receivers for channels with ISI and AWGN.

Equalisation: Linear, Decision feedback equalisation, Adaptive linear equalizer Adaptive decision feedback.

UNIT 4 DIGITAL MODEMS 12 Hrs. Principles of modern techniques. Power efficient modems. Review of ASK.FSK, PSK and QPSK, MSK and

GMSK schemes. Constant envelope and non constant envelope modulation schèmes. Power efficient coherent modems. Differentially coherent modems. Spectrally efficient modulation Techniques and their receiver structures. Probability of error for binary modulation schemes.

UNIT 5 SPREAD SPECTRUM COMMUNICATION 12 Hrs. Model of spread spectrum digital communication system. Direct sequence spectrum signals some applications.

Effect of pulsed interference on DS spread spectrum systems. Generation of PN sequences. Frequency hopped spread spectrum signals. CDMA system based on F+1 spread spectrum signals. Other types of spread spectrum signals. Synchronization of spread spectrum signals.

Max. 60 Hours TEXT / REFERENCE BOOKS 1. Proakis J.G Digital Communication 4th Edition, McGraw-Hill, 2001.

2. Feher, K. Wireless digital communications: modulation and spread spectrum applications. New Delhi, Tata- McGrawHill, 1998.

3. Marvi N K.Simon. Samim Hinedi, William C.Lindsey Digital communication techniques, Prentice Hall, 1995. 4. Lathi B.P Modern Digital & Analog Communication Systems, 3rdEdition, Oxford University Press, 2004.

5. Simon Haykin: Communication System, Wiley Eastern Ltd. Ed. 1998.

6. Dassm J,Mullick S.K & Chatterjee P.K Principal of Digital Communication, Wiley Eastern Ltd.

7. Martin S.Roden: Digital and Data Communications System P.H.I London, Ed, 1998. 8. Viterbi, A.I and Qmura J.K Principles of Digital Communication, McGraw Hill Company, New York.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 174: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 171 REGULATIONS 2015

PROCESS IDENTIFICATION AND MODELLING L T P Credits Total Marks

SIC5605 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES

x To study the identification methods

x To gain the knowledge about estimation of parametric and nonparametric models. x To

begin with the concept of adaptation techniques and control,

UNIT 1 CONVENTIONAL METHODS OF SYSTEM MODELLING 12 Hrs.

Identification based on differential equations, Laplace transforms, frequency responses, difference equations.

Signals and system concepts, stationarity, auto-correlation, cross-correlation, power spectra. Random and deterministic

signals for system identification: pulse, step, pseudo random binary sequence (PRBS). Impulse response – Frequency

response – Step response methods – Signal modelling.

UNIT 2 DIGITAL SIMULATION OF PROCESSES 12 Hrs.

Discretisation techniques – Runge-Kutta method – Z-transform method – Use of simulation packages –

Simulation of first and second order system with and without dead time.

UNIT 3 EXPANDING MEMORY IDENTIFICATION TECHNIQUES 12 Hrs. Off-line – Online methods – Recursive least squares – Modified least squares techniques – Fixed memory – R’s

algorithm – Maximum likelihood – Instrument variable – stochastic approximation techniques.

UNIT 4 STATE ESTIMATION TECHNIQUES 12 Hrs. Introduction to state estimation – Open loop observes – Asymptotic observer, Parametric estimation using

one-step ahead prediction error model structures and estimation techniques for ARX, ARMAX, Box-Jenkins, FIR,

Output Error models. Residual analysis for determining adequacy of the estimated models.

UNIT 5 ADAPTIVE OBSERVER 12 Hrs. Suzuki method – With different identification techniques – Extension to multi variables system – Extended

Kalman filter. Auto-tuning and self-tuning Smith predictor. Adaptive advanced control: Pole placement control, minimum

variance control, generalized predictive control.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Isermann R., ‘Digital Control Systems’, Vol. I & II, Narosa Publishing House, Reprint, 1993.

2. Mendel J.M., ‘Discrete Techniques of Parameter Estimation’, Marcel Dekkar, New York, 1973.

3. Goodwin G.C. and Sin S.K., ‘Adaptive Filtering, Prediction and Control Filtering, Prediction and Control’, Prentice Hall Inc.,

New Jersey, 1984.

4. Nelles, O. Nonlinear System Identification, Springer-Verlag, Berlin, 2001.

5. Zhu, Y. Multivariable System Identification for Process Control, Pergamon, 2001.

6. Ljung, L. System Identification: Theory for the User, 2nd Edition, Prentice-Hall, 1999.

7. Ogunnaike B.A and W.H. Ray, Process Dynamics, Modeling, and Control, Oxford University Press.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 175: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 172 REGULATIONS 2015

SIC5606 OPTO ELECTRONICS AND LASERS L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES

x To learn the fundamentals of light propagation through optical fiber.

x To understand the concept of temperature and pressure control using optical sensors. x To study the working

principles of various optical devices for measurement and control.

UNIT 1 FIBERS AND DETECTORS 12 Hrs.

Optical Fiber – types – characteristics – Light propagation through optical fiber – losses – Numerical aperture –

source coupling – splices and connectors – LED, LCD, PIN, APD- Solar Cells – Optical fiber communication.

UNIT 2 SENSORS 12 Hrs.

Fiber optic sensors – Temperature, Pressure, Level – Flow sensors – Electrical and magnetic field sensors –

Polarisation sensors – Optical Gyroscope.

UNIT 3 LASERS 12 Hrs. Properties of Laser light – Temporal coherence – Spatial coherence – Directionality - Laser rate equation – Q

switch – mode locking – Cavity dumping – Electro optic modulator – Magneto optic modulator – Acousto optic

modulator- Types of lasers – Solid state Lasers – Gas lasers – Liquid Lasers – Holography & its applications.

UNIT 4 LASER APPLICATIONS 12 Hrs. Laser applications – Harmonic generation – stimulated Raman Emission – Laser in Chemistry – Rotation of the

earth- Laser isotope separation – Laser material Processing – Welding , Drilling, cutting – Laser tracking - LIDAR –

Medical applications – Precision Length measurement –Velocity measurement – Laser fusion.

UNIT 5 INDUSTRIAL APPLICATIONS 12 Hrs. Industrial application and smart structure – Temperature, Pressure, Fluid level, Flow position, vibration and

rotational measurement. Chemical Analysis, Current & Voltage Measurement. Introduction to Smart Structures and

skins, Examples.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Ghatak A.K and Thiagarajan K, Lasers Theory & Applications- Plenum press, New York, 1981.

2. Keiser.G, Optical Fiber Communication System, McGraw Hill Ltd., 1983.

3. Oshea D.C and Russel Callen W, Introduction to lasers and Applications, Addison Wesley, 1978.

4. Smith H.M , Principles of Holography, John Wiley & Sons, 1975.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 176: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 173 REGULATIONS 2015

SIC5607 VIRTUAL INSTRUMENTATION AND ITS L T P Credits Total Marks

APPLICATIONS 4 0 0 4 100 (For E&C)

COURSE OBJECTIVES x To impart knowledge on basics of virtual instrumentation flow and programming techniques.

x To acquire the knowledge in data acquisition and instrument interface

x To study the concept of tools used for industrial application.

x To have a study of virtual instrumentation and its applications.

UNIT 1 REVIEW OF VIRTUAL INSTRUMENTATION

Historical perspective, advantages, etc., block diagram and architecture of a virtual instrument.

12 Hrs.

UNIT 2 DATA – FLOW TECHNIQUES, VI PROGRAMMING TECHNIQUES 12

Hrs. Graphical programming in data flow, comparison with conventional programming. Vis and sub-Vis, loops and

charts, arrays, clusters and graphs, case and sequence structures, formula nodes, local and global variables, string and

file I/O.

UNIT 3 DATA ACQUISITION AND INSTRUMENT INTERFACE

ADC, DAC, DIO, counters & timers, PC hardware structure, timing, interrupts, DMA

12 Hrs.

UNIT 4 INSTRUMENT INTERFACE Software and hardware installation, current loop, RS232/RS485, GPIB, USB & PCMCIA.

12 Hrs.

UNIT 5 ANALYSIS TOOLS AND APPLICATION 12

Hrs. Some tools from the advanced analysis tools relevant to the discipline may be included e.g. Fourier Transform,

power spectrum, correlation methods, windowing & filtering. VI applications in various fields – VISA and IVI – Image

acquisition and processing.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Gary Johnson, ‘Lab view graphical programming’, II Ed., McGraw Hill, 1997.

2. Lisa K Wells & Jeffrey Travels, ‘Lab view for everyone’, Prentice Hall, 1997.

3. Sokoloff, ‘Basic Concepts of lab view 4’, Prentice Hall, 1998. 4. Gupta S, Gupta J.P, ‘PC interfacing for Data Acquisition & Process Control’, 2nd Ed., Instrument Society of America, 1994.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 177: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 174 REGULATIONS 2015

SIC5608 ADVANCED ROBOTICS AND AUTOMATION L T P Credits Total Marks

(Common to E&C, Applied Electronics) 4 0 0 4 100

COURSE OBJECTIVES

x To understand robot programming languages.

x To introduce robot arm kinematics

x To understand the concept of material transfer & machine loading / unloading x To

educate robotic assembly automation and inspection automation.

UNIT 1 INTRODUCTION 12 Hrs.

Geometric configuration of robots - manipulators - drive systems - internal and external sensors - end effectors -

control systems - robot programming languages and applications - Introduction to robotic vision.

UNIT 2 ROBOT ARM KINEMATICS 12 Hrs.

Direct and Inverse Kinematics - rotation matrices - composite rotation matrices - Euler angle representation -

homogeneous transformation - Denavit Hattenberg representation and various arm configurations.

UNIT 3 ROBOT ARM DYNAMICS 12 Hrs.

Lagrange - Euler formulation, joint velocities - kinetic energy - potential energy and motion equations -

generalized D’Alembert equations of motion.

UNIT 4 ROBOT APPLICATONS 12 Hrs. Material Transfer & Machine Loading / Unloading

General Consideration in robot material handling transfer applications – Machine loading and unloading.

Processing Operations

Spot welding – Continuous arc welding - spray coating – other processing operations using robots.

UNIT 5 ASSEMBLY AND INSPECTION 12 Hrs. Assembly and robotic assembly automation – Parts presentation methods – assembly operation – Compliance

and the Remote Center Compliance(RCC) device – Assembly system Configurations – Adaptable, Programmable

assembly system – Designing for robotic assembly – Inspection automation.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Fu,Gonazlez K.S, and Lee, C.S.G. “Robotics” (Control, Sensing, Vision and Intelligence),McGraw Hill, 1968(II printing).

2. Wesley E Snyder R, ‘Industrial Robots, Computer Interfacing and Control’, Prentice Hall International Edition, 1988.

3. Asada and Slotine, “Robot analysis and Control”, John Wiley and sons, 1986.

4. Philippe Coiffet, “Robot technology” Vol.II (Modelling and control), Prentice Hall INC., 1983.

5. Groover M.P.Mitchell Weiss “Industrial Robotics Technology Programming and Applications”, Tata McGraw Hill, 1986.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 178: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 175 REGULATIONS 2015

L T P Credits Total Marks

SIC5609 PC BASED INSTRUMENTATION 4 0 0 4 100 (For E&C)

COURSE OBJECTIVES x To impart knowledge of the basics of digital instruments and measurement techniques. x

To understand various display and recording devices.

x To have a study of real time programming systems and RTOS

UNIT 1 INTRODUCTION 12 Hrs.

Review of microprocessors, microcomputers, micro processing systems - Input-output structures - Measurement

of digital computer power and performance.

UNIT 2 DIGITAL CONTROL 12 Hrs.

Need for computer in a control system – Functional Block Diagram of a Control system – Direct digital Control –

Supervisory Control – Digital Control Interfacing: Process input, Output interface, Types of Display, Computer Various

inputs / output – Computer Control Action – Treatment of inputs, Outputs, Control Strategies - SCADA

UNIT 3 INTERFACING TECHNIQUE 12 Hrs.

Analogue signal conversion – Interface components and techniques - Signal processing - Interface systems and

standards – Communications.

UNIT 4 SOFTWARE 12 Hrs. Programming real time systems - Discrete PID algorithms -Real time operating systems - Case studies in

instrumentation.

UNIT 5 APPLICATION EXAMPLES IN MEASUREMENT AND CONTROL 12 Hrs. PC based data - Acquisition systems - Industrial process measurements, like flow temperature, pressure, and

level PC based instruments development system.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Ahson, S.I., “Microprocessors with applications in process control”, Tata McGraw-Hill Publishing Company Limited, New

Delhi, 1984.

2. George Barney C., “Intelligent Instrumentation”, Prentice Hall of India Pvt. Ltd., New Delhi, 1998.

3. Krishna Khant, “Computer based industrial control”, Prentice Hall, 1997.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 179: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 176 REGULATIONS 2015

L T P Credits Total Marks

SIC5610 PROCESS MODELING AND SIMULATION

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES

x To make the students to gain a knowledge of discrete time system linear and nonlinear models and control x

To have an adequate knowledge in process identifications and models building procedure. x To have a study of

numerical solutions for process control using matlab.

UNIT 1 PROCESS MODELLING 12 Hrs.

Discrete time system models for control- ARX models-ARMAX models- NARMAX models – Hammerstein

models- Wiener model- Linear and Non Linear model structure selection - Mathematical modeling of dynamic system –

modeling in state space – state space models – canonical state space forms- mechanical systems –Electrical systems

– Liquid level systems- Thermal systems – input and output models- transfer functions-linear parametric models –

bilinear parametric models.

UNIT 2 NON LINEAR SYSTEMS 12 Hrs. Model for time varying and nonlinear systems – linear time varying models – nonlinear model as linear

regressions – nonlinear state space model. Linearization of nonlinear models – single variable –one state variable and

one input variable – linearization of multi state models – interpretation of linearization.

UNIT 3 PROCESS IDENTIFICATION 12 Hrs. Process Identifications – An empirical models building procedure – Process reaction curve –First Order and

Second Order Process with and without dead time statistical model identification

UNIT 4 PROCESS IDENTIFICATION METHODES 12 Hrs. least square method – recursive least square – extended least square – output error with extended prediction

model – generalized least square – selection of pseudo random binary sequence – model order selection – a practical

approach for model order selection – direct order estimation from data- process identification by frequency response

technique.

UNIT 5 MATLAB 12 Hrs. MATLAB- numerical solution – Rungekutta method- Adam Bashforth Technique- solution of ordinary differential

equations – simulation of first order, second order and lead – lag transfer functions – MATLAB routine for step and

impulse response. Development of dynamic model, state space model, and Laplace domain model of CSTR using

MATLAB.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Wayne B Bequette, ‘’Process dynamics modeling, analysis and simulation’’, Prentice Hall International series in Physical and

Chemical Engineering science.1998

2. William L. Luyben, Process Modeling, simulation and control for chemical Engineers, II Edition, McGraw Hill, 1990

3. Thomas E. Marlin,’’Process Control Designing Processes and Control Systems for dynamic performance’’, McGraw Hill

International Edition, Chemical Engineering series,1995

4. MATLAB Users Manual Version -6. Math works inc., USA.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 180: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 177 REGULATIONS 2015

SIC561 1 ADVANCED ADAPTIVE CONTROL SYSTEMS L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES x To introduce the perception of adaptation techniques and control.

x To understand the concept of adaptive control paradigm.

x to provide the hypothetical basics of the field and to initiate the student to do research in adaptive control.

UNIT 1 INTRODUCTION

Definitions – Essential aspects – Classifications of adaptive control systems.

12Hrs.

UNIT 2 MODEL REFERENCE ADAPTIVE SYSTEMS 12

Hrs. Different configurations and classifications of MRAC – Mathematical description – Direct and indirect model

reference adaptive control – MIT rule for continuous time MRAC systems – Lyapunov approach and hyper stability

approach for continuous time and discrete time MRAC systems – Multivariable systems – Stability and convergence

studies.

UNIT 3 SELF TUNING REGULATORS 12 Hrs.

Different approaches to self-tuning – Recursive parameter estimation – Implicit and explicit STR – LQG

self-tuning – Convergence analysis – Minimum variance and pole assignment approaches to multivariable self-tuning

regulators.

UNIT 4 RECENT TRENDS 12 Hrs. Recent trends in self-tuning – Robustness studies – Multivariable systems - Model updating – General-purpose

adaptive regulator

UNIT 5 APPLICATIONS 12 Hrs. Application to power systems – Electric drives – Process control- Distillation Column, Dryers, Pulp Dryer,

Chemical Reactor

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Chalam, V.V., “Adaptive Control Systems”, Techniques & Applications, Marcel Dekker, Inc. NY and Basel, 1987.

2. Eveleigh, V.W., “Adaptive Control and Optimisation Techniques”, McGraw-Hill, 1967.

3. Narendra and Annasamy, “Stable Adaptive Control Systems”, Prentice Hall, 1989.

4. Astry, S. and Bodson, M., “Adaptive Control”, Prentice Hall, 1989

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 181: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 178 REGULATIONS 2015

FAULT TOLERANT CONTROL L T P Credits Total Marks

SIC5612 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES

x To identify different fault detection and diagnosis methods.

x To impart knowledge faults in sensor and actuators using GLR and MLR based approaches x

To present an overview of various types of fault tolerant control schemes.

UNIT 1 INTRODUCTION & ANALYTICAL REDUNDANCY CONCEPTS 12 Hrs.

Introduction - Types of faults and different tasks of Fault Diagnosis and Implementation - Different approaches to

FDD: Model free and Model based approaches-Introduction-Mathematical representation of Faults and Disturbances:

Additive and Multiplicative types – Residual Generation: Detection, Isolation, Computational and stability - properties –

Design of Residual generator – Residual specification and Implementation.

UNIT 2 DESIGN OF STRUCTURED RESIDUALS & DIRECTIONAL STRUCTURED RESIDUALS 12 Hrs.

Introduction- Residual structure of single fault Isolation: Structural and Canonical structures- Residual structure

of multiple fault Isolation: Diagonal and Full Row canonical concepts – Introduction to parity equation implementation

and alternative representation - Directional Specifications: Directional specification with and without disturbances –

Parity Equation Implementation.

UNIT 3 FAULT DIAGNOSIS USING STATE ESTIMATORS 12 Hrs. Introduction – State Observer – State Estimators – Norms based residual evaluation and threshold computation

- Statistical methods based residual evaluation and threshold settings: Generalized Likelihood Ratio Approach –

Marginalized Likelihood Ratio Approach.

UNIT 4 FAULT TOLERANT CONTROL 12 Hrs. Introduction – Passive Fault-tolerant Control- Active Fault tolerant Control - Actuator and Sensor Fault tolerance

Principles:- Compensation for actuator – Sensor Fault-tolerant Control Design – Fault-tolerant Control Architecture -

Fault-tolerant Control design against major actuator failures.

UNIT 5 CASE STUDIES 12 Hrs. Fault tolerant Control of Three-tank System – Diagnosis and Fault-tolerant control of chemical process –

supervision of steam generator – Different types of faults in Control valves – Automatic detection, quantification and

compensation of valve stiction.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Janos J. Gertler, “Fault Detection and Diagnosis in Engineering systems” –2nd Edition, Marcel Dekker, 1998.

2. Rolf Isermann, Fault-Diagnosis Systems an Introduction from Fault Detection to Fault Tolerance, Springer Verlag, 2006.

3. Steven X. Ding, Model based Fault Diagnosis Techniques: Schemes, Algorithms, and Tools, Springer Publication, 2008.

4. Hassan Noura, Didier Theilliol, Jean-Christophe Ponsart, Abbas Chamseddine, Fault-Tolerant Control Systems: Design and

Practical Applications, Springer Publication, 2009.

5. Mogens Blanke, Diagnosis and Fault-Tolerant Control, Springer, 2003.

6. Ali Ahammad Shoukat Choudhury, Sirish L. Shah, Nina F. Thornhill, Diagnosis of Process Nonlinearities and Valve Stiction:

Data Driven Approaches, Springer, 2008.

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 182: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 179 REGULATIONS 2015

MICRO-CONTROLLER BASED SYSTEM DESIGN L T P Credits Total Marks

SIC5613 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES

x To gain knowledge of 8096 architecture, addressing modes and instruction set.. x

To understand the operation of CCP modules, ADC and DAC programming x To

impart knowledge on software blocks and applications.

UNIT 1 PROGRAMMING FRAME WORK 12 Hrs.

8012 Hrs.6 CPU structure – Register file – Assembly language – Addressing mode – Instruction set – Simple

programs and Applications.

UNIT 2 REAL TIME CONTROL 12 Hrs.

Event based, process based and graph based models, Petrinet models, - real time kernel, OS tasks, task

state4s, task scheduling, interrupt processing, clocking communication and synchronization, control blocks, memory

requirements and control, kernel services.

UNIT 3 INPUT / OUTPUT PORTS 12 Hrs.

High speed inputs: Modes, interrupt and status – High speed outputs: HSO cam – Software timers – Input port –

Output ports – I/O control and status register.

UNIT 4 8096 EXPANSION METHODS 12 Hrs. 8096 interrupt structure – Interrupt control priorities – Critical region – programmable timers – Interrupt density

and interval constraints – Real time clock. Bus – Control – Memory timing – External RAM and ROM expansion – PWM

control – A/D interface – Serial port.

UNIT 5 SOFTWARE BLOCKS AND APPLICATIONS 12 Hrs. Queues, Tables and strings – State machine – Key switch parsing – Application of 8096 controller to generate

fating signal for converter and inverter.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. John B.Peatman, ‘Design with micro-controllers’, McGraw Hill International Ltd., Singapore, 1989

2. Santa Clara, ‘Intel manual on 16-bit embedded controllers’, 1991.

3. Michael Slater, “Microprocessor based design - A comprehensive guide to effective hardware design’, Prentice Hall, New

Jers.1989.

4. Raj Kamal, “Microcontroller - Architecture Programming Interfacing and SystemDesign” 1st Edition, Pearson Education

5. Manoharan P.S, Kannan P.S, “Microcontroller based System Design”, 1st Edition, Scitech Publications

END SEMESTER EXAM QUESTION PAPER PATTERN

Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks

PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 183: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 180 REGULATIONS 2015

SIC5614 OPTIMAL CONTROL AND FILTERING L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES x To introduce linear quadratic tracking problems- in continuous and discrete domain

x To establish the numerical techniques used for solving possible control problems

x To train on the concepts of filtering in the presence of noise and the design of kalman filter.

UNIT 1 INTRODUCTION 12 Hrs.

Statement of optimal control problem – Problem formulation and forms of optimal control – Selection of

performance measures. Necessary conditions for optimal control – Pontryagin’s minimum principle – State inequality

constraints – Minimum time problem.

UNIT 2 LQ CONTROL PROBLEMS AND DYNAMIC PROGRAMMING 12 Hrs. Linear optimal regulator problem – Matrix Riccatti equation and solution method – Choice of weighting matrices –

Steady state properties of optimal regulator – Linear tracking problem – LQG problem – Computational procedure for

solving optimal control problems – Characteristics of dynamic programming solution – Dynamic programming

application to discrete and continuous systems – Hamilton Jacobi Bellman equation.

UNIT 3 NUMERICAL TECHNIQUES FOR OPTIMAL CONTROL Numerical solution of 2-point boundary value problem by steepest descent and

solution of Ricatti equation by negative exponential and interactive methods

UNIT 4 FILTERING AND ESTIMATION Filtering – Linear system and estimation – System noise smoothing and prediction time

model – Estimation criteria – Minimum variance estimation – Least square estimation –

UNIT 5 KALMAN FILTER AND PROPERTIES 12 Hrs. Filter problem and properties – Linear estimator property of Kalman Filter – Time invariance and asymptotic

stability of filters – Time filtered estimates and signal to noise ratio improvement – Extended Kalman filter.

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Sage, A.P., ‘Optimum System Control’, Prentice Hall N.H., 1968.

2. Anderson, B D.O. and Moore J.B., ‘Optimal Filtering’, Prentice hall Inc., N.J., 1980.

3. Bozic S.M, “Digital and Kalman Filtering”, Edward Arnould, London, 1994.

4. Astrom, K.J., “Introduction to Stochastic Control Theory”, Academic Press, Inc, N.Y., 1986

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

12 Hrs. Fletcher Powell method

12 Hrs. – Gauss Markov discrete Recursive estimation.

Page 184: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 181 REGULATIONS 2015

SIC5615

INDUSTRIAL DATA COMMUNICATION AND CONTROL

L T P Credits Total Marks

(For E&C) 4 0 0 4 100

COURSE OBJECTIVES x To study the mechanism used in data acquisition systems interface techniques. x

To introduce the communication protocols.

x To study the components used in digital control systems.

UNIT 1 DATA COMMUNICATION 12 Hrs.

Data acquisition system (DAS): Review of A/D and D/A converters – Sampling and digitizing – Review of Analog

Communication systems and techniques – multiplexing – DM & FDM – Data communication – transmission lines and

digital signals – practical line interface circuits – serial asynchronous communication protocol – Intel 8251A – current

loop, RS232 C – Rs485 – GPIB – USB.

UNIT 2 PROGRAMMABLE LOGIC CONTROLLER 12 Hrs.

Architecture of PLC – Analog and digital types of I/O modules – PLC memories _ Program and data organization

inside a PLC – Networking of multiple PLC. Methods of Computer Control of Process, their configuration and comparison :

Direct Digital Control, Supervisory Digital Control, Distributed Control System(DCS).

UNIT 3 DISTRIBUTED CONTROL SYSTEMS 12 Hrs. DCS:- Local Control Unit(LCU) and architecture – LCU languages – LCU – Process interfacing issues. Operator

interface – requirements engineering interface – Requirement – Display – alarm and alarm management. Factors to be

considered in selecting in a DCS. Network topology and media – switching systems – OSI model – data link control

protocol.

UNIT 4 COMMUNICATION PROTOCOL 12 Hrs. Media access protocol: command / response – token passing – CSMA/ CD, TCP/IP. Bridges – routers –

gateways. Standard ETHERNET and Industrial ETHERNET Configuration – Special requirement of networks used for

control> HART; Introduction – Evolution of signal standard – HART Communication protocol – Communication modes –

HART commands – HART and the OSI model. Field bus:

UNIT 5 SMART PROTOCOLS 12 Hrs. Introduction – General field bus architecture-basic requirements of field bus standard-field bus

topology-Interoperability-interchangeability. Introduction to MODBUS,CANBUS , LON WORKS , FIP

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. William L. Schweber, Data communication, McGraw Hill, 1988.

2. Michale P.Lucas, Distributed control systems, Van Nostrand Reinhold co., 1986

3. Romiley Bowden , HART Application Guide, HART Communication Foundation. 1999.

4. Chidambaram M, Computer Control Of Process, Narosa Publishing house, 2002.

5. Lawrence M. Thompson, Industrial data communications, ISA Press 1997.

6. Behrouz A. Forouzan, Data communications and networking. Tata McGraw Hill, 2000

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs.

PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks

Page 185: PROGRAMME : M.TECH - PART TIME VLSI DESIGN .... / M. Tech PART TIME xiii REGULATIONS 2015 PROGRAMME : M.TECH - PART TIME VLSI DESIGN CURRICULUM SEMESTER 1 Sl. No. COURSE CODE COURSE

SATHYABAMA INSTITUTE OF SCIENCE AND TECHNOLOGY FACULTY OF ELECTRICAL AND ELECTRONICS

M.E. / M. Tech REGULAR 182 REGULATIONS 2015

ROBOTICS AND COMPUTER VISION L T P Credits Total Marks

SIC5616 (For E&C) 4 0 0 4

100

COURSE OBJECTIVES x To understand image processing techniques used in robotics. x

To understand radiometry and photometric stereo concept. x To teach how to find object detection and recognition.

UNIT 1 IMAGE FORMATION AND IMAGE SENSING 12 Hrs. Machine Vision and its relation to other fields – Low, Mid, and High level Processing - Aspects of Image

formation – Image Acquisition Hardware – CCD Camera – CCD Array Geometrics - Sensing Brightness – Sensing Colour – Camera Model and Parameters - Projective Summary – Camera Calibration Techniques – Types of Image Noise and Filtering Techniques.

UNIT 2 IMAGE FILTERING AND EDGE DETECTION 12Hrs. Metrical and Topological Properties - Homography - Linear Shift Invarient Systems – Convolution Kernal – Point

Spread Function – 2D and 3D Fourier Transform and Convolution – Image Scaling – Sub Sampling – Resamplig Filters – Bilinear Interpolation – Theory of Edge Detection – Discrete edge operators – Sobel Operator – Laplacian of Gaussian – Canny Edge Operator – DOG Edge Detection.

UNIT 3 MOTION FIELD AND OPTICAL FLOW 12 Hrs. Radio Metric Image Formation - A Graphical Model for Motion Modeling and Analysis - Motion Analysis Vs

Stereo – Motion Field: Planar Motion - Lucas-Kanade Method - Optical Flow Constancy Equations - Cubic Facet Model - Optical Flow Estimation Algorithm - Motion Analysis from Two Frames - Motion Analysis from Multiple Frames - Kalman Filtering - Particle Filtering for Tracking - 3D Motion and Structure Reconstruction - Factorization Method - Factorization Method for Recovery Non-rigid Motion - 3D Motion Parallax - 3D Motion and Structure from Dense Motion Field - Translation Direction Determination - Rotation and Depth Determination

UNIT 4 RADIOMETRY AND PHOTOMETRIC STEREO 12 Hrs. Parameters of Radiometric - Scene Radiance to Image Irradiance - Bi-directional Reflectance Distribution

Function (BRDF) - BRDF Properties - Reflectance Models - Unified Reflectance Model - Dichromatic Reflectance Model - Visual Cues - Surface Normal - Gradient Space - Reflectance Map – Computation of Light Source Direction - Depth from Normals - Trick for Handling Shadows - Stereographic Projection

UNIT 5 OBJECT DETECTION AND RECOGNITION 12 Hrs. Face Detection - Face Recognition - Object Detection - Stripes detection - Object Detection - Detection

Performance Metrics - Object Recognition Methods - Classification-based Recognition - Object Recognition Features - Appearance Features: Spatial domain, Transform Domain – Classifiers: Neural Networks, Navie Bayesian Classifier, Logic Regression, KNN - Feature Matching based Recognition

Max. 60 Hours

TEXT / REFERENCE BOOKS

1. Robot Vision, by B.K.P. Horn, MIT Press, 1986. (ISBN: 0262081598)

2. Emanuele Trucco & Alessandro Verri.Introductory Techniques for 3D Computer Vision Approach

3. Three-Dimensional Computer Vision-a geometric viewpoint, Oliver Faugeras, The MIT Press, 1993.

4. Multiple View Geometry in Computer Vision, Richard Hartley and Andrew Zisserman, Cambridge, 2001.

5. Robert M. Haralick and Linda G. Shapiro,Computer and Robot Vision, Volumes 1 and 2, Addison-Wesley Publishing Company, 1993.

END SEMESTER EXAM QUESTION PAPER PATTERN Max. Marks : 100 Exam Duration : 3 Hrs. PART A : 6 Questions of 5 Marks each – No choice 30 Marks PART B : 2 questions from each unit of internal choice, each carrying 10 marks 70 Marks