225
PLASMA TECHNOLOGY Fundamentals and Applications

Plasma Technology: Fundamentals and Applications

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Plasma Technology: Fundamentals and Applications

PLASMA TECHNOLOGY Fundamentals and Applications

Page 2: Plasma Technology: Fundamentals and Applications

PLASMA TECHNOLOGY Fundamentals and Applications

Edited by

Mario Capitelli and Claudine Gorse University of Bari and Centro di Studio per la Chimica

dei Plasmi del CNR Bari, Italy

SPRINGER SCIENCE+BUSINESS MEDIA , LLC

Page 3: Plasma Technology: Fundamentals and Applications

L i b r a r y o f Congress Catalog1ng-1n-PublIcatIo n Dat a

Plasma t e c h n o l o g y : f u n d a m e n t a l s an d a p p l i c a t i o n s / e d i t e d b y M a r i o

Cap 1 t e 111 an d C l a u d l n e G o r s e .

p. cm.

I n c l u d e s b i b l i o g r a p h i c a l r e f e r e n c e s an d i n d e x .

1. P l asma d e v i c e s — C o n g r e s s e s . 2 . P lasma ( I o n i z e d g a s e s ) -

- C o n g r e s s e s. I . Cap 1 t e 1 1 1 , M. I I . G o r s e , C l a u d l n e .

TA2030.P53 1992

6 2 1 . 0 4 4 — d c 20 92-1362 5

C IP

Proceedings of an international workshop on Plasma Technology and Applications, held July 5-6, 1991, in II Ciocco (Lucca), Italy

ISBN 978-1-4613-6502-0

© 1992 Springer Science+Business Media New York Originally published by Plenum Press, New York in 1992

Al l rights reserved

No part of this book may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electronic, mechanical, photocopying, microfilming, recording, or otherwise, without written permission from the Publisher

ISBN 978-1-4613-6502-0 ISBN 978-1-4615-3400-6 (eBook)DOI 10.1007/978-1-4615-3400-6

Page 4: Plasma Technology: Fundamentals and Applications

PREFACE

The present book contains the proceedings of the workshop "Plasma Technology and Applications" which was held at 11 Ciocco (Lucca-Italy) during 5-6 July 1991. The workshop was organized just before ICPIG XX to emphasize the role of plasma physics and plasma chemistry in different fields of technology. Topics cover different applications such as lamps, plasma treatment of materials (etching, deposition, nitriding), plasma sources (microwave excitation, negative ion sources) and plasma destruction of pollutants. Several chapters deal with basic concepts in plasma physics, non­equilibrium plasma modeling and plasma diagnostics as well as with laser interaction with solid targets.

The authors gratefully acknowledge the financial support provided by university of Bari (Italy) and by CNR (Centro di Studio per la Chimica dei Plasmi, Istituto di Fisica Atomica e Molecolare (IFAM) and Progetto Finalizzato Materiali Speciali per Tecnologie Avanzate) as well as the sponsorship of ENEA.

M. Capitelli C. Gorse

v

Page 5: Plasma Technology: Fundamentals and Applications

CONTENTS

Plasmas in nature, laboratory and technology A.M. Ignatov and A.A. Rukhadze

Laser diagnostics of plasmas L. Pyatnitsky

Probe diagnostics of plasmas G. Dilecce

Theory, properties and applications of non­equilibrium plasmas created by external energy sources

E.Son

Non-Equilibrium plasma modeling M. Capitel1i , R. Celiberto, G. Capriati,

C. Gorse and S. Longo

Gas discharge lamps M. Koedam

Plasma etching processes and diagnostics R. d'Agostino and F. Fracassi

Plasma deposition: processes and diagnostics A. Koch

Correlations between active plasma species and steel surface nitriding in microwave post-discharge reactors

A. Ricard, J. Hubert and H. Michel

Simultaneous removal of NOx,SOx and soot in diesel engine exhaust by plasma/oil dynamics means

K. Fujii

DeNOx DeSOx process by gas energization L. Civitano and E. Sani

Microwave excitation technology P. Leprince and J. Marec

Negative ion source technology H.J. Hopman and R.M.A. Heeren

1

11

27

45

59

81

93

109

125

143

153

167

185

vii

Page 6: Plasma Technology: Fundamentals and Applications

Quasi-stationary optical discharges on solid targets

V.B. Fedorov

Index

viii

203

223

Page 7: Plasma Technology: Fundamentals and Applications

PLASMAS IN NATURE, LABORATORY AND TECHNOLOGY

A.M. Ignatov and A.A. Rukhadze

General Physics Institute Moscow, USSR

WHAT IS A PLASMA?

A plasma as a state of matter has been known to people from times immemorial. The first in Europe who realized that our Universe consisted of four roots - earth, water, air and fire, was a Greek philosopher Empedocles (about 430 BC). Some time later, in 1879 (AD), William Crookes distinguished the medium created in electrical discharges as the fourth state of matter which Irvine Langmuir named a plasma in 1923. Nowadays we know a little more about the subject, and we have to confess that Crookes was wrong. More than 99% of matter in the Universe is a plasma, and it is rather to be called the first state of matter.

Primarily, a plasma was defined by Langmuir as a gas consisting of electrons, several types of ions and neutral atoms and molecules. Nowadays the range of application of thiswordismuch larger. Thus, we can speak about the plasma of metals and semiconductors, the plasma of electrolytes, the quark-gluon plasma, etc. Anyway, unlike mathematics, it is not very wise to give any definitions in physics: the best way to understand something is make out its features. Therefore,we should rather regard a plasma as some mixture of charged and, perhaps, neutral particles and study its main properties.

First of all, we have to introduce the main plasma parameters. They are:

1. The particle density, i.e. the number of particles in one cubic centimeter, is usually denoted as n with some subscripts indicating the species of particles( e.g. n e for electrons, n i for ions, n 0 for neutrals). Usually, the plasma on the whole has no electric charge, i.e. if there is only one type of ions with the charge - e , their total number is equal to the number of electrons with the charge e, although the local densities of the species may vary in time and space. The typical value of the electron density in the laboratory plasma is; n e = 105 + 1015 cm -3, sometimes up to 1020 cm -3, and in some astrophysical objects it may be up to 1032 cm -3.

An important parameter is the plasma ionization rate

ne r=--­ne+no

(1)

characterizing the relative number of charged particles. For the weakly ionized plasma this quantity is less than 10-2, the plasma is fully ionized if it is of the order of unity.

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992

Page 8: Plasma Technology: Fundamentals and Applications

2. The plasma temperature is the average kinetic energy of particles. It is usually measured in electron-volts ( 1 eV = 11604 deg Kelvin). If two particles have a large mass ratio then the energy exchange between them is reduced and slowed down. That is why the groups of particles with different masses may have different temperatures; the ratio of electron and ion temperatures may exceed one thousand.

The average distance between particles is of the order of n -1/3, i.e. the average potential energy of the particle with the charge e is e i n1 /3 ( Here we use the CGS units). The ratio of the average potential energy and the temperature

(2)

is another important dimensionless number which is called a gas parameter. If it is small, rJ«I, and in most applications it really is, the particles move relatively free and the plasma behaves like a gas, while for large rJ» 1 the plasma looks rather like a fluid or even a solid state. In this lecture we discuss the properties of gaseous, or ideal plasmas with 'YJ < < 1 only. The plasma temperature varies from about 0.1 e V (flame) to 10 ke V desired for the thermonuclear fusion, and, as usual, much larger magnitudes may be found in the sky.

3. Some external parameters are also to be added to this list. The most important of them is the magnitude of the external magnetic field, BO, which may reach the value of 100 kG for laboratory plasmas.

Of course, there are many other plasma parameters which are relevant for its numerous applications, but, in fact, most of physical phenomena may be understood with the help of these three quantities.

Although the plasma physics may seem to be a very complicated matter, it is based on a few simple phenomena. Here we discuss briefly three of them.

Pebye screenjn~

Suppose that we have immersed some charged object, e.g. a positively charged plate, into the neutral plasma ( ne = nj ). It creates an electric field acting upon the charged particles of a plasma, i.e. it attracts electrons and repulses ions. Therefore, any charged object causes the distortion of the charge distribution in its vicinity yielding in turn to some distortion of the electric field. We can describe this process in a following simple way.

First, let us ignore the ion motion - they are massive and cannot be shifted so easily. The distortion of the electron density, CJne ,may be described with the help of the Boltzmann formula: ne + CJne (x) = 11e exp (-e<p (x) I Te) , where tp(x) is the electric potential, x is the distance to a plate, ne is the unperturbed electron density and e is the charge of the electron ( e<O ). If the potential tp (x) is small enough we can expand the exponent and approximately express the density distortion as

CJne = _ enep(x) Te (3)

Recollect now that the potential itself depends on the charge distribution according to the Poisson equation

d2 ,,,(x\ ~ = -41teCJne(X) (4)

Solving it together with Eq.(3) yields to the desired result

tp (x) =1{kJ exp (-x / rD) (5)

2

Page 9: Plasma Technology: Fundamentals and Applications

where f{J 0 is the potential of the plate and

rD= e ( T ) 1/ 2

43re2 ne (6)

The equation (5) expresses a very important fact: the static electric field cannot penetrate into a plasma deeper than few rD ; as they say, it is screened. The intrinsic scale rD (6) is called the Debye length in honor of Peter Debye who studied this phenomenon in electrolytes.

According to the Eq(3) the electron density deviation is proportional to the potential. It means that the charge neutrality of a plasma cannot be violated at the scale larger than the Debye length. Anyattempt to separate electrons and ions causes the electric field attracting them to each other. This is the essence of one of the most important ideas of plasma physics, the self-consistent field concept: we cannot regard particles and fields in a plasma as independent, they have to be considered as a single object.

It should be noticed that the expressions (3) and (6) are valid in the gaseous plasma only. Inequality 17< <1 (see Eq. (2» means that many particles are to be in the volume of the size of the Debye length. Otherwise we would obtain the nonsense : the field is screened by less than one particle.

Waye propa~tion

What we have described is the plasma response to the static electric field. Its response to the alternating field is also of great interest. To illustrate it let us consider the equation of motion of a single electron

d v (t) m ---at = eE(t) -vv(t) (7)

where E (t) is the electric field and v (t) is the electron velocity. The second term in the right-hand side of Eq.(7) represents the frictIonal force acting upon an electron moving through a plasma and the coefficient V is the so-called effective collisional frequency. This term roughly describes the short-range interactions between particles and depends on what particles the electron is colliding with; we shall discuss its value a little later.

We can always consider the reaction of a plasma to the monochromatic wave, i.e. represent the electric field as E (t) = Eexp (- iw t + ikx). Substituting this into the Eq(7) we obtain for the velocity

(8)

Now we can calculate the current density:

. iinE J =en v = m (W+iV) =aE (9)

where

a= m (W+iV) (10)

is a complex conductivity of a plasma.

3

Page 10: Plasma Technology: Fundamentals and Applications

It should be stressed that the conductivity is a frequency dependent complex quantity consisting both of real (active) and imaginary (reactive) parts. As usual, the dielectric permittivity, e (w), of a medium is connected with its conductivity by the relation

e(w)=1+ 4JriG =l_ are. w w (w + LV) (11)

where

( )1/2

wp = 4 Jr~2 n (12)

The last quantity has the dimension of the frequency and, respectively, is called the plasma frequency. It gives us a natural time scale for various plasma processes.

To make out its physical meaning recollect that in any medium the electric displacement, D, for not too strong fields is proportional to E , namely, D = e E .. If there are no external sources then D = 0 . In most dielectric media it means that E = 0 , but in a plasma, as may be easily seen from the Eq.(ll), the dielectric permittivity may be zero for some frequency. Suppose for a moment that the frequency w in Eq.(ll) is large compared to the collisional frequency and put V =0. Then the solution of the equation e (w) E = 0 may be written either as E = 0 fo r th e arbitrary w oras W = Wp for the arbitrary E ¢() •. It means that the electric field in a plasma can sustain itself and oscillate with the frequency Wp without any external sources. These intrinsic oscillations were discovered by I.Langmuir and now are called after his name.

Let us look now for the solution to the equation e (w) = 0 for v¢() . Suppose that Wp>>V (for most cases of interest it is really so), then the frequency of the Langmuir oscillations is

(13)

As we see there appears a small negative imaginary part of the frequency signifying the temporal damping of the oscillations which is caused by the collisions (short-range interactions) between particles. Sometimes this collisional damping is irrelevant due to a very high frequency of the oscillations or a very short duration of any other process we are interested in. In this case they speak about a collisionless plasma, although we have to remember that this term is pretty conventional.

Exact expressions for the collisional frequency are obtained by the kinetic theory of a plasma. For our purposes it is sufficient to notice that v may roughly be estimated as a maximum of the electron-ion collisional frequency, Vei , and electron-neutral collisional frequency, Ven , each of them meanin~ the average number of collisions of various species per second. By the order of magnitude Vei =rr 2 Wp, i.e. it is small compared to Wp i n gaseous plasma, and ven=d lIT no, where a is a radius of a neutral atom and lIT = (Telme)1I2 is the electron thermal velocity.

Finally, notice that the frequency of the Langmuir oscillations we have obtained does not depend on the wavelength. It is because we have ignored the thermal motion of the particles. Taking the latter into account yields to some corrections to the plasma frequency depending on the wavenumber.

We can regard the propagation of the electromagnetic waves nearly in the same manner. Actually, we already have everything to do it. The index of refraction of a media , n, ( by definition, n = c k/ W , C being the speed of light in vacuum) is related to the dielectric permittivity: n2 = e (w). The necessary condition for the propagation of the electromagnetic wave is n = 1, i.e. the dispersion relation determining the dependence of the wave frequency, w, on its wavenumber, k, is

(14)

4

Page 11: Plasma Technology: Fundamentals and Applications

where we have used the expression (11) for the dielectric permittivity and ignored the collisional damping.

Studying thoughtfully the Eq.(14) we can observe that the frequency of the electromagnetic wave in a plasma cannot be less than wp . If we illuminate the plasma surface by the wave with the lower frequency it is simply to be reflected; thus,all metals are shining - their plasma frequencies are usually in the ultraviolet band. If we go on reducing the wave frequency it becomes of the order of the collisional frequency, V , and we cannot longer ignore it in Eq. (11). The refractive index, n , becomes complex; it means that the wave is rather absorbed than reflected.

The main difference between the two waves is their polarizations. There is no magnetic field component in the Langmuir wave and its electric field is parallel to the direction of propagation, while both electric and magnetic fields in the electromagnetic wave are perpendicular to direction of propagation. The electromagnetic waves can propagate in vacuum and, therefore, are easily emitted from a plasma, while there are no Langmuir waves in vacuum and for a long time it was unclear which way they could be emitted. For this reason Langmuir himself at first doubted whether this waves existed at all. In fact, these two types of waves can transform two each other due to the inhomogeneity of a plasma or some nonlinear effects.

To conclude with the discussion of the wave propagation we would like to remind you that until now the motion of ions and neutral atoms was ignored. The plasma frequency in Eq. (13) depends on charges and masses of particles. Hence, there may be few plasma frequencies corresponding to various species of particles and, therefore, few characteristic time scales and branches of oscillations. Moreover, if a plasma is immersed into an external magnetic field, new time scales reciprocal to the gyrofrequency ~ = e Bo / me, i.e. the frequency of the particle rotation in the magnetic field, arise. Therefore, a plasma has a lot of intrinsic time scales and wave branches. Strictly speaking, there is an infinite number of them. We have chosen for the discussion the two described above because of their greatest importance.

Landau damping

The third basic phenomenon we would like to tell about deals with the interactions of particles and waves. As we have seen, there are many various types of waves propagating through a plasma. Consider, for instance, the Langmuir wave with the electric field being written as E (t,x) = Esin(kx -w t), \\here w =wp plus some corrections due to the thermal motion. At first sight, if the wave frequency, w, is large compared to the collisional frequency, v, there is no wave damping or, at least, it is very small, but in fact there is a mechanism giving rise to a special temporal damping which may be much greater than V.

The Langmuir wave is formed by simultaneous oscillations of a large number of particles moving relatively slow compared to its phase velocity, vph =w/ k , i.e.the velocity of maximums and minimums of the electric field. Usually, the velocities of the most of plasma particles are smaller than the average thermal velocity, VT • The corrections to the plasma frequency are small if Vph» Vr or k «wp / lIT =ri)l.

There is always some number of particles moving with the velocity nearly equal to the wave's phase velocity. The wave looks for them as almost stationary sequence of potential barriers and wells. Some of these fast particles overtake the wave and have to climb the potential barrier loosing their energy. Less speedy particles lag behind the wave, descend from the barrier and gain some energy. Therefore, the fast particles are decelerated, the slow particles are accelerated, all together giving some part of their energy to the wave or taking it from the wave. If there are more slow particles than the fast ones,and this is the case of the thermal equilibrium, then the wave energy is transferred to particles and its amplitude decreases in time.

This damping was predicted by Landau; the exact expression for the damping rate ( i.e. the imaginary part of w in the Eq.(i3) which is to be substituted instead of V / 2 ) depends on the distribution of the particles over velocities. For the Maxwellian distribution it is :

(15)

i.e. it depends strongly on the wavenumber, and 1m w = wp for k rD = 1. In other words, the Langmuir wave exists if its wavelength exceeds the Debye length.

5

Page 12: Plasma Technology: Fundamentals and Applications

Other types of waves may also be inflicted by the Landa damping. All needed is the existence of particles with the velocities nearly equal to the wave's phase velocity. This condition, for example, cannot be fulfilled for the transverse electromagnetic waves which phase velocity, according to the Eq. (14), is more than the speed of light.

Sometimes there are more fast particles than the slow ones, for example, we can intentionally inject a stream of fast electrons into a plasma. Then instead of the damping there is the growing of the wave amplitude. This is an extremely interesting and important for applications process. Either we excite a proper wave or it already exists due to the thermal noise, this wave will be amplified by a plasma. In this case the plasma is unstable, part of its thermal energy is pumped to the fields giving rise to a density modulation and various structures.

This Landau growing is not the only reason for the plasma instability. There are many other types of instabilities and they can develop in a lot of ways, e.g. a plasma can behave like a heavy fluid poured above a light one. Sometimes instabilities are harmful, and much effort is needed to struggle to them, but they are also often used in numerous applications.

Actually, it is not very easy to find a stable plasma. There are nearly always some instabilities giving rise to chaotic fields, noise, flashes etc.: usually a plasma is turbulent.

Now we are going to discuss three examples illustrating the main plasma features.

IONOSPHERE

Oliver Heaviside guessed at the turn of the century that the Earth was surrounded by a layer of ionized gas. The source of ionization in the upper atmosphere is the ultraviolet radiation of the Sun. The maximum plasma density is the result of the competition between the reduction of the atmospheric pressure and increase of the radiation intensity, and it is about nemax = 106 + 107 cm -3 the altitude about 300-400 km. The density of neutral particles in this F-Iayer is of the order of 108 + 109 cm -3 , i.e. the plasma is weakly ionized.

The temperature of the ionospheric plasma is about 1000 K =0.1 eV, hence the gas parameter (2) is small, rJ =10-4, and the plasma is nearly ideal.

The properties of the ionospheric plasma are very important for the radio communication. To study them let us estimate the plasma frequency of the F-layer. According to the Eq. (12) the plasma frequency is about wpmax = 108 sec -1 corresponding to the radio wave with the length of the order of 10 m. The collisional frequency there is relatively small, V = 103 sec -1 . Therefore, any radio signal with longer wavelength reflects from the F-layer while shorter waves can penetrate through the ionosphere and emanate into the outer space. This is the reason for relatively long waves only being used for direct long-distance radio communications, while shorter waves used for the TV broadcasting are to be re-transmitted by satellites.

The short-wave transparency of the ionosphere allowed us to make the Earth the brightest source of VHF radiation (lm - 10 m) in the solar system. During the past forty years the total radiation of the solar system in this band Significantly increased, the humanity literally eclipsed the Sun with its TV programs.

As the plasma frequency increases with the altitude, the longer waves are reflected from the lower layers of the ionosphere, where electron-neutral collisions play more important role. For the waves about one thousand meters long (w = 105 sec -1 ) the reflection altitude is 80 - 100 km. There the plasma ionization rate is very small, rJ = 10-8 , and the electron-neutral collisional and plasma frequencies are of the same order of magnitude, wp =v = 105 sec -1 . This establishes the long-wave limit for the broadcasting: the waves longer than 1000 m are heavily damped.

These are the main plasma phenomena we come across every day. Actually, the structure and the dynamics of the ionospheric plasma is much more complicated, and nowadays much attention is paid to its study.

6

Page 13: Plasma Technology: Fundamentals and Applications

THERMONUCLEAR PLASMA

During the past fifty years a considerable attention has been paid to another example of a plasma we are going to discuss now. At first, H.Bethe and G.Gamov explained the enormous flux of energy produced by the Sun and the stars as a result of thermonuclear reactions. It seems very attractive to use this energy for human purposes, but the problem is in order to bind nuclei with the same sign of a charge and initiate the nuclear reaction the Coulomb force is to be surmounted. One of the ways is heat matter; calculations show that the desired temperature is about 10 keY -108 deg K. To avoid the loss of energy such a hot plasma has to be well insulated from the walls of a device. It may be done with the help of the magnetic confinement which was proposed by Tamm, Sakharov and Spitzer at the beginning of the fifties.

Generally, the idea of the magnetic confinement is very simple. Any single charged particle moving across the magnetic field rotates and its trajectory looks like a helix reeling the field lines; the larger is the field the smaller is the radius of the helix. A particle can be thrown across the magnetic field at a large distance colliding with another particle or by a strong electric field. Therefore, if we managed to build the magnetic field non-crossing the walls of the device, the diffusion of charged particles across magnetic field would be considerably reduced. This is really possible if we roll the magnetic field into a torus and put it into a toroidal vessel. There are two kinds of such devices called tokomaks and stellarators depending on the way the magnetic field is created.

There are always some nuclear reactions in any plasma, but their energy output is usually small. To achieve the gain of energy, i.e. to obtain more energy from the nuclear reactions than we waste for heating, besides the high temperature the fulfillment of the Lawson's criterion is needed:

(16)

where r is the time duration we have to confine plasma. Moreover, to confine a hot plasma the magnetic field, Eo ,is to be rather large:

EO » 8nn T (17)

If n = 1015 cm -3 and T = 10 keY, then the desired magnetic field, Eo ,is greater than 30 kG, and plasma is to be confined for about 0.1 sec. All these parameters seemed quite reasonable from the point of view of the theory taking into account two-particle collisions only.

Thus, at the beginning of the fifties the intensive studies of plasma heating and confinement were taken up. Unfortunately, the result of these investigations was negative. Soon it turned out that the plasma leaves the magnetic reactor very quickly, few thousand times faster then it was predicted by the theory.

What was the reason for such an anomalous behavior of a plasma? The answer to this question was found at the beginning of the sixties and it was a significant success of the theory of a hot plasma.!t turned out that the inhomogeneous plasma confined by the magnetic field was unstable. The mechanism of this instability is similar to that we mentioned discussing the Landau damping.

There are always some electric currents flowing across the magnetic field over the surface of a plasma. These diamagnetic currents are caused by the inhomogeneity of the plasma; their interaction with the magnetic field maintains the pressure balance necessary for the confinement.

Like a stream of particles injected into a plasma, the diamagnetic currents give rise to the instability and the excitation of the so-called drift waves. As a result, transition layer between the plasma and the vacuum occurs to be filled with random electric fields. This turbulent noise yields to the strong scattering and diffusion of particles across the magnetic field.

This explanation of anomalous energy losses posed the new problem. The intensity of the drift turbulence depends on the size of the transition layer which is of the order of the device scale. Therefore, to increase the confinement time it is necessary to enlarge the reactor. B.Kadomtsev

7

Page 14: Plasma Technology: Fundamentals and Applications

proposed in 1968 the following parameters of the would-be tokomak reactor: the large radius of the torus had to be 300 em, the small radius - 130 em, and the desired magnetic field was about 400 kG. Under these conditions the calculated confinement time was about 1 sec.

One can easily estimate that the energy contained in the magnetic field of such a machine is approximately 8'1010 joule. The most expensive part of the thermonuclear reactor is its magnetic field costing about 0.5 $ per joule. Therefore, the magnetic field only is more than ten billion dollars and it is not easy to get such a mint of money for science.

Actually, nowadays the desired parameters are nearly achieved. The next problem we are facing is the transformation of the kinetic energy of neutrons produced by nuclear reactions to the more suitable electricity, but this is another issue we cannot discuss here.

UHF PLASMA ELECTRONICS

The main purpose of devices we are going to describe now is the generation of powerful electromagnetic radiation. This application is based upon the beam-plasma instability predicted by Akhiezer, Fainberg, Bohm and Gross in 1949.

Let us recollect the discussion of the Langmuir oscillations and suppose that besides the background plasma there is another group of particles moving with the velocity, u, much greater than the thermal one. For example, we can inject an electron beam into a plasma. Writing down the equations of motion (7) for both groups of electrons we can easily obtain the dielectric permittivity of the two-component beam-plasma system:

E(W,k)=I-~- ~ a} (W_ku)2

(18)

where Wb is the plasma frequency of the beam given by the Eq. (12) with the replacement n -+ nb • The condition for existence of the oscillations is E ( W ,k ) = 0 , that is the forth-order equation for the frequency, W. Assuming that the beam's density is small, non, it can be easily solved yielding to

W =Wp + irCk) (19)

where the growth rate, y(k) , takes its maximum value,

(11b) 1/3 ymax=Wp 11

at the wave vector k = Wp I u .

Thus, the electron beam selects and amplifies a wave which phase velocity is nearly equal to the beam's one. There is a close relationship between the Landau damping or growing and the beam-plasma instability. In fact, both of them are caused by the interaction of particles and waves and both are of the collective nature; the main difference is the beam may often be considered as the monoenergetic one.

The Langmuir waves excited by the beam have to be transformed to the electromagnetic waves. This problem may be solved by getting the phase velocity of the Langmuir wave nearer to the speed of light, i.e. by using the relativistic electron beams.

The typical device for generation of the electromagnetic waves is a waveguide filled with a plasma and immersed into a strong magnetic field. The relativistic electron beam injected from one end of the waveguide propagates along the magnetic field and excites some waves which are transformed to the electromagnetic ones and emitted from the other end of the tube.

8

Page 15: Plasma Technology: Fundamentals and Applications

The main advantages of the plasma generators are their high efficiency, about 30% of beam's energy may be transformed into the waves, and the ability of sustaining a very large electric field. Moreover, the beam current in a plasma may also be much greater than the current in a vacuum tube.

Although the plasma generators are relatively new devices, they can already compete with more traditional vacuum sources of radio waves. For example, few years ago the high power plasma generator was constructed in General Physics Institute. It emitted the pulses of radio waves about 3 em long with duration 4 nsec and the total power 400 MW. At that the electron beam with the current 6 kA and the energy 500 ke V was used, i.e. the efficiency was about 10%. These devices seem to be extremely promising.

CONCLUSIONS

We have discussed three main plasma phenomena and three randomly chosen applications. Although it is impossible even to list everything we have not mentioned, we would like to add few words about the methods of investigations. Plasma physics, as any other branch of phisics, uses theoretical and experimental methods. Besides these, plasma phisics invented an intermediate way called computational physics.

The essence of this approach is the simultaneous solution, with the help of the computer, of the large number of equations of motion for plasma particles. Modern computers allow to trace few hundred throusand particles, therefore, it is possible to know everything about every particle and the plasma. Here the new problem arises: how can we deal with such an amount of information? The only way is extract more habitual averaged quantities or collective variables and compare them with predictions of a theory and results of a real phisical experiment. The coincidence itself of the computer simulations and the real experiment does not prove anything, except, perhaps, the good accuracy of the calculations and the validity of the Newton's laws, but the syntesis of all three approaches yields to the new level of our knowledge.

9

Page 16: Plasma Technology: Fundamentals and Applications

LASER DIAGNOSTICS OF PLASMAS

Lev Pyatnitsky

Insitute for High Temperatures USSR Academy of Sciences Moscow, USSR

PLASMAS AND DIAGNOSTICS

A plasma, as an assembly of electrons, ions and neutrals, has already been surveyed, and its diagnostics problem is to be discussed. A general feature of plasmas is their particle interactions with each other and with electric and magnetic fields externally applied, or resulting from these interections. Their totality veils individual plasma processes, and the plasma diagnostics may happen to be rather involved and ambiguous task. Measurements of the same parameter yield the results, which sometimes may differ as much as dosen times. It was D.A.Franck-Kamenetsky, who pictured a vivid account of the problem, comparing the diagnostics to a patient examination. If, he said, an ordinary gas used to answer a physician's questions, a plasma rather moos like a dumb animal.

The plasma diagnostics provides: the selection of a plasma parameter set to reflect the necessary features of the process under investigation; the physical model elaboration to calculate the parameters, when signals of certain gauges have been detected; the diagnostics facilities designing.

There may be a number of parameters to discribe a wide variety of the plasma states, and many methods l - 15 to measure these ones. Each of these methods, so particular itself, can be employed within fairly narrow limits, which are set by running model peculiarities. But on the whole, they cover quite a broad ranges of plasma parameter values. As to electron densitr and temperature, for instance, the ranges spread, respectively, from l09 cm- up to l025cm-3, and from l03K up to l08K.

It is not the physical variables themselves, but their Fourier-images are used to discribe electromagnetic phenomena in plasmas. In these terms the complex tensor c(w,k) is considered instead of the usual permittivity c1j(t,r), and all the variables are represented as follows:

(1)

Electrodynamic equation set has a solution if its determinant equals zero:

2 2

Ik 0 - k k - ~ C (w,k) I =0. i j i j c2 1 j

(2)

This equation determines a dispersion law k(w) for a plasma, being refered to as the dispersion relation. Its form depends on a real expression for c, or on a plasma model. With isotropic plasmas equation (2) converts into two

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 11

Page 17: Plasma Technology: Fundamentals and Applications

independent equations, for longitudinal, Ellk, and transverse, E..Lk, waves. Moreover, a cold plasma model (heat motion of plasma partJcles is neglected) has a diagonal tensor £ (w,k), which does not depend on k either:

Ij

2 W

£(w) =1- -p- , w(w+iv)

(3)

w is a plasma frequency, v is an electron effective collision frequency. TRen the dispersion relations for the longitudinal and transverse waves respectively are

2 W

2 W

(2a)

(2b)

The frequency spectrum (2b) shows, that its solution is valid for w>w , and so the transverse wave at high frequencies will only penetrate the pl£sma.

In the presence of magnetic fields the equation (2) does not reduce to separate relations like (2a,b), and there are not any exact longitudinal or transverse waves in the plasma. Though, at high frequencies, W>W , when also w»w (w is an electron cyclotron frequency), (2) approxi~etes to the sp~8tr~e(2b). There may then exist two transverse waves, ordinary and unordinary ones. As they propagate with the velocities, which are nearly the same, so they may be considered as the one transverse wave with a slow rotating p!ane of polarization. This phenomenon is used to measure a magnetic field B. As to atoms, their contribution to £ is of the form:

(4)

where polarizability '1 ='1' +i'1" = \' w \' '1 is defined in quantum mechanics. a a a L pL pq The diagnostic information has to arise as a result of an interaction

of a plasma with some kind of primary gauges. The laser radiation does play the part of such a primary gauge. Its properties like coherence, divergence, monochromaticity, and others are used with the laser diagnostic techniques.

INTERFEROMETRY

An interferometry deals with a propagation of those transverse waves. In this context the equation (2) defines a projection of vector k, or of a refractive index N=(c/w)k, on a given direction: N(w,S)= ~ + i~. Here ~ is a refractive index proper, and ~ is an absorptivity. While propagating the wave phase is changing. This phase shift ~ along with the distance 1 takes the form:

~ =~L c '

(5)

where L=~l is an optical path length. For isotropic plasma in virtue of (3)

and (4): 2

1 w

1+21lL 'l'n -p (6) ~ a a 2' 2 2

W + V

2

_1 (41lL 'I" n + w

~ = p ~). (7) 2~ a a 2 2 W

W + v

A laser interferometer includes not less then two conformed resonators. At least one of them has to be an active (laser) resonator. The other may be a passive one (Fabry-Perot etalon). The conformity of the resonators

12

Page 18: Plasma Technology: Fundamentals and Applications

means a correlation of their mode frequencies wand mode volumes, or r 2 (z), to be exact:

n:e [. l+m+n w = T J+ --n:--

r 2 (z) = < [1+( ~:: )2]-o

(8)

Here j is an interference order number of the axial mode, and m,n are the numbers of the angular one; R ,R are curvature radii of resonator mirrors; r =r(z=O) is the mode waist r~dias.

o A spread function of the system is alike the Fabry-Perot interferometer transmittancy. The number j really equals double optical path length of the resonator, 2L, divided by the wavelength A. The spread function has the main maxima at ~=2n:j points, the difference between the neighbouring ones being 2n:, one interference order. The maximum half-width used to be some small fraction « of one order. It depends on the mirror reflectivity p: «=(1_p)ln:pl/2. A phase ~ can be represented in terms of the order number, q, as well. Then (S) can be rewritten:

q=~ Jl1. n:e

(Sa)

Now it is clear, changes of a resonator length L, or of a refractive index Jl, or of a radiation frequency w result in a variation oq of q:

oq = 01 q T

01-1 oW + - + - . 1-1 w

(9)

The possible methods of a plasma interferometry immediately follow. 1. A plasma is placed in a passive resonator. A laser probing beam at a constant frequency is directed into it, so that ow=O. A variation of the refractive index from Jl to I-I+oJl shifts a spread function to oq=(wln:c)loJl, and the resonator transmittance T becomes T+oT. The spread function, previously tested, lets to know oT and, hence, to calculate 01-1. 2. A plasma arises in an active resonator (index 1 marks its parameters). As a laser mode persists in its generation, oq =0, there is a change in its frequency, oW =-(w IL )101-1. On the contrary, lin a passive resonator oL=O, and its orderlchankeslvia the laser frequency shift, oq=(LIn:e)ow , which follows oq=-(w In:c) (LIL )101-1. Provided L=L it will be equivaleAt to the previous case. 1 1 1

3. A laser frequency shift may be measured by another way. Let two lasers to eradiate at frequencies wand w in q and q modes. A plasma arising in the laser 1 shifts w of ~ mode~ andlow =(w 7L )oL. A difference of the frequencies Q=w -w l(in alcurrent spectr~m bf ~ detector) deviates, and oQ=ow =(w IL )oL . lAs 20L =101-1, the measurement of oq solves the task.

~o, thelint~rferomet~r gives time dependent plasfua parameters P(t), if, of cause, their dependences on the refractive index 1-1 are known. They can be obtained, for instance, from (6):

(0)

Here the sum \ r n corresponds to initial state of gas, and can easily L ao ao be calculated. But densities of electrons n and atoms n in a plasma are unknown. Generally, when there are K kinds 6f atoms and Ba equations of substance balance, K+2-B equations are needed to determine all the particle densities, including gas expansion (translational temperature), which is unknown as well. If it does that, the equations like oq(w )=f (n ,n ) for i=K+2-B frequensies w fill up the lack. The system of e4uatlon~ dges not

1

13

Page 19: Plasma Technology: Fundamentals and Applications

reduce to indeterminate one, because of dispersion differences in various plasma components.

The problem becomes quite simple, when the contribution of atoms (or electrons) to the refractive index ~ can be neglected. Then, as (10) says, oq measuring gives an opportunity to find n (or n ) at once. The regions of such a simple procedure being held are s~en in aFig. 1 for argon plasmas. In particular, the contribution of atoms is small at low frequencies. But it should be taken into account, that the influence of density gradients Vn, particle collision processes, and magnetic field effects on the probing wave propagation increases in this region. The factors of the list result in additional mode excitations, broadening of interference maxima, and shifting and splitting of frequencies, respectively.

For example, a field of the gradient Vn deflects the probing beam for an angle ~: e

2 1/1= 2ne 1

2 mw

gll..<O'>!"!~~:'J~ rLe ~~::: ~~tt)~dc<:.

n.Ar

Vn -----e--sin(Vn ,kYo

2 e (1- 2ne n //2

2 e mw

(11 )

C)~<o <»"> "''''.., S grt)~ l'.:c:)~':~ ~

net .,... ........ C\J ... "' .... 0C<::;

cm- 3

10 18

10 16

10 lit

10 '2

Fig. 1. Operation regions Fig. 2. Limits of n (w) e

An interferometer keeps its operating mode, till the angle 1/1 is small as compared with angular divergence ~ of the resonator mode, 1/1<~. The relation (11) can naturally be used to evaluate the top limit of the gradient Vn . On the other hand, relations like (11) give an opportunity to determin~ the very parameters, in particular the gradients, the collision frequency, the magnetic field, which have prevented from the measurements.

Limit values of n (n) themselves are determined by extreme values of oq, which can be regi§ter~d. It is convinient for practical purposes to have a set of functions like n (w) for different oq , corresponding to lower limits, as it is shown in Fig. e2. Upper limits are1conditioned by a manner of the interferometer operation. With precise measurements, oq being within one maximum of interference, the upper limit oq depends on a half-width a of the maximum, for instance, oq=3a (in other cMses electronics frequency band can restrict this limit). Setting A=l~, a=0.02, L=50cm, oT =5*10-~ one has oq =10-4 , oq =0.06, which follows (n ) =1012cm-3 , (n ) =5.1014cm- . Note, whil~ a measurgment is on, the interfefofueter resonatgr~ should be stable according to the conditions:

~ ~ < ~oT (12) L 'w q

In the case above it is oL<10-7 cm, ow<106s-1 • Thus, interferometry in the line with great possibilities demonstrates rigorous requirements to the resonator and radiating source quality.

14

Page 20: Plasma Technology: Fundamentals and Applications

Time resolution of the system is connected with the resonator responce and looks like T=2mLlc«, where m is a number conditioned by the accuracy, which is wanted. In the example above m=3, so that T=10-7s. With lasers, especially with coupled systems, this time grows strong. It is Ingelstam relation, 4~X~Y~L>qA3, which restricts spatial resolution along, ~L, and across, ~X,~Y, the probing beam. In tha~ example ~X=~Y=2r=0.24cm.

A schematic diagram of a three-colour laser interferometer16 is given in Fig. 3. The He-Ne laser eradiates simultaneously at the wavelengths of 0.63~, 1.15~ and 3.39~, in one mode for everyone. The laser radiation, by the mirrors 2, is directed into a ring resonator 3-6, and then (through filters) to detectors 7-9. ADCs of a CAMAC station collect the detector outputs. The signal of the detector 7 (0.63~) is used in a length control system 10 as well. This system monitors the resonator mirrors, fixed on piezoelements, to improve mechanical and thermal stabilities. It keeps the interferometer transmittance (0.63~) being unchangeable, a fiducial point, as it is called. Two other lines of the laser, 1.15~ and 3.39~, are set in their fiducial points by the optical disperser 11. The control system 10 can make up only slow changes of the resonator path lengths. In this case 0.63~ line is only used for the length control, so that there are two lines, 1.15~ 1.39~ for measurements. But it is just transient plasmas, for which the refractive index is registered with all the three lines simulteneousel~.

Fig. 4 represents some results of this interferometer application16,l •

An electric discharge with 250A current pulse of 35~s duration formed a plasma in air at pressure 270Pa. The experiments were produced under control of a computer. It provided recording the spread functions, Fig. 4a, and interferogram-chronograms, Fig. 4b. Densities of electrons and atoms, along with the discharge current I are shown in Fig. 4c as functions of time.

Such kind of interferometers can be successfully employed to control plasmotrons, gas mixers, and other technologies.

THOMSON SCATTERING

The light scattering cross section due to a single electron is called the Thomson cross section and is given by (Sn/3)r2 , r being the classical electron radius r =e2Imc2=3.10-13cm. The plasma sgatt~ring, with a great number of electrogs, occurs from density fluctuations. They are, as a matter of fact, those longitudinal oscillations (waves), for which there is just the dispersion relation (2a), or its modifications, including the thermal motion of plasma particles, magnetic fields, etc.

The power scattered at a frequency w in a direction ~, ~ wihtin a solid angle 8 is

dW = W In IT (1-sin2~cos2~)d8 . o e w

(13)

W is an incident power, 1 is a length of the volume, where the scattered rgdiation is collected from, and ITw the scattering cross section,

IT W

« = c -.-~

wSIn""2

X=(w-w )Iw, Y=(w-w )Iw are o e 0 I

W = 2W-~ 2kTe . fj e c m SIn ""2 ;

[;2 ne kT ;

e

r r~:Y) 1 T

{32 = z~ T.

1

dimentionless frequencies

w.=~ ~ 2kTi . fj 1 C /'1 sln2:

(14)

(15)

scaled by

(16)

15

Page 21: Plasma Technology: Fundamentals and Applications

16

a.

2 1

~---~

I I

~-~ Fig. 3 Three colour laser interferometer

T

a O,63.ft 0 1 1

l,f5p

0 a 1 f

°a 3,3¥ 0

0 A2 2i\..: 0 50 tOO .#5

Calibration b. Experiment

nllr , fof6cm-3 net fofScm-3

f'f ?

10 S

6 3

2 1

10 20 30

c. Plasma parameters

Fig. 4. Features of electric discharge plasma

Page 22: Plasma Technology: Fundamentals and Applications

ra(x) as well as r~(Y) has been represented by E.E.5alpeter in the form:

(17)

Spectral distribution r (X) results from thr electrons as independent particles, whereas r~(Y) is adue to their interaction with ions, within the Debue spheres, as it is seen from the scales of (16). So, they can be named as electron and ion components of the spectrum respectively. A problem arises, how to interpret the spectra having been observed. The best adventage would be to follow an example. Let it be taken r (X), which is plotted in Fig. 5 for the set of a parameter ranging from Oato 4.

The function is clear to depend on a parameter, which is unknown a priori, as well as nand T. But it may be found by spectra comparing in terms of frequencies eQ=w_w eand X. The matter is that in the terms the function r (X) is similar agd fits an observed spectrum W(Q), if a is an exact trueavalue. The best features for the comparing are a half-width of the spectra, ~Q and ~X, and a location of their maximum, Q and X. Then, the s1milarity relation, ~Q/Q =~XIX , along with additional 1 functi6ns like ~XIX =F(a) and X =G(a), deriv~d frofu r (X), allows to distinguish the a meaning, and thefeafter to calculate na and T :

e e

n =~ (a~)2. e 2 x '

mc2 (Q1) 2 T =----: -e Bksin2 ~ wX1 .

(18)

rd.. 0.8

0.1i

0.4 0.2

BTle 1

o 2.0 .3.0 X

Fig. 5. Function ra(x)

tPd.. 0. 8

0.6

0.4

0.2

o 2.0 J.O X

Fig. 6. Function r~(Y)

In the limit of a»l the scatterIng occurs at the plasma oscillation frequency w. There arises a resonant maximum (a satellite) in the spec~ra, and n is dgrived directly from the equality Q=w. In the opposite limit, a«l, eplasma electrons may be treated as having g random distribution, so the spectrum may be regarded as the Fourier transformation of the electron distribution function f(v). The inverce procedure gives the function f(v).

The point is that the spectrum similarity exists, while the aperture e being infinitesimal. But in practice there is an urgent need to enlarge it as much as possible. So that ta(X) function7 is used instead of ra(x):

t (X) a

1 . 2 2 SS -SIn ~ COS ~

e siniJ sin~ 2

r (X) de, a

(19)

Here a and X are defined for some direction ~, ~, centered on the aperture axis, for instance. The function t (X) is plotted in Fig. 6, the scattering angle being ~=45° and the effectiveaaperture dlf=112. In compare with the diagram in Fig. 5 it shows an aperture influence fairly well.

17

Page 23: Plasma Technology: Fundamentals and Applications

While ~,e being fixed, ~ (X) function may be used in the same manner as r (X). But it must be emp~asized, that the integral (19) is written not onlyUfor the fixed ~,e, but for the constant n ,T , and for the plane wave front of the probing beam as well. If it is n&t e that , the aperture effects increase further more, especially with small angles~. That is why axicons are used in this case instead of an ordinary lens. The axicon forms, quite a different from a lens, a long and thin (about lOA) beam18 with intensity transverse distribution like Besselian J (r). When p>O, the probing beam

p

becomes a hollow cylinder. It provides the plasma diagnostics with the highest space resolving.

Generally, it is the scattering technique, that has the highest space and time resolution, including microns and picoseconds. However, more resolution happens to narrow the regions of parameters to be measured. It occures, because of the Thomson scattering cross section being extremely small. It means that the scattered power is small, first, as an absolute value, and, second, as to a plasma or parasitic (stray) radiations. So, it is reasonable to introduce absolute and relative limits. The formers are set by the electron shot noise. With this the upper limit deals with the

ne,cm-3 ,-_________ ----,

1020

5

10'

Fig. 7. Limits of measurements

laser power restrictions due to a plasma heating or to a cut-off phenomenon, whereas the lower limit exists due to the laser induced breakdown. Those are represented by the lines 1-3 in Fig. 7. Here the line 4 is the upper relative limit. It corresponds to the condition, when the spectral contour of a scattered radiation is registered at the level of the plasma background noise. And the line S is the lower relative limit, when the scattering competes with the stray radiation. The stray bandwidth is nearly that of the laser line, but its diffraction distribution spreads allover the spectrum of the scattered light. This limit has been plotted in the Fig. 7 for the stray power 103 times as large than the total power scattered by

16 -3 a plasma with electron densi ty of 10 em . The parameter ranges in Fig. 7 has been compute red for the equipment

features which follows: a wavelength A=694.3nm, a pulse time SOns, an angle ~=90o, an aperture dlf=112, a spectrometer dispertion O.Snmlmm, and ten channels. A facility improvement enlarges the ranges. In practice still only one limit is needed to be changed, and it can be easily done as a rule. For instance, the limit S goes down to Sa, when an ordinary spectrometer is displaced by a double monochromator.

18

Page 24: Plasma Technology: Fundamentals and Applications

strip o

6943A

, .

light mark

laser o

6943A , . -1" ·t' .. . iif .. : .:./. . , • fl " , ..' .. ' '.' .', ..

' . , '.' .c, .

-fO -IO 0 ,0 , , 0

A).,A

lOOns ---o

Ak = -7A

2,0 -2P -,0 q 0

A.). ,A.

lOOn, -----..I j------f I I = I

• o

U = -21A

Fig. 8. Scattering signals from 20%H2+80%Ar plasma

V, arb .---- ...... ---.- .A-A-<-O-,

fa

5

O·AA >0 •. 'Ws o-Ie

a J fa 15 20 AA,A

Fig, 9. Wand W from 20%H +80%Ar plasma e s 2

IOI

19

Page 25: Plasma Technology: Fundamentals and Applications

Fig. 8 gives a picturesque illustration. There are there 4 photographs of an image converter screen. The two upper frames contain the stray light only, W , and the lower ones show both the stray light and the electron compone~t spectrum, W +W. But the frames a and c have been produced with a spectrometer, whereasSth~ frames band d, as well as the occillograms e and f, - with a double monochromator. The double monochromatization is evident to supress the stray light completely.

It was this technique run to diagnose the plasma of a high frequency (915 MHz) plasmatron. The plasma was created in mixtures of hydrogen with some noble gases. A previous analysis of HB, H , Ho lines had no results as to reliable information about the electron t~mperature, because there appeared to be a lack of balance in H-Ievel popUlations. Some data should lead to T =106K. The scattering technique was used with the ruby laser of A=694.3nm~ the pulse energy 4J, the scattering volume length of not more than 0.7mm, the plasma diameter 2.Smm, ~=4So. The image converter and some photomultipliers fixed scattered radiation. The signal examples have been illustrated in Fig. 8 with 20%H +80%Ar plasma. The spectrum is shown in Fig. 9. The spectrum in Fig.9 ~epresents an electron component, W , and a stray light, W , in different scales, as the dependence on the wav~length shift, ~A=A-A ~ The circles are photomultiplier measurements, the squares are an image gonverter's. ~ (X) function fits these dots best of all, when 0:=0. 8±0. 1. It gives n ~(1. 8±0. 3)1015cm-3 and T =(12±1 )103K to confirm that significant nonequilibfium, which has been met ~ith.

'We, arb

1.0

as

Wi/arb

1.0

';Iao SIa4 SlaB Slf.2 ';14,3" -a3 -a2 -al 0 af a2 a3. A,nm 6A,fI

Fig. 10. Spectra of radiation at S14.Snm, scattered from N plasma 2

There may be realised a plasma probing with CW lasers following by a lock-in technique like in experiments below1? An arc plasma was studied in nitrogen at atmospheric pressure, with CW argon laser of 2W power. The electron, W , and ion, W , spectra (the angle ~=90o, the scattering length O.lmm, the plasma diamet~r 2mm) are plotted in Fig. 10. Then, the features both of electrons, n , T , and ions, n ,Z (z is a mean charge of ionsf are: electrons - 0: = 2.7e± 0~1; T =(14.6 1± 1.2)103K; n =(1.5 ± 0.1)101 cm;3; ions - ~ = 0.9S± 0.05; Te =(13.0 ± 0.S)103K; ze= 1.2 ± 0.2. These data, by the way, reveal ! nonequilibrium of some other kind, namely, a difference between electron and ion temperatures, at atmospheric pressure to be noted.

The scattering as a diagnostics is applied to investigate many features of plasmas, so as magnetic fields, overthermal fluctuations, instabilities. A long-wave radiation, or two laser beam technique is used in these cases as a rule. With wide-spread weakly ionized plasmas, parameters of neutrals are of interest. The population of atom and ion levels, as it has been shown above, and also the electron distribution function are important to know in nonequilibrium plasmas. Some of the features will be discussed in the next section.

20

Page 26: Plasma Technology: Fundamentals and Applications

POLARIMETRY

Rayleigh scattering is easy to apply for measurements of atom density and translational temperature. The scattering at atom resonances. known as a resonance fluorescence, is enhan~ed ~any order times above the ordinary scattering level. And it is simple to be distinguished among the other kinds of scattering, while its interpretation can be rather a problem.

The resonance fluorescence itself used to be studied with a powerful radiation. But still, for the laser induced fluorescence as a diagnostics it is better to have the radiation as weak as possible for a scattered power being linear to an incident one. An example20 of the resonance fluorescence responce. W , can be seen in Fig. 11 along with the ion component. W • and the stray light, W , for argon arc plasma. An argon laser radiation~ in the figure A=488nm, wa~ polarized in the arc lIaxis direction. There are two responce spectra, with parallel (left), W , and perpendicular (right). W~, polarizations. The linearity had been fo&nd to persist up to intensitie§ of 104W/cm2. At any rate, the conformity between the spectral contours of the fluorescent and spontaneous emission lines had been observed in this region. On this base the fluorescence cross section and the upper level population of the radiative transition were evaluated21 . While everything was clear with this technique, the depolarization was strange in its behaviour, and it required the more careful study. Some digression is needed at this point12.

~ ~------------------.-------------------~~j 1.0 1.0

as as

Fig. 11. Resonance fluorescence depolarization

An assembly of atoms (ions) as a statistical mixture of quantum states is discribed by a density matrix~, the classical distribution function generalization. A meaning of some variable A results from the operation Tr(~~), where an operator ~ corresponds to the variable A. In a plasma with its usual axial simmetry ~ may be expanded into a series of orthogonal unreducible tensor operators T:

~ = L p~~)(mJ,m'J') T(mJ,m'J'). (20)

where J is(a)full angular momentum of a state. m denotes other quantum numbers, T ~ is a teps9r operator of ~ rank, IJ-J' I~ ~ ~IJ6J' I, -~ ~ q ~ ~. Diagonal( ,lements p ~ (mJ,mJ) of the matrix ~ are polarization momenta: a sfaJar p 0 is a fullqpopulation of {mJ} state of thr ,ssembly. a vector p 2 is ~ts magnetic dipole momentum, and a tensor p 2 implies an electric qfiadrupole momentum. or so called the alignment. q

These polarization momenta answer for the ensemble radiation properties which. in its turn. are characterized by Stokes parameters S (j=O •..• 3). S parameter defines full intensity of the radiation, S cortesponds to a l~near polarization degree P, S is the similar value bbt relatively turned to 450 axis. S is an intensity2difference of the radiations with right and lrfj circular ~olarisatifnJ. At the same t(mj' S depends on the population p 0 and the fl~gnment p 2 , Sand S on p 2 only, and S is proportional tg a vector p 1 project~on on1some g~ven d~rection. 3

q

21

Page 27: Plasma Technology: Fundamentals and Applications

Now. the polarization of a plasma ensemble can be excited by a resonant radiation flow. or by an electron stream. The radiation intensity I(k). as well as the electron distrib~t~on function [(v.r). can be expended in series of the spherical harmonics Y r :

q

- - L (r) - (r) [(v,r)= [ (v,r) Y (~,~) q q

(21)

where I(r)are multiposes of the inten?iry. [(r)are multipole momenta of the electroa disrr}bution function; and [0 is ~efered to f ?ensity of the electrons. [1 to a vector of their adrift velocity. [2 to a tensor of their flow m3mentum. or anisotropic pressure. as it is 3alled. Furthermore. tpe)spheric harmonic orthogonality fOllowfl~. that the polarization momenta p r correspond to tpe)proper multipoles I r of the radiation. or to the mfiltipole momenta [ r of the distributionqfunction. Hence. the alignment and. respectively. qthe linear polarization are induced by both the resonant radiation and by the electrons due to their anisotropic pressure.

Thus. the equipment for measurements of the Stokes parameters is necessary to study the polarization phenomena. For this purpose a Fourier spectrometer22• 12 has been disigned as a complete polarimeter operating even during a fluorescence burst pulse. The way it operates can be understood from Fig. 12. The beam 1 of radiation under investigation falls on the DKDP cristal phase modulator 2. controlled by a generator at frequency Q. and then. on two prisms 3 of the polarization analyser. One of the prisms has axes collinear to the modulator axes. while the other 450 inclined. The analyser provides four output beams. going through filters to detectors 4. Data processing along with error analysis is made by a computer. connected with the experimental set-up via CAMAC unit.

It was the polarimeter continuous operation that was performed using the fluorescence radiation from arc Ne+1%Ar plasma. excited by CW argon laser. Its signal records for ArII 488nm line are shown in Fig. 13 (left). Lock-in technique with a chopper frequency F was exploited to detect the signals. The Stokes parameters S (or S ). S • and S were detected on the frequencies F+2Q. F+Q and F respe~tively~ No~e. thatOafter approximation by the ideal line shape (right in Fig. 13) mean square error in S parameters did not exceeded 1%. and their measuring at different laser intensities allowed extrapolation to zero intencity. Wit~ the darra it was easy to calculate the linear polarization degree P=(W _w1.}/(W +W1.). Indices "u" and ".I." denoted orthogonal directions. "u" direction was collinear with the arc axis and polarization of the incident wave.

The polarization degree p. as a dependence on the arc current I. is presented in Fig. 14 for the fluorescence lines of 488nm. 514.5nm. 442.6nm. Curves 1 and 2 on the diagram deal with 488nm line. but have been computered at different assumptions. The first one has been estimated without any collisional dumping. whereas the second. quite opposite. with the collision depolarization effect. As it is seen. the experimental quantities have some intermediate positions between the two. In any case. the dots lay above the curve 2. which the quantities of P would have to correspond to. in isotropic plasma at atmospheric prassure. In other words. there was a mechanism to promote the polarization. To reveal it Ar and Ne+l%Ar plasmas were studied.

First of all. a radial distribution of the Stokes parameters were measured for Arl. ArII and NeI lines. It turned out that the polarization direction angle 9 correlates with the radius r across the plasma. In the center. r=O. the polarization collinear with the arc axis direction. 9=0. but it is inclining up to 9=450 with r increasing. It is demonstrated in Fig. 15. errors of measurements being of 90% expectation12 .

The search for the mechanism was successful on the base of these data. The running polarization is conditioned by the nonequilibrious alignment. which results. first. from the excitation of atom states by fast electron impacts owing to the distribution function anisotropy. and. second. from the

22

Page 28: Plasma Technology: Fundamentals and Applications

Fig. 12 Schematic diagram of the polarimeter

s._ arb p, 0/0 ~----:--:----..,

Fig. 13. Stokes parameters

Ne+1%Ar f 40 ____ ~_

30 ~nhl ,.!88nm ~ ........

20 'R;Sf4.5"nm ~ 10----~

24 30 3tJ 42 I,A Fig. 14. Polarization degree

L tt ,..---------, Pma;x .iI = 488nm

1.0 60

40 20

o Q2 Q4 as tro a2 0.4 Q6 'Iro o-488nm; x-480.Snm; v-4?o.2 nm

Fig. 15. Radial features of polarization

23

Page 29: Plasma Technology: Fundamentals and Applications

alignment transfer to an upper level by the resonant radiation. Accurate measurements (by Thomson scattering) of the plasma parameters

across the arc have shown, that there are two reasons why the anisotropy exists. Along the arc axis it is naturally created by the arc electric field. But in the radial direction it arises due to gradients of the plasma parameters, especially to electron density gradients. The point is that this anisotropy is inherent mainly to those electrons which energy exceeds about 10eV. They are those ones exciting the atom states and polarizing the atom ensemble. On the contrary, thermal and under-thermal electrons, having isotropic distribution function, dump the alignment.

The fl~gnment ~nduction by the direct processes, so as the connection between f ~ and p ~, make it possible to evaluate P(r), which is represented in Fig.1Sq by sol~d curve for the radiation line of 488nm. Also two radial dependences e(r) for the electron energies of 13 and 19 eV are plotted in the figure for comparison. They distiguish the most effective range of the electron energy.

Fig. 16 gives a picturesque representatiop 3f the electron distribution function as a set of three multipole momenta f ~,~=O,1,2. There are their angular diagrams for the electron energy of 9,q21, 33 eV, and for the radius of 0.2, 0.4, 0.6, 0.8 (in relative units). It can be easily seen, that the above mentioned anisotropy just increases as the radius and the electron energy grow. Still, it is doubtful there happen to exist a great number of the high energy electrons in the plasma.

An investigation of a relativistic electron beam propagation through the argon at pressure of 25Pa can be refered to as an application example of the polarization diagnostics12. The beam with electron energy of 3S0keV has had a current of lSA during 40~s. So that a quasi-steady stage of the beam propagation has probably been observed. The polarization of argon 488nm line across the beam is shown in Fig. 17 (left). This kind of its behavior is connected with the secondary electrons, which are most effective in the ionization and excitation of argon atoms. A typical angular diagram for their distribution function can be seen in Fig. 17 (right). The anisotropy of the function seems to arise due to the powerful radial stream of the secondary electrons. As the analysis says, their energy decreases with the radius from (400±100)eV at the axis to (100±30)eV at the distance 1cm away from9 th~3 axis. The basic electron beam has its d1.l{sit¥3 at_}he level of 2*10 cm , while the plasma density is of order 10 -10 cm .

It is ought to be noted once more, that as the electrons, so the laser radiation contribute to the alignment and, consequently, to the fluorescence polarization. Their contributions are additive, but while the passive polarimetry provides the information about the upper level of a raviative transition, the resonance fluorescence technique gives the opportunity to watch the lower level state.

CONCLUSION

This consideration does not cover, of cause, all the possibilities of laser diagnostics. Moreover, this technique has only been demonstrated with some laboratory plasmas. And yet there may also be some other applications.

As to interferometry, it can be used in technology control. It is ionosphere, where the Thomson scattering has first been applied

as a diagnostic tool to spread then over high and low temperature plasmas. The last example has just shown that polarimetry is of a good outlook

in applied plasma diagnostics. The matter is that electric fields as well as parameter gradiens are rather common for plasmas. Similar technique has already been used in astrophysics, in solar halo investigations, for instance.

24

Page 30: Plasma Technology: Fundamentals and Applications

Fig. 16. Angular momenta of electron distribution function in argon arc plasma

P, %

40 +

Polarization of ArII 488nm line Angular diagram

Fig. 17. Relativistic electron beam propagation through argon

25

Page 31: Plasma Technology: Fundamentals and Applications

REFERENCES

26

1. H. R. Griem, "Plasma spectroscopy", McGraw-Hill Book Company, New-York et al (1964); "Spectral line broadening by plasmas", Academic Press, New-York, London (1974).

2. "Plasma diagnostic techniques", ed. R. H. Huddlstone and S. L. Leonard, Academic Press, New-York, London (1965).

3. M. A. Heald, C. B. Wharton, "Plasma diagnostics with microwaves", J. Wiley and Sons inc., New-York et al (1966).

4. "Plasma diagnostics", ed. W. Lockte-Holtgreven, North-Holland Publishing Company, Amsterdam (1968).

5. U. Ascoli-Bartoli, M. Badiali, F. De Marko, L.Duscin, L. Pieroni, "Sondaggio di un plasma inomogeneo con onde elettromagnetiche", Laboratori Gas Ionizzati del C.N.E.N.-Frascati, Roma (1970).

6. J. Sheffield, "Plasma scattering of electromagnetic radtation" , Academic Press, New-York et al (1975).

7. L. N. Pyatnitsky, "Laser diagnostics of plasmas" (in Russian), Atomizdat, Moscow (1976).

8. F. Durst, A. Melling, J. N. Whitelaw, "Principles and practice of laser-Doppler anemometry", Academic Press, London et al (1976).

9. "Diagnostics for fusion experiments: Proc. of the course, Varenna, Italy", ed. E. Singoni, A. C. Wharton, Pergamon Press, Oxford et aI, (1979).

10. S. Stenholm, "Foundations of laser spectroscopy", Wiley Interscience Publication, New-York et al (1984).

11. A. N. Zaidel, Application of holographic interferometry for plasma diagnostics, Soviet Physics Uspekhy, 29:5:447 (1986).

12. S. A. Edelman, S. A. Kazantzev, N. Y. Polynovskaia, L. N. Pyatnitsky, Polarization of atomic ensembles in ionized gases, Soviet Physics Uspekhy, 31:9:3 (1988).

13. "Plasma diagnostics" v.1, v.2, ed. O. Auciello, D. L. Flamm, Academic Press, Boston et aI, (1989).

14. "Dense plasma diagnostics" (in Russian), ed. N. G. Basov, Nauka, Moscow (1989).

15. "Plasma diagnostics" (in Russian), ed. M. 1. Pergament, Atomizdat, Moscow, (1989); idem, 1963, 1968, 1973, 1981, 1986.

16. L. N. Pyatnitsky, V. A. Fonkin, G. G. Yakushev, "Multicolour laser interferometer for plasma diagnostics" (in Russian), preprint n 5-152, IVTAN, Moscow (1985).

17. L. N. Pyatnitsky, S. L. Rack, V. A. Fonkin, G. G. Yakushev, Physica C, 124:4:135 (1984).

18. L. N. Pyatnitsky, L. Y. Polonsky, Optical breakdown in diffraction free laser beams, in "XIX ICPIG, Invited papers" p.342, ed. V. J. Zigman, University of Belgrade, Belgrade (1989).

19. L. N. Pyatnitsky, L. Y. Margolin, Low temperature plasma investigations by scattered radiation, in "5th International conference on gas discharges" p.61, Institution of Electrical Engineers, Liverpool (1978).

20. L. Y. Margolin, L. N. Pyatnitsky, Low temperature plasma diagnostics by scattering and resonance fluorescence, in "XIII ICPIG" p.187, Berlin (1977).

21. L. Y. Margolin, L. N. Pyatnitsky, N. P. Shternov, Low temperature plasma investigation by resonance Rayleigh scattering, Journal de Physique, 40:7:801 (1979).

22. S. A. Edelman, L. Y. Margolin, N. Y. Polynovskaya, L. N. Pyatnitsky, Measurement of the local temperature of atoms in discharge plasma by polarization spectroscopy, Physica C, 123:263 (1984).

Page 32: Plasma Technology: Fundamentals and Applications

PROBE DIAGNOSTICS OF PLASMAS

Introduction

G. Dilecce

Centro di Studio per la Chi mica dei Plasmi

Dipartimento di Chimica - Universita di Bari

Trav. 200 Re David, 4 - 70126 -Bari

The use of Langmuir probes for the measurement of plasma parameters has been the subject of a large number of investigations along more than 60 years, since they were introduced by Irving Langmuir in the early 1920s [1]. Quantities of great interest for plasma research can be measured by means of electric probes: the charge density and the electron energy distribution function or, if the latter is maxwellian, more simply the electron temperature. The apparent great simplicity of the experimental arrangement is a great stimulus in trying to use this technique, but can be seriously misleading, as its correct application calls for a number of experimental and interpretat i ve complicat ions due to a wide variety of problems and limits, both of practical and theoretical nature, which restrict the appl icabil i ty of the technique and mark the I imits on the informations that can be extracted from it.

The aim of this paper is not, then, to provide a complete treatment about the use of probes in plasmas, ( see, for ex. [2] - [7] ) but to out I ine the most important problems one can encounter in applying probe diagnostics to the most common systems used in plasma technology, and to address the reader to the re lated literal ure. Account of the most recent results will be given to provide examples of the most advanced appl icat ions of this diagnostic tool, and to update the actual experimental knowledge of the physical quantities that can be measured by electric probes.

GENERALITIES ABOUT ELECTRIC PROBES

Langmuir probes are simply pieces of conducting material which collect charged species in a plasma if polarized someway with respect to it. A schematic probe experiment is represented in Fig. 1. The probe itself is generally given the shape of a plane, a cylinder ( a piece of wire ! ) or a sphere, whose geometry implies substantial simplifications to the theoretical treatment, together with trivial practical advantages, and its dimensions have to be chosen on the basis of pract ical and theoret ical considerations which are relevant to the system one wants to investigate and which wi 11 be discussed in the course of the paper along with the description of the way a probe works.

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992

27

Page 33: Plasma Technology: Fundamentals and Applications

Polarization of the probe with respect to the plasma, the amount of which will be called Vp, is achieved by biasing it, by the amount Vb, with respect to a further electrode we will refer to as the reference electrode. This latter can be one of the discharge electrodes ( as in the figure ) in the single probe configuration, or another electrode introduced in the plasma which is much larger than the probe or equal to it in the asymmetric double probe and in the symmetric double probe experiments respectively. A pictorial scheme of the distribution of potentials between the probe, the plasma and the reference electrode is given in Fig. 2: it is most important to bear it in mind in the understanding of some problems which will be reported later. A current flows in the probe circuit which is the algebraic sum of the fluxes to the probe surface of ions and electrons which contribute two current components whose values depend on Vp:

I~Vp) = I+p(Vp) + I-p(Vp)

The same pertains to the reference electrode:

Irer(Vrer) = I+rer(Vref) + I-rer(Vref)

and, trivially, with obvious meaning of the symbols:

[ Ip(Vp) = - Irer(Vref) = Id

Vp = Vref - Vb

(la)

(lb)

(2a)

(2b)

A probe experiment consists of the measurement of the current -voltage characteristic Id(Vb). Inferring plasma parameters from this curve

v. I.

Fig. 1 Conceptual scheme of a sin­gle probe experiment

PLASMA

Yr ••

PROBE REF. EL.

Fig. 2 Distribution of potentials between probe, plasma and reference electrode.

requires a knowledge of the electron and ion currents as functions of Vp and to clarify the relation between Vp and the applied potential Vb.

Charged particles collection

The probe, like every surface, when immersed in a plasma, develops around ita space-charge region, called sheath, in which the plasma parameters deviate from their values in the undisturbed plasma, and whose extension is of the order of some Debye lengths ( :>td ). Theories about charged particles collection by a probe are available which rely upon some assumptions involving also the ratios between the characteristic lengths of the system:

28

Page 34: Plasma Technology: Fundamentals and Applications

a) the plasma is homogeneous, quasineutral and infinite or, almost equivalently, the probe sheath is small compared with the dimensions of the plasma; b) the sheath thickness is small compared with the probe lateral dimensions ( in the planar and cyl indrical geometries ), so that edge effects can be neglected;

c) the probe surface is perfectly absorbing and non reactive; and, confining ourselves to the collisionless case ( the collisional case will not be treated in this paper ): d) the mean free paths of electrons, ;\-, and of ions, ;\+, are large

compared wi th the probe dimensions and wi th the de bye length, which means that no collisions occur in the probe sheath.

To meet with this latter assumption one has to work at sufficiently low pressure and/or with sufficiently small probes, while the charge density of the plasma must be as high, and the electron temperature as low, as to determine a small Debye length.

Two cases occur when dealing with the collection of charged particles by a probe: accelerating potential ( qVp < 0 ) or retarding potential ( qVp > 0 ), where q is the charge of the particle. Before starting a more detailed discussion, we need to introduce the electron energy distribution function ( EEDF ). Here we define it as the function F(c), where c is the energy of the particle, such that:

F(c)dc = n(c) = particle density in the energy range c, c + dc, and

CIO

JF(C)dC = n = particle density o

With this definition, a maxwellian distribution is given by:

F (c) = 2 n (kT )-3/2 1/2 r~) M Vi[ e C eXPLkTe

The expressions of the currents as functions of Vp will involve integrals over the EEDF.

Retarding potential

This is the simplest case, as it can be deduced elegantly from first principles, the Liouville equation, provided the assumptions of a convex probe surface and of isotropy of the EEDF are made [2]. It turns out that particles strike the probe which have sufficient kinetic energy to overcome the potential barrier Vp, and an impact parameter such that their trajectories intersect the probe surface. The current of retarded particles to the probe is then given by the following expression:

A J CIO -1/2 Iret(Vp) = 2J2m (c-qVp)c F(c)dc qVp

qVp> 0 (3)

where A is the probe surface and m the mass of the repelled specie. If F(c) is maxwellian we obtain:

(4)

where T = Te or T+ for electrons and ions. Here we recognize the product of the random current times the Boltzmann factor. More generally, differentiating twice the expression of Iret we obtain the Druyvesteyn

29

Page 35: Plasma Technology: Fundamentals and Applications

formula [8]:

E: = qVp (5)

which relates the EEDF of the repelled particles to the second derivative of their contribution to the probe current.

Accelerating potential

This case is far more complicated than the previous one, as the calculation of the current requires the evaluation of integrals over the EEDF in which the limits of integration are determined by the conservation of energy and angular momentum, thus giving a dependence on the geometry of the collecting surface and on the shape of the potential as a function of the distance from the surface. The problem must then be studied in connection with Poisson's equation taking also into account the possible existence of trapped orbits. Calculations have been performed numerically by Laframboise [9] in the hypothesis of maxwellian distribution functions for electrons and ions which show that this current can be generally written as:

[ kT] 112 Iacc(Vp) = Aqn 2mm ~(Vp,a/~d,T+/Te) (6)

where a/~d is the probe radius to Debye length ratio. Qualitatively one can say [10] [4] that the current is the sum of two

terms: the sheath limited current, due to the particles that strike the probe provided only they enter the sheath, and the orbit limited current, ( OML ) in which the calculation of the orbit is needed to decide whether the particle reaches the probe or not. This description suffers obviously the difficul ty of defining geometrically the sheath region, what would require, as above, a self-consistent calculation involving the sheath equations, but is useful to calculate the two following limiting cases, in which there is no need of calculating the sheath width:

thin sheath, a/~d » 1 In this case the sheath limited current prevails, and, as in the case

of the plane probe, the current is independent of Vp, it is said to be saturated, and equal to the random current, i.e. equation (6) with ~ = 1.

thick sheath, a/~d « 1 Orbit limited motion prevails, giving the following approximated

formulas for ~, valid, in the cylindrical geometry, if ~ = qVp/kT » 1:

~ = 1 - ~ spherical probe

~ = ,,: 1 _ ~ ) 112 cylindrical probe

It is worth noting that, as pointed out by Laframboise [11], orbit limited condi tions persist for cylindrical geometry up to a/~d ... 1, what is not true for spherical probes.

At the end of this abridged discussion we only point out that, due to the mass difference and, as occurs in low pressure gas discharges which are highly non-equilibrium systems, to the large inequality Te » T+, the electron random current largely exceeds the ion one.

30

Page 36: Plasma Technology: Fundamentals and Applications

Linking theory to experiment

The experiment, as showed before, is governed by equations (2), which state, in essence, how Vp and Vref must vary, subjected to the constraint (2b), in order to satisfy equality (2a). To link the experimental result to the theories one has, then, to know in practice the relation between Vp and the measured Vb, what can be accomplished easily, in principle, by making Vref independent of Vb, or, in other terms, providing a mean of supplying an Iref always equal to Ip without changing significantly Vref. This is done in the single probe arrangement, in which Vref is the fixed potential of the discharge electrode with respect to the plasma ( which remains fixed if the probe current is always much less than the discharge current ), or in the asymmetric double probe with the reference surface much larger than that of the probe. In this last case Vref remains almost constantly at the floating potential as, due to the large difference of the surfaces only very small changes of Vref are needed to match the variations of Ip on changing Vb. It has been shown in [12] that such condition is achieved at surface ratios of the order of 104 .

1.60

_1.20 c(

E ~ .BOO ~

.400 10.0 30.0 v.

-50.0 -30.0 -10.0 V,10.0 v. 30.0 Vb

Fig. 3 a) Typical measured single probe Id(Vb) characteristic; b) graphical method for the determination of the plasma potential.

Provided we are in one of these conditions. a typical probe measurement looks like that in Fig. 3. Taking into account that at Vb = Vs, usually called space potential, we have Vp = 0 ( Vs· is nothing but -Vref ) We can clearly distinguish three regions in the curve: region I, the ion saturation region, in which almost all electrons are repelled, so that only accelerated ions , formula (6) for ions, contribute to Id; region II, in which electrons take the field and rapidly overwhelm the ion current due to the progressive reduction of the repulsive potential well up to zero at the plasma potential( (6) for ions plus (3) for electrons ); region II I, the electron saturat ion region, where electrons are accelerated, while ions contribute negligibly and are rapidly repelled due to their low temperature ( (6) for electrons ). A characteristic point in the curve is the floating potential Vf, where the electron and ion currents match to give zero probe current.

Inferring plasma parameters

Informations about the charge density n and on the EEDF FCc) can be extracted from a probe measurement in the different regions of the curve.

31

Page 37: Plasma Technology: Fundamentals and Applications

Ion saturation region

There are a number of difficulties in using this part of the characteristic for the evaluation of the charge density. In the general case the function ~ of (6) is complicated and known only numerically as a family of curves with a/~d and T+/T- as parameters [9]. There exist some fitting formulas [6] which are valid only in certain ranges of the parameters, But the major difficulty in handling these data is, anyway, the strong dependence of ~ on the ratio a/~d which, in turn, depends through ~d on n itself: an iterative procedure is then needed for the calculation of n. In real cases, furthermore, end effects cannot be neglected, as the geometries are not ideal ( the cyl inder and the plane are not infinite ), and the dependence of ~ on the shape of the probe introduces a new problem. It has been found that the saturation current is a linear combination of a cylindrical and a spherical term when the probe is a finite length cylinder [13]. Also the simple OML current suffers the limits of being an idealized case, so that in many cases, even if the requirements on the value of a/~d are met, the ion saturation curve is better fitted to the Allen-Boyd-Reynolds ( ABR ) theory which assumes a radial motion rather than orbital for ions [14], [15] ( this is the same phenomenology which leads to the Bohm criterion [16], [17] ).

Electron saturation region

In addition to all the mentioned difficulties for ions, there is the onset of secondary ionization in the probe sheath, which drastically limits to few volt ( up, more or less, to the ionization potential of the gas and depending on the pressure ) in most cases the useful part of this curve.

Region II

From this region one can extract: the electron temperature, Te, if F(c) is maxwellian, from the

semi logarithmic plot of the current and formula (4), provided the ion current is subtracted from Id. To this purpose a coarse estimation of the ion current, even simply graphical, is sufficient;

- or, in the general case, the EEOF, F(c), by means of the Oruyvesteyn formula (5). Here the exact knowledge of VB is required, that being the zero energy of F(c);

- the charge density, n, from the value of the current at plasma potential, lesat. Having already measured the EEOF, or Te, one can calculate the electrons average ~elocity v and then use the formula of the random current: IeBat = Aqnv/4. Again a correct value of VB is needed due to the steep dependence of the probe current on Vb in the plasma potential surroundings.

Measuring the plasma potential

The plasma potential is the point in which the probe potential Vp changes sign, what results in an inflection point of the characteristic Id(Vb), passing , with a very good approximation, from formula (3), or, very often, formula (4) near VB, to formula (6), both for electrons. This fact is used for locating VB on the Id(Vb) curve. A very simple but coarse graphical method is shown in Fig. 3b, where VB is found as the point in which the logarithm of Id(Vb) starts deviating from a straight line behavior. More rigorous is using the property that the second derivative of the probe current has a discontinuity at VB, Fig 5, passing from a high positive to a negative value. Unfortunately, que to a number of problems in probe measurements, which will be discussed later, this transition is

32

Page 38: Plasma Technology: Fundamentals and Applications

always smoothed, sometimes severely, making uncertain the choice of Vs. It has been shown, nevertheless, that the best choice for Vs is the zero crossing point of the second derivative [18], [19]. We will return on this problem in the following.

The double probe

Because of the equality of the surface of the probe and the reference electrode, equations (2) limit drastically the electron current to values not higher than the ion current. A double probe characteristic is composed, roughly speaking, by two ion saturation regions with an intermediate part which resembles the floating potential zone of the single probe characteristic, and crosses the origin of the axes if the plasma is homogeneous between the two probes. A procedure exists which calculates from such measurements the charge density and the electron temperature [20], but it should be pointed out that this latter is the "temperature" at the floating potential, which can be far from the plasma potent ial, thus giving erroneous results in cases in which the EEDF is strongly non-maxwellian. The advantage of double probes is that they draw always a low current, causing then a small perturbation the plasma.

TECHNIQUES FOR PROBE MEASUREMENTS

Measuring a mere current-voltage characteristic requires nothing more than the apparatus shown in Fig. I, where the amperometer can be replaced by a series resistance as current sensor. The only sagacity needed is to minimize the potential drop across the resistance or to take it into account in the measurement of Vb. Complications arise when it is decided to measure the EEDF, what is highly advisable in low pressure discharge plasmas, where the EEDF is very often non-maxwellian. In the remainder of this paragraph we will direct our attention towards this problem.

The second derivative is what mathematicians call an ill-posed problem, i.e. a problem in which small errors in the data can propagate tremendously into the solution, and here we are dealing with experimental data which contain some error. In practice, taking points by hand on a Id(Vp) curve and then double differentiating this set of numbers is a procedure which rarely produces manageable results. Taking into account the intrinsically noisy character of gas discharges, it is readily understandable the need for more sophisticated methods to do the Job.

Differentiating networks

This method employs two analog differentiating networks and a sawtooth probe bias Vb( t) such that the different iation in the Vb domain is proportional to that in the time domain through the factor (dV/dt)-2. The nature itself of an analog differentiator implies a catastrophic influence of the high frequency noise, so that the bandwidth of the amplifier must be reduced, leading to a lowering of the energy resolution of the measurement [21] [22]. Another type of differentiator is described in [23], in which the derivative is taken as [I(V(t» - I(V(t-T»]/T by the use of a delay (T) network and a differential amplifier. All these circuits are, anyway, generally very sensitive to noise, so that they can be used successfully only in quiescent plasmas. One can improve this situation by using a signal digitizer and the ensemble average over a number of measurements [24]. A very good application of this technique, together with a noise suppression device has been described in [25] and successfully applied to measurements in rf discharges in [26]. The main

33

Page 39: Plasma Technology: Fundamentals and Applications

advantage of this method is that it can perform the measurement in a very short time, making it useful in transient plasmas ( provided the probe sweep time is much shorter than the characteristic plasma transient time ) , and in react i ve plasmas, in which the probe surface can be rapidly contaminated.

ac modulation technique

More sensitive and widely used is the technique of superposing an ac voltage to the probe bias Vb and then measuring some harmonic of the probe current which is proportional to the second derivative. The advantage is the possibility of using all the classical devices for noise suppression which are available for time varying signals such as selective amplifiers, cross correlators, lock-in amplifiers. The simplest way is to use a sinusoidal modulating signal, A sin wt, and then to detect the second harmonic of the probe current. One finds, in fact, by Taylor expansion:

[ I + 2 A4

... ] [ AI' 3

... ] I(Vp + A sin wt)= ~ In + 64

11111 + + + ~ In, + sin wt 4 8

[ f 1" + A4

11111 + - 48 ... ] cos 2wt + higher harmonics

1. e., provided A is sufficiently small: In ex second harmonic. More complicated signals can be used which give different outputs proportional to In ( see, for ex., [2], [27] ). Whichever signal is used, the output proportional to In contains the factor A2 , so that to have a better S/N ratio one has to increase the amplitude of the modulating signal. This leads, on the other hand, to the increase of the contribution of higher order derivatives and to an higher distortion of the measurement due to the same non-linearity of Id(Vb) which produces the desired effect of harmonics generation. Such distortion can be viewed as the convolution in the V domain of the true Id(Vb) with an n instrumental function n whose amplitude in the V axis is A [28], [29], and can remain within acceptable limits provided A is small compared to the n scale n of variation of Id(Vb). A good measure of such scale is, where it is possible to define it, the electron temperature. A deconvolution method has been proposed in [30], [31] to recover a more accurate value of In, but we have to remember that a meaningful deconvolution is possible only if experimental errors are small. The point which mostly suffers this distortion is the point of fastest variation of the second derivative, 1. e. the plasma potential. Being it a discontinuity, the In assumes there the shape of the instrumental function, and no deconvolution method can improve the definition of the discontinuity.

Numerical differentiation with computerized data acquisition

The use of fast digitizers and of massive data acquisition makes it possible to measure the Id(Vb) characteristic with as sufficient accuracy as to perform a numerical double differentiation, with the aid of some numerical smoothing of the data [32], [33]. The advantages over the previous method are two-fold: there is no physical distortion of the measurement and, trivially, the data are stored in a computer and then ready to be processed. Difficulties can be found in very noisy plasmas, due to the absence of any filtering of the input signal.

The numerical method is undoubtedly profitable when used with a gated sampling device for time resolved measurements [34], [35].

34

Page 40: Plasma Technology: Fundamentals and Applications

The ion current problem

Up to now we have discussed how to measure the second derivative o£ the probe current, while what we need £or the correct application o£ the Druyvesteyn £ormula is the second derivative o£ the electron current. This latter, Ie", is normally much higher than the corresponding one £or ions, 1+", also in regions o£ Vb where 1+ > Ie, but, obviously, going £arther towards negative probe bias the contribution o£ 1+" to I" becomes non negligible, important and, £inally dominant. Subtracting someway 1+" £rom I" can then improve the measurement o£ the EEDF at high energies [36]. This can be made by £itting the probe current at high negative probe voltages to the theoretical ion current appropriate to the actual case, and then subtracting the calculated 1+" £rom I". It must be remarked, nevertheless, that this procedure makes sense up to the point in which 1+" '" Ie" because o£: a) the incertitude o£ the extrapolation o£ the ion current, and b) the condition 1+" '" Ie" is reached usually where Ie « 1+, so that the in£ormation about Ie is merged in the noise o£ 1+, which implies that the relative error on Ie" is very high. The value o£ 1+" depends on the ratio a/>'d, and increases as this ratio decreases [37]. This means that the high energy region o£ the EEDF can be better looked at in high density plasmas, while some improvement can be obtained increasing the thickness o£ the probe ( only £or measuring Id(Vb) at high negative probe voltages [38] ). At low values o£ a/>'d such improvement is nevertheless limited by end e££ects. It must anyway be evidenced that the problem o£ the ion current as outlined here constitutes the very limit to the measurement o£ the EEDF at high energies.

PROBLEMS IN PROBE MEASUREMENTS

So £ar we have developed a discussion about the use o£ the probe diagnostic in an ideal case, that is starting £rom a number o£ assumptions some o£ which we have made explicitly, other ones have been assumed implicitly. We recall them brieny: the EEDF is isotropic, the probe sur£ace is per£ectly absorbing, the probe sheath and current drain are not a signi£icant perturbation o£ the plasma, Vref is constant with respect to variation o£ both Vb and time. Useless to say, the violation o£ one or more o£ these assumptions is a rule in all probe experiments, so that a good probe experiment, where good means correct, is o£ten di££icult and can be carried out only in clean devices ( the meaning o£ " clean " will be clear at the end o£ this discussion ). The simplicity o£ a probe measurement, is, then, only apparent and contains an intrinsic di££iculty o£ interpretation, due to the impossibility o£ distinguishing one single e££ect among the others, which is enhanced by the complexity o£ the system one wants to investigate. The violation o£ each o£ the assumptions constitutes a problem which can be solved completely or partially or only in certain systems, so that the occurrence o£ one or more o£ them in an experimental situation wi 11 call for circuital complications and more care£ul interpretation, or will limit the reliability o£ the results and, sometimes, the applicability itsel£ o£ the technique. In the £ollowing we will discuss one by one the mentioned assumptions and the related problems, with the aim not only o£ describing them and their possible solutions, but also to give the reader the feeling that a correct probe measurement is o£ten not so simple as it would seem at £irst sight.

We want to remark another point at the end o£ this introduction. Almost all the e££ects we will speak about have the common property o£ smearing out the transition at the plasma potential, making then di££icult its localization. This is, obviously, a great shortcoming. also in view o£ the £act that normally it is not possible to distinguish the contributions o£ the various e££ects on this smoothing o£ the transition, but, because

35

Page 41: Plasma Technology: Fundamentals and Applications

of this, the plasma potential zone comes out to be a good indicator of the incidence of the various problem as a whole on the measurement. In particular, as a rule of thumb, one can say that the measurement is "good", in the sense that all the undesired effects have been sufficiently reduced, if the distance between the maximum and the zero of the second derivative is of the order of ( 0.2 - 0.3 ) e, where e is the electron average energy [27].

Surface effects on probe current collection: probe contamination

That all the particles striking the probe are absorbed is simply not true: some of them can be reflected or, if possessing sufficient energy, cause electron emission from the surface. Such phenomena can affect the measurement of both the charge density, trivially, and the EEDF, because of their energy dependence [39], [40].

The electron reflection coefficient for different metals has been measured to be of the order of 0.2 for ultra clean metals and of 0.7 for non outgassed or "dirty" ( i. e. covered by some other material ) metals [41]. The latter value is evidently so high as to make it desirable to avoid the conditions which produce it. The energy dependence of the reflection coefficient shows important features in the low energy range, with a sharp rise in the curve below 1 eV and then, after a minimum, a smooth increase towards higher energies of the incident electron. As a consequence very low energy electrons are more reflected than the others, and, on trespassing the plasma potential of about 1 V in the electron accelerating region, a slight increase of the electron current should be observed due to the lower amount of reflected electrons. Furthermore, according to their distribution function [42], some of the reflected electrons do not possess sufficient energy for escaping the probe attraction when Vp > Vs.

Secondary emission is caused by incident ions, photons and molecules excited to electronic metastable states [43], [44]. The effect on the probe characteristic is a possible increase of the ion current ( emission yields for incident ions and metastables are of about 0.2 - 0.3 [45] ) and an increase of the electron current Just after Vs in the electron acceleration region in a way depending on the energy distribution of the emitted electrons. As a whole, all these effect can produce features in the Id(Vb) curve near the plasma potential, which can appear more clearly in the second derivative as a secondary maximum of I" after Vs [43]. That this appears after Vs can help in locating the plasma potential.

Taking into account these phenomena is practically impossible because a quantitative knowledge of them, indeed difficult for ultra clean metals, is hopeless when dealing with surfaces, like the probes' ones are, covered with some spurious material, being it an oxide or some deposit coming from the discharge. It must be pointed out that such contamination of the surface from the discharge is unavoidable also when using clean gases: the sputtering of the electrodes material is sufficient to change the surface state in few seconds or less. Besides preventing the knowledge of the reflection and secondary emission properties, and changing them, the format ion of, almost always low conduct ing, layers on the probe surface causes a much more important problem, which can seriously distort the probe characteristic: the change of the work function of the surface. The work function is very sensitive to the probe temperature, which in turn depends on the current and, then, on the probe potential. This means that, if the voltage sweep is slow, the work function depends on Vb and on its history, giving rise to a distortion of the characteristic and to hysteresis effects ([46] - [49]).

The above discussion clearly shows that there is no other way of managing the problem than trying to keep the probe as clean as possible and tied to a constant surface condition. The probe can be cleaned by ion

36

Page 42: Plasma Technology: Fundamentals and Applications

or electron bombardment, obtained by appropriately biasing the probe to high negative or positive voltages. A continuous cleaning interrupted only during the time of the measurement, not more than few seconds, can be sufficient when dealing with clean gases [33]. The case of discharges in reactive gases requires further expedients. A fast sweep of the probe voltage ( the term fast is relative to the formation time of deposit layers ) can avoid the hysteresis due to the thermal inertia of the probe. A more refined technique consists of keeping the probe constantly under ion bombardment and changing its polarization to the different values of the probe potential for a very short time during which the measurement is taken ( a sawthooth voltage fully modulated by a rectangular waveform was used in [50] ). Such devices are indispensable in reactive gas discharges, but are highly advisable in clean gas discharges also [26].

Finally we want to remark that, according to the previous discussion, the accurate observation of the plasma potential region , especially in the second derivative, can provide some information about the presence of surface phenomena.

Vref dependence on the probe bias: the triple probe

When the probe current becomes a significant fraction of the discharge current, the constancy of Vref with respect to variations of Vb has to be questioned. This occurs especially in the vicinity of the plasma potential where the probe current raises to high values [51). The amount of such phenomenon depends, of course, on the relative magnitude of the probe and discharge current, but it can occur frequently in gas discharges because usually the drift velocity in the discharge is much less than the thermal one, so that only very high ratios of the probe to reference surfaces ratios can make this effect negligible. Furthermore, the EEDF measurement is significantly affected in the low energy part ( i.e. near the plasma potential ) by such occurrence, due to the sensitivity of the second derivative to even small structures in a region of fast Id variation [12]. The asymmetric double probe could be a solution, as the discharge current is not changed directly, but to have a single probe equivalent the reference electrode should be unpractically large. The triple probe method was proposed in [52], [12] to get rid of this difficulty. The scheme is that of an asymmetric double probe with a surface ratio not very high, but more than (M Te I m T+)1/2, such that the plasma potential can be reached by the probe, but where the probe potential is measured with respect to a third electrode which is kept at the floating potential. That is to say, the reference potential for the measurement of Vp is the floating potential of the third probe, which is independent of Vb. A modified version of the triple probe has been used in [33], where it was recognized the incidence of this phenomenon on the low energy part of the EEDF in a rf discharge, in which the reference electrode is still the grounded discharge electrode, under the assumption that the probe disturbance to the discharge does not modify significantly the discharge parameters.

Vref dependence on time : rf discharges

Time variations of Vref are, by virtue of eq. 2b, equivalent to time variations of Vp. Because of the usually time averaged result of a probe measurement, one gets as output a current value which is the average, through a we ight function which depends on the funct ion Vrer( t ), of the true Id(Vp) over an interval of Vp as large as the Vref variations are. A distortion of the probe characteristic follows from its non linearity. [53], [54], [55], [56], [57]. Mathematically, if Vref(t) = Vref + A(t) is a periodic function of time with period T and amplitude a [551:

37

Page 43: Plasma Technology: Fundamentals and Applications

Imeas(Vb) 1 JT J+a Id (Vp + A(t)) = f Id(Vp + A(t)) dt = Id(Vp + A) peA) dA o -a

peA) d [A(t) ]-1 dt • normalization factor

This is the same effect which we referred to in the discussion about the ac modulation technique, where it was suggested to keep a small. When dealing with probe measurements in gas discharges with radiofrequency excitation one has instead to face the problem of rf time variations of Vref, usually called plasma potential oscillations ( here we are assuming that, as is usually done, the reference potential is the grounded electrode of the discharge ),whose amplitude is of the order of some tens of Volt. With such amplitude, the distortion of the Id(Vb) curve is intolerably high, and the resul t of the measurement comes out to be completely wrong ( Fig.4 ). By some means, then, one must arrange a device such that Vp remains constant or , in other words, the potential at the probe surface follows the variations of Vref. Whichever method is used, one needs a mean of understanding if the device is working properly, so we wi 11 discuss briefly the effects of rf averaging on the resul ts of the measurement.

,"

o

o

- 60 - 20 10 40 v. Fig. 4 Probe measurement in a rf He-N2, capacitively coupled, asymmetric

discharge with, a) and without, b) an rf rejection device: they are simply two different things.

The most evident effect is a shift of the entire characteristic towards more negative potentials. The floating potential changes accordingly, so that the maximum of Vf can be used as a criterion for establishing the best condition of rf rejection when adjusting the device. The effect of rf averaging on the second derivative is showed in Fig 5: the distance between the maximum, the zero and the minimum of the second derivative grows as the amplitude of the rf oscillations raises. From which, trivially, another criterion follows ( in this case the general criterion for good probe measurements stated previously applies as well ).

The position of the plasma potential remains, anyway, unaffected, always marked by the zero of the second derivative. Another note. concerns the case of a maxwellian EEDF: the exponential behavior of the

38

Page 44: Plasma Technology: Fundamentals and Applications

characteristic, and of the second derivative, is not altered, except when the average starts to include the electron or the ion saturation regions. This means that, if the ratio alkTe is as low as to preserve an exponential region, i.e. a region in which the averaging does not include significantly the saturation regions, the electron temperature can still be safely measured. And, in the same region, from the opposite point of view one can state that a non-exponential behavior cannot be produced by rf averaging.

The probe potential can be tied to the plasma oscillations by two methods, for which there exist some different practical realizations. We describe them in principle in what follows.

The most immediate idea is to drive the probe by an rf voltage, taken from the discharge generator, which is then adjusted in phase and amplitude until a criterion of best rf rejection is satisfied [58], [59]. Of course this does not mean that the probe follows exactly the plasma potential oscillations, but only that the Vp oscillations are forced to the minimum value that the device can allow. The main handicap of this method is that it can compensate only the first harmonic of the Vre£

oscillations, while in many cases these latter contain important contributions of higher harmonics ( especially in asymmetric, capacitively coupled discharges ). This can be the reason why, for example, in [60] there seem to survive a non negligible rf averaging in the measurements in spite of the optimization of the rf probe driving signal.

The second method stems from the understanding of the fact that the Vre£ oscillations are localized between the plasma and the ground, being partitioned among the probe-to-plasma, or sheath, impedance, Zsb, and the probe-to-ground, or circuit, impedance Zclrc ( Fig. 6). To minimize, then, the rf potential drop across the probe sheath one has to minimize the ratio ZsWZclrc. Both Zsb has to be lowered, by shunting it by a large electrode ac connected to the probe, and Zclrc enhanced by the use of a group of rf chockes tuned to the first and second harmonic of the discharge potential [61]. Care must be taken in order to minimize stray capacitances which, as shown in Fig. 6, can bypass the chokes making them unuseful. Different shapes of the shunt electrode have been used, which should be designed according to the geometry of the discharge. The most recent measurements [26], [33] employ this technique.

It is worth mentioning a third technique [34] which circumvents the rf problem by time resolving the probe measurement. It has been applied

12 1- A/kTe .5 2- A/kTe 1

<II 3- A/kTe 1.5 .., 8 .... " " .0 4 .. .. .... 0

-4 a

- 4 o 4 e PROBE BIAS ( V/kTe )

Fig. 5 Effect of rf averaging on the second derivative: computer simulation with maxwellian EEDF ( from [57] )

Fig. 6 Impedances scheme for rf rejection ( see text )

39

Page 45: Plasma Technology: Fundamentals and Applications

successfully to low frequency discharges ( up to about 100 kHz ) in which the plasma potential oscillations have the approximate shape of an half wave rectified sinusoid. The potential oscillations, in this case, have a constant value for half period, and the measurements are taken at the end of this part of the period, after ions have had sufficient tillle to rearrange a stable sheath ( this limits the maximum discharge frequency the method can be used with ), yielding the Id(Vb) in the absence of Vref oscillations.

Plasma perturbation around the probe

Besides the influence of the probe current on the whole discharge current we have considered before, the finite dimensions and current drainage of the probe cause local disturbances to the plasma which can alter the measurement itself. Apart from the trivial density and energy losses due to the physical presence of the probe [4], an important source of distortion of the characteristic is the current drainage by the polarized probe which can deplete the charge density around the probe if the diffusion does not suffice in replacing the lost charges. This effect depends on the probe dimensions, i.e. on the current magnitude, and on the pressure and gas type, i.e. on the diffusion velocity. Low energy electrons diffuse more slowly than the fast ones, resulting in an energy dependent charge depletion or, in other terms, in a distortion of the measured EEDF which suffers an higher depletion at low energies [62]. It was found in [62] that corrections less than 25% to the measurement for c > 1/2 ;; require that the ratio a piA be less than 0.5, where a is the probe radius, p the gas pressure and A the electron mean free path. Reliable determination of the EEDF at lower energies calls for a more stringent condition on the probe dimensions.

The probe technique is unavoidably intrusive: one can only try to reduce its disturbance to the plasma by making the probe and its holder as small as possible, and indicate the conditions in which such disturbance becomes intolerable.

Finally we want to stress that the probe dimensions must be also small compared to the field homogeneity length, so that probe measurements in regions like the electrodes sheath are meaningless.

Anisotropy of the EEDF

The Druyvesteyn formula (6) is valid in the case of an isotropic EEDF. When this is not true, a more complicated approach should be used which involves the use of two cylindrical probes with orientation parallel and perpendicular to the anisotropy axis [63], [64], [27]. There is no room in this paper for a thorough discussion on this subject. Here we want only note that, except the case of very high anisotropy, like in [64], where a considerable group of fast electrons with directed velocity was present, causing phenomena on the EEDF measurements that cannot be accounted for without the mentioned treatment, cases of "smaller" anisotropy can still be measured by the traditional technique taking into account, however, that the determination of the anisotropic contribution to the EEDF is incomplete [33].

RECENT APPLICATIONS OF THE PROBE TECHNIQUE

In this section we only want to show some examples of the results that can be obtained by a correct application of the probe technique. In

40

Page 46: Plasma Technology: Fundamentals and Applications

particular we will devote our attention to EEDF measurements, being this, we believe, the most important goal of a probe measurement in devices like gas discharges used in plasma technology, which are, in most cases, highly non-equilibrium systems. We will restrict only to the most recent and, in the author's opinion, the most advanced results, which are representative of the state of arts of the Langmuir probe technique.

A model example of the computerized technique with numerical differentiation by the use of a sliding least-square fit has been applied by Hopkins and Graham to a magnetic multicusp device for H- ions production, where the H2 discharge is sustained by an electron beam produced by hot thoriated tungsten filaments and then accelerated through an electrostatic potential [32], [65]. The high dynamic range of the measurement allows to evidence the presence of the beam originated fast electrons and the spatial dependence of the EEDF when going away from the filaments ( Fig. 7 ).

The intense use of rf discharges in plasma technology, has produced a growing research activity for the understanding of such devices. The Langmuir probe can be of some help to these efforts when applied correctly to the EEDF measurements. The EEDF, in fact, is non maxwellian in such devices, due to two mechanisms which are believed to be fundamental to the sustainment of the discharge: the stochastic heating of electrons by the moving sheath boundaries [66], and the secondary emission of electrons by the cathode ( for a discussion of these topics see, for ex. [67], [68]). Measurements of the EEDF in Ar ( Godyak and PeJak [26] ) and He ( Dilecce et al. [33] ) rf discharges have been performed, some examples of which are shown in Fig. 8 and Fig. 9. Both figures evidence fast electrons tails deviating from the exponential behavior. In Fig. 8 the pressure dependence of the EEDF in Ar is shown, while Fig. 9 depicts the variations of the high energy tai Is with respect to the distance from the cathode in an asymmetric rf discharge. The informations that can be extracted from these and other measurements have been discussed in [26] and [33], and give an important contribution to the knowledge of the operating mechanisms in rf discharges.

:- 10"

~ 10'0 1011

... 10 '0

t 10' 10'

-: 10'

u.. 10'

A

Fig.7 EEDF in a magnetic multicusp H2 plasma for H production. Spatial dependence as a function of the distance from the filaments. p = 2 mTorr ( after Hopkins and Graham [65] ).

41

Page 47: Plasma Technology: Fundamentals and Applications

10"

10"

° 5 10 15°.07

eIec:1ron ~ (eV)

Fig 8 EEPF in rf Ar discharge with changing Ar pressure. The dischar~e

current is 0.3 Arms. ( The EEPF is, here, the EEDF divided by c 72,

that is to say divided by the density of states: it is then the probability distribution function and is in eV-3/ 2 units. It is the same function reported in Fig. 9 below, where it is still called EEDF, apart from the normalization to the charge density ) ( after Godyak and Piejak, [26]).

1 - 2.5 mm

-I 2- 5 3- 10 " 4- 15 5- 20 "

-2 6- 25 " ~->-~

l.L. -3 Cl UJ UJ

c.o Cl -'

-4

-5

14 21 28 35

ENERGY (eV) Fig.9.EEDF as a function of axial position the distance from the powered

electrode is indicated )in a He rf discharge at 2 torr, 20 W ( after Dilecce et al., [33] ).

42

Page 48: Plasma Technology: Fundamentals and Applications

One final comment must be done about the measurements shown. It is not always possible to obtain such refined results in systems and/or conditions different from those reported. For example, in [26], the pressure could not be raised further above 3 torr without loosing the probe technique validity. The same was observed by the authors of [33], who noted that, at pressures of few torr, the plasma potential could not be reached without switching off the discharge ( a trivial but insurmountable problem! ). Or, as further example, the parallel plates geometry is particularly easy to handle in connection with the rf rejection problem: other geometries would require further ad hoc stUdies. The use of reactive gases, moreover, can complicate the Job: the apparatus of [26] should be, in principle, capable of handling such "dirty" environments, but, to our knowledge, no measurements as good ad those showed here have been made in reactive gases up to now.

In conclusion, we want to make explicit the spirit of this paper. Probe measurements are not easy, but possible, in clean systems like those showed here. Applications to more difficult devices, if possible, would require, probably, further research work. It is the opinion of the author that the resul ts shown here represent the best ( to be intended as a limit ) one can attain by standard probe techniques.

REFERENCES

1. I. Langmuir, Gen. Elec. Rev., 27 , 449 (1924); Phys. Rev., 28, 727 (1926) .

2. Yu. M. Kagan, V. I. Perel, Soviet Phys. Usp., 6, 763 (1964). 3. F. Chen, "Plasma Diagnostic Technique", R. H. Huddlestone and S. L.

Leonard ed., Academic Press, New York, (1965). 4. L. Schott, "Plasma Diagnostics", W. Lochte-Holtgreven ed., North

Holland Publishing Co., Amsterdam (1968). 5. Y. D. Swift, M. J. Schwar, "Electrical Probes for Plasma Diagnostics",

Iliffe Books Ltd., London (1970). 6. P. M. Chung, L. Talbot, K. j. Tourian, " Electric Probes in Stationary

and Flowing Plasmas", Springer Verlag, New York (1975). 7. B. E. Cherrington, Plasma Chern. Plasma Process., 2, 113 (1982). 8. M. J. Druyvesteyn, Z. Phys., 64, 781 (1930). 9. J. G. Laframboise, UTIAS Report No 100, University of Toronto (1966). 10. G. Medicus, J. Appl. Phys., 32, 2512 (1961). 11. J. G. Laframboise, L. W. Parker, Phys. Fluids, 16, 629 (1973). 12. T. Okuda, K Yamamoto, J. Appl. Phys., 31, 158 (1960). 13. D Johanning, W. Seifert, A. Best, Plasma Phys. Controlled. Fusion, 27,

159 (1985). 14. J. E. Allen, R. L. F. Boyd, P. Reynolds, Proc. Phys. Soc. B, 70, 297

(1957) . 15. M. W. Allen, B. M. Annaratone, J. E. Allen, Proc. IX Int. Conference

on Gas Discharges and Applications, Venezia (1988). 16. D. Bohm, " The Characteristics of Electrical Discharges in Magnetic

Fields" A. Guthry, R. K. Wakerling ed., MacGraw-Hi 11 , p. 77 (1949). 17. u. K. Riemann, J. Phys. D: Appl. Phys, 24, 493 (1991). 18. A. I. Lukovnikov, M. Z. Novgorodov, Sov. Phys. Tech. Phys., 16, 1931

(1972) . 19. L. M. Volkova, A. M. Devyatov, M. A. Sherif, Sov. Phys., Plasma Phys,

3, 1156 (1977). 20. E. O. Johnson, L. Malter, Phys. Rev. 76, 1411 (1949); 80, 58 (1950). 21. E. Alexeff, D. F. Howell, J. Appl. Phys., 40, 4877 (1969). 22. K. Wiesemann, Proc. IX ICPIG, Bucharest (1969), p. 615. 23. K. Shimizu, H. Amemiya, J. Phys. E: Sci. Instr., 10, 389 (1977). 24. K. F. Shoenberg, Rev. Sci. Instr., 49, 1377 (1978). 25. V. A. Godyak, R. Laguschenko, J. Maya, Phys. Rev. A, 38, 2044 (1988).

43

Page 49: Plasma Technology: Fundamentals and Applications

26. V. A. Godyak, R. B. Pejak, Phys. Rev. Lett., 65, 996 (1990). 27. V. A. Godyak, in " Plasma Surface Interactions and Processing of

Materials", 0 Auciello et al. eds., Kluwer Academic Publ., 1990, pp. 95-134.

28. A. B. Blagoev, Yu M. Kagan, N. B. Kolokolov, R. I. Lyagushenko, Sov. Phys. Tech. Phys., 20, 360 (1975).

29. V.I. Demidov, N.B. Kolokolov, Sov. Phys. Tech. Phys., 24, 533 (1981). 30. H. Amemiya, Jap. J. Appl. Phys., IS, 1767 (1976). 31. A. B. BIagoev, V. I. Demidov, N. V. Kolokolov, O. G. Toronov, Sov.

Phys. Tech. Phys., 28, 1179 (1981). 32. M. B. Hopkins, W. C. Graham, T. J. Griffin, Rev. Sci. Instr., 58, 475

(1987). 33. G. Dilecce, M. Capitelli, S. De Benedict is, J. Appl. Phys., 89, 121

(1991). 34. M. B. Hopkins, C. A. Anderson, W. G, Graham, Europhys. Lett. 8, 141

(1989) . 35. M.B. Hopkins, W. G. Graham, J. Appl. Phys., 89, 3461 (1991). 36. V. A. Dovzenko, A. P. Ershov, G. S. Solntsev, Sov. Phys. Tech. Phys.,

19, 851 (1974). 37. E. R. Mosburg, Rev. Sci. Intsr. 52, 1182 (1981). 38. K. D. Asvadurov, I. A. Vasil' eva, Sov. Phys. Tech. Phys., 20, 996

(1975) . 39. K. G. Emeleus, Int. J. Electronics, 47, 97 (1979). 40. V. I. Demidov, N. B. Kolokolov, O. G. Toronov, Sov. Phys. Tech. Phys.,

29, 230 (1984). 41. N. L. S. Martin, A. von Engel, J. Phys. D, 10, 868 (1977). 42. H. E. Farnsworth, Phys. Rev., 25, 41 (1925). 43. K. Wiesemann, Z. Physik, 219, 462 (1969). 44. R. Mewe, Physica, 48, 626 (1970). 45. H. D. Hagst rum , Phys. Rev., 89, 244 (1953); 91, 543 (1953); 9S, 325

(1954); 104, 672 (1956). 46. G. Wehner, G. Medicus, J. Appl. Phys., 23, 1035 ( -1952). 47. H. Amemiya, K. Wiesemann, J. Phys. D: Appl. Phys., 5, 1829 (1972). 48. S. W. Rayment, N. D. Twiddy, J. Phys. D: Appl. Phys., 8, 2242 (1973). 49. R. J. D'Arcy, J. Phys. D: Appl. Phys., 7, 1391 (1974). so. E. P. Szuszczewicz, J. C. Holmes, J. Appl. Phys., 48, 5134 (1975). 51. T. Okuda, K. Yamamoto, J. Phys. Soc. Japan, 13, 1212 (1958). 52. K. Yamamoto, T. Okuda, J: Phys. Soc. Japan, II, 57, (1956). 53. A. Garscadden, K. G. Emeleus, Proc. Phys. Soc. (London), 78, 535 (1962) 54. A. Boschi, F. Magistrelli, Nuovo Cimento, 29, 487 (1963). 55. K. Matsumoto, M. Sat 0 , C~ntro Papers ICPIG XV, Minsk, 1981, p 1001. 56. S. Klagge, M Haas, Beitr. Plasmaphys., 23, 355 (1983). 57. G. Dllecce, M. Capitelli, S. De Benedictis, C. Gorse, in " Non

Equilibrium Processes in Partially Ionized Gases ", M. Capitelli and N. J. Bardsley eds., Plenum, New York, 1990.

58. H. Sabadil, S. Klagge, C~ntro Papers ICPIG XVII, Budapest, 1985 p. 322.

59. N. St. J. Braithwaite, N. P. M. Benjamin, J. E. Allen, J. Phys. E: Sci. Instr., 20, 1046 (1987).

60. T. I. Cox, V. G. I. Deschmukh, D. A. Hope, A. J. Hydes, N. St. J. Braithwaite, N.P.M. Benjamin, J. Phys. D: Appl. Phys, 20, 1046 (1987).

61. R. R. J. Gagn6, A. Cantin, J. Appl. Phys., 43, 2639 (1972). 62. J. D. Swift, Proc. Phys. Soc., 79, 697 (1962). 63. V. L. Fedorov, Sov. Phys. Tech. Phys., 30, 584, (1985). 64. A. Mezentsev, A.S. Mus tafaev , Sov. Phys. Tech. Phys., 30, 1319 (1985). 65. M. B. Hopkins, W. G. Graham, J. Phys. D: Appl. Phys., 20, 838 (1987). 66. V. A. Godyak, Sov. Phys. Tech. Phys.,18, 1073 (1972). 67. V.A. Godyak, A.S. lChanneh, IEEE Trans. Plasma Sci., PS-14, 112(1986). 68. J. P. Boeuf, P. Belenguer, in " Non Equilibrium Processes in Partially

Ionized Gases ", M. Capitelll and N. J. Bardsley eds., Plenum, New York, 1990.

44

Page 50: Plasma Technology: Fundamentals and Applications

THEORY, PROPERTIES AND APPLICATIONS OF NONEQUILIBRIUM PLASMA CREATED BY

EXTERNAL ENERGY SOURCES

INTRODUCTION

Edward Son

Moscow Institute of Physics and Technology Department of Physical Mechanics 141700 USSR, Moscow Region, Dolgoprudnaya

Nonequilibrium plasma, created by high energy sources is very effec­tive instrument for plasma-chemical reactions. By external energy sources it means electron, ion, and neutral beams, laser radiation or high elect­ric field, X-ray or gamma-radiation.

Plasma produced by thermal heating in devices like electric arcs is in equilibrium. The most useful plasma features are the result of excited ele­ctron energy levels in atoms and molecules, but in equilibrium plasma the fair for high reactive plasma ability is very high as a result of heavy particle heating. Opposite to this situation nonequilibrium plasma created by high energy sources is very effective because of small electron to ato~ mic particle mass ratio. As a result of interaction high energy sources of electrons with the neutral gas secondary fast electrons of the first gene­ration arise. Next stage is the degradation of the'electron energy from highly nonequilibrium energy distribution to equilibrium state with low mean energy. Energy of fast electrons effectively converts to atom excita­tion of electron levels due to effective free-bound electron-electron ener­gy exchange. Electron excited atoms are very effective in plasma-chemical reactions. Gas temperature at the process is low and for this reason the direction of plasma-chemical reactions is obvious - it goes to the equilib­rium gas or plasma composition at given pressure and temperature. Many pra­ctical applications are due to this fundamental property of nonequilibrium plasma.

The problem of electron energy distribution function (EEDF) arises in different applications of low temperature plasma created by electron beams, impulse laser or X - ray radiation and in all cases of external sources of ionization of molecular or atomic gases. The most important feature of non­equilibrium plasma created by high energy sources is in the high level of electron excitation of a gas with low gas temperature and for this reason plasma-chemical reactions proceed very rapidly. Reviews on the subject for stationary EEDF have been given by Konovalov and Son (1987).

Qualitative electron degradation spectrum or EEDF is shown in Fig.l, where l-primary electrons of the source, 2-cascade electrons, 3-electrons in the range of inelastic excitations, 4-thermal electrons.

Plosma TecluJology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 45

Page 51: Plasma Technology: Fundamentals and Applications

pf

Fig.l. Qualitative electron

degradation spectrum in a gas

Eb

cp eV-tcm-2 I

fOl7

10'6

IdS

c 0 2 ~ 6 8 e,eV

Fig.2. Electron flux in N2

l-Lappo et.al.(1980),2-Konova­lov,Son(1980),3-Suhre,Verdeen (1976),4-ibid.,experiment.

The results of calculation EEDF and comparision with the experiment are shown in Fig.2. for molecular nitrogen.

Nonstationary EEDF is essential for interactions of electron beams of high energy and laser radiation with gases, physics of ionosphere, propaga­ting of high frequency radio waves through a gas, laser breakdown and swit­ching on/off electric field or laser impulse radiation.

THEORY

Plasma created by high energy sources is highly nonequilibrium object and the description based on kinetic Boltzmann equation is necessary. EEDF can be found from kinetic equation with many elementary interactions of electrons with atoms and molecules. Data base for these processes is deve­loped and includes interaction electrons with important atmosphere gases with pollutants, rare and alkali gases.

Analytical methods solutions of stationary and nonstationary Boltzmann equation were developed. For nonstationary problems methods are based on the Green functions for moving electrons in energy space. Next stage of the theory is the plasma-chemical model for the processes. Plasma-chemical reactions include ionization of atoms and molecules in ground and excited states by electron impact, transitions between their electron states, elastic scattering and excitation of rotational and vibrational molecular states, dissociation of molecules and dissociative ionization, dissociative electron attachment and dissociative recombination, three-body recombina­tion and attachment with electron and ions, resonance and nonresonant charge transfer and ion conversion, ion - molecular reactions with cluster ions, collision processes between atomic particles in grounds and excited states - associative ionization, Penning processes, excitation transfer, transitions between vibrational states and chemical reactions.

Gas parameters (pressure, temperature, composition), energy and power of external source define plasma parameters - mean electron energy and density. The last one is 2-3 order lower than equilibrium value correspon­ding to the electron pressure and temperature. During penetrating electron beam through gas continuous thermal energy release in the volume. Extrac­tion of this energy is the problem which can be solved by gas flow through the region of the energy source. Characteristic time of the plasma- source must be more than the recombination time and less than the time of gas

46

Page 52: Plasma Technology: Fundamentals and Applications

heating. In this case active particles will produce in the volume and plasma-chemical processes will be effective. At high velocity the flow is in turbulent regime. Semiempirical theory of weak ionized plasma flows were developed and used in computer simulations.

A more general treatment of the electron degradation spectrum and de­termination of excitation and ionization rates are based on an analysis of the Boltzmann kinetic equation for the EEDF. The numerical solutions were carried out previously for some gases including molecular nitrogen, molecu­lar oxygen, e.a. by Konovalov and Son (1987), and atomic oxygen by Slinker et al. (1988). In the later work by Konovaloy (1991) calculations have been executed for varied N2 , O2 , 0 - mixtures with the more accurate data on

electron impact cross sections and simple semi-empirical formulas are pro­posed to determine electron energy expenses per excitations and ionization of molecules in an arbitrary mixture.

In an isotropic nonstationary weakly ionized plasma with electron -electron and electron-ion collisions being neglected the Boltzmann kinetic equation for EEDF f(c) represents a linear equation of the following form

8 8f p(c)8f18c =-8 (p(c)D(e)-8 )+St(f) + ~ St (f) + ~ St (f) + Q(c,t), (1)

c c m k k 1

(r, v, J)

1/2 p(c) = Cc - electron density in energy space, C = const, D = DE + DT -

electron diffusion coefficient in the energy space due to external electric field and elastic or rotational collisions, Q(c,t) is the intensity of the primary high energy electrons source; Stefl are the electron-molecule col­lisions integrals: elastic (m). inelastic excitation (k) and ionization (i). The excitations of rotational (r). vibrational (v) and electronic (j) molecular levels are taken into account separately. The sum of ionization integrals includes various ion states.

All the collision integrals may be expressed with the appropriate collision frequencies v(c) = Nv(c)~(c). N is the density of particles the electrons collide with, v is the electron velocity, ~ 1s the cross section of the elementary electron impact process.

If the electron energy distribution function is normalized to the electron density n

<Xl

J f(c. t)p(c)dc o

n • p(c) 1/2 C

the electron-molecule collisions integrals are as follows

St f = ~- [~~ V (c)c3/ 2 (f(C) + T ~_~1~1 )], m 8c M m q Bc

St f k

St f 1

f(c+c )(c+c )1/2V (c+c ) k k k k

<Xl 1/2 J f(c)c v (c ,min(c,c -c -c))dc

p p 11 P pip o

1/2 -f(c)c V(e),

I

(2)

(3)

(4)

(5)

47

Page 53: Plasma Technology: Fundamentals and Applications

u: -e )/2 p s

II (e ,e)= Nv(e)cr (e ,e), cr (e)= J' cr (e ,e )de, (6) Up. Up. lp 011 P • S

where m, M are electron and molecule masses, Tv is the gas temperature; ek

is the threshold of inelastic k-excitation, c is the ionization potential; cr(e ,C ) is the differential ionization cross section; c , c are energies

p • p •

of primary and secondary electrons in the elementary ionization act, c.= (e -e )/2.

p 1

In the continuous source of ionization EEDF essential nonmaxwellian. Electron degradation spectra has a nonexponential tail due to the source of fast electrons and disappearance elec- trons at low energy in attachment and recombination processes. The electron energy distribution has power tail on the same reason as in the Kolmogorov theory of local isotropic tur­bulence or Sagdeev theory of nonlinear plasma - wave interaction or power­plateau distributions on vibrational numbers in molecular gases with exter­nal sources of vibrational excitation. In all this cases - turbulence vor­tices, plasma waves, vibrational excited molecules or fast electrons in a gas there is a source of pumping at one region of spectrum, motion in the spectrum due to loses by small portions to opposite part of spectrum. In this part of spectra disappearance is due to viscosity for turbulence at high wave numbers, vibrational-translational relaxation in molecular gas or attachment and recombination at low energy for electrons in the field of external sources of ionization. In the "inertial interval" EEDF has the form

J Bf - rCu)D(u)--Bu

feu) IJIJ du rD(u)

(7a)

The problem under consideration is concerned to losing energy of pri­mary electrons and arising secondary electrons at low energy which also lose energy in electron-particles collisions. As a result we have electron degradation spectra or EEDF in a field of external sources of ionization -electron beams, laser or X-ray radiation with known spectra of primary electrons. For some physical applications it is interesting to consider two cases: impulse of external sources and switching on/off external source of primary electrons.

At the action of external sources of ionization in a gas secondary electrons arises due to ionization collisions primary electrons with atoms or molecules in ground states. In molecular gases there are collisions with rotational and vibrational excitation, electron level excitation and ioni­zation. In atomic gases we have only two last types of collisions and this is the reason for molecular gas is more general case.

In the present work the theory and the procedure for computing the nonstationary EEDF in molecular gases exposed by external sources of ioni­zation are presented. Any external source of ionization i.e. laser radia­tion, electron beam, X-ray etc. produces primary electrons of high energy, then primary electron loses energy in collisions with molecules and atoms in different types of collisions - elastic, with rotational, vibrational and electron levels e~citations. In ionization processes primary electrons not only loses energy but secondary electrons arises. The number of secon­dary electrons generated by one primary fast electron apprOXimately equals to the energy of primary electron diVided by the value of electron-ion pair

48

Page 54: Plasma Technology: Fundamentals and Applications

energy production which exceeds ionization potential due to loses energy of primary electrons in collisions electron and other levels excitation. For example, at energy of primary electrons 0.1 Mev in air number of secondary electrons produced by one primary electron is of order 3000 because of value of pair production for air 35 eV.

Electrons lose or increase energy in excitation or deexcitation collisions. These processes are shown in Fig.3.

TI level 2-

exc. deexc.

leve 1 1-

dee)(c. £-£~£+E.*

----~~r-------~------~~. ----> energy

exc.

Fig.3. Excitation and deexcitation processes between levels 1 and 2

and changing electron energy in this processes

For every pair of levels we have 4 terms in the Boltzmann equation in a case of excited molecules with supercollisions or collisions of second kind and only two terms in a case of without excited molecules in the se­cond level.

Boltzmann equation (1) is the nonstationary equation with shifted arguments. This is a result of quantum character of the problem under con­sideration. If energy lose essential lesser than given electron energy series distributions can be used. For processes with excited molecules, when 4 terms are taken into account, one finds diffusion approximation, because of cancellation first order terms. For processes without deexcita:­tion first terms of series doesn't cancel and we have equation of transport or convection type. For example, if gas temperature exceeds energy of rota­tional excitation, this term is diffusion type with diffusion coefficient of electrons in energy space, and it can be included in the first term in RHS (1). It is interesting to note that as it was pointed out by Raizer (1987) in the classical limit laser source has the form of Joule heating in diffusion form. Electron excitation term describes only excitation proces­ses and if the mentioned condition is correct, we have the convection type equation. But if the condition of series distributions invalid, formally it is necessary to take into account all terms of series distributions. It is well known, that ordinary differential equation of first order depends on one constant, second order on two constants, and n-order on n constants. On this reason differential equation with shifted arguments is equivalent to differential equation of infinite order and formal solution must contain infinite number of constants or electron distribution function must be known at some energy range.

In the Boltzmann equation at high energy essential only ionization and excitation of high electron levels. In this energy range electrons only lose there energy and· zero condi tion for t:EDF at energy essentially larger of energy source can be taken into account. The problem of numerical simu­lation is complex on the reason of wide energy range from energy of rotati­onal excitation up to electron beam energy of order 1 MeV. Energy loses in collisions are in the range 0.02 - 15.6 eV, electron excitation cross sec­tions change irregular in the range of 1.7 - 1000 eV. Low boundary energy defines by energy gap between ground states of molecule and nonstable nega­tive ion of nitrogen. Energy mesh in numerical simulation for necessary accuracy should be chosen lesser than smallest energy lose, it follows the number of energy steps in direct numerical simulation is of order 10 and consuming time is unreal. To avoid this problem analytical solution in es­sential energy region were found.

All energy region was divided in three parts: low (0-1.7), middle (1. 7-1000) arid high (lOOO-EB) energy regions, where numbers -energy in eV,

49

Page 55: Plasma Technology: Fundamentals and Applications

EB - energy of primary electron beam. In the High energy region the condi­tion of series distribution is valid and Boltzmann equation has' the differential form:

8f 8 82

(pvf) + -2(pDf) 8c

(7b)

where velocity and diffusion coefficient of electron ~otion on energy axes are defined by formulas: v(c} = ~ck vk(c}, D(c) = E ck Vk(c}.

For the kinetic problems of distributions with flows on spectrum it is convenient to use equation for flux t(c,t) = p(c)v(c)f(c,t). Boltzmann equation for electron flux is very similar to parabolic equation for ordi­nary transport and diffusion of impurity in a gas:

8~ 82

- v(c}-- = v(c)--2 8ca 8c [

D(c) 1 v(c) ~(c) + v(c)q(c,t) (8)

8t

Minus sign in convective term means that electrons move in the direc­tion of low energy. Physical sense of this equation is obvious - electrons move from energy beam to zero energy where they disappear in attachment and recombination processes. Electron distribution function is spreading in the energy space due to collisions. This equation describes.the motion of elec­trons on energy axes with energy dependence of velocity. The solution of equation (8) can be found by Green function method

~(c,t) = J G(c,t;c',O)~(c'}dc' + J G(c,t;c',t')v(c'}q(c',t)dc'dt' (9)

where G(c,t;c,t') is the Green function of equation (8). Kinetic equation in the High energy region with ext~rnal sources and

diffusion has the solution which also can be found by Green function method for electron flux.

The problem of calculating the electron flux from this equation is in the inhomogeneouty of Green function because of energy dependence of velo­city . Green function for equation (8) can be found from group properties

Iterative solution this equation allows to find out inhomogeneous Green function. Dividing an energy range in more and more parts on a some stage we will have so small difference between energy arguments in Green function, that energy dependence of velocity and diffusion coefficient is not essential and we can change Green function of nonuniform equation to the uniform one. The results of numerical simulations of nonstationary electron distribution functions calculated by two codes are presented in Fig.4 for high energy region. At the initial state EEDF has the form of gaussian fW1ction close to the a-function, later electrons lose their energy due to inelastic processes and the EEDF moves down and spreads in energy axes. In Fig.5-6 the results of temporary EEDF for two cases of impulse and switching on sources are shown. As a result of relaxation time for nonstationary EEDF there is the time delay of a gas ionization by the external sources.

50

Page 56: Plasma Technology: Fundamentals and Applications

Fig.4. Temporary evalution the EEDF in the high energy region for impulse source.

Fig.5. Temporaryevalution the EEDF in the low energy

region for impulse source: 1-t=10-13, 2_10-12 , 3_10-11

-10 -10 4-10 ,5-6,0 0 10 sec.

Fig.6. Energy deposition in electron levels excitation of N2 molecule: {_ A3L.. 1", " , 2 - B.} n Q , 3 - VI 3.1 " ' 4 _ B 3 1: -,

~ , u,

s- at ~Z~, 6 -a 'na',7- W(Ll~1

8 - C 3n, :7 _ a'/ 1 Z -I- ' I.< • 3'

NONEQUILIBRIUM PLASMA PROPERTIES

G,e V

W· J 0.0,",

0.02

o.oo~~~~~~~~~--~

IO-li( t, Sec

Plasma of real gases were considered and results of computer simula­tion are presented for molecular oxygen, nitrogen, carbon oxide and carbon dioxide,rare gases and their mixtures. Plasma properties at different ener­gy sources were calculated and incorporated in general numerical codes.

The calculations made by V.Konovalov (1991) of electron degradation spectrum in the atmospheric gas mixtures N2 , O2 , 0 have taken into account

both elastic electron - molecule collisions and the following inelastic

51

Page 57: Plasma Technology: Fundamentals and Applications

interactions of electrons: with the molecule Nz - total rotational excita­

tion, the exc~tation of 8 vibrational and 10 electronic levels, the ioniza­tion to 5 ion states and the dissociative ionization; with the molecule O2

- total rotational excitation, the excitation of 3 vibrational and 6 elec­troni~ levels, the ionization to 4 ion states and the dissociative ioniza­tion; with the atom 0 - the excitation of the ground state fine structure, the excitation of 7 electronic levels, the ionization to 4 ion states.

The used electron impact excitation cross sections of molecule Nz are

quite similar to ones from the data base of Yousfi, Galimberti et al. (1987). The electron impact excitation cross sections of molecule Oz were

selected from data by Islamov et al. (1977) and Yousfi, Galimberti et al. (1987).The cross sections of atom 0 were chosen from data of Ali (1981) and Slinker et al. (1988). At energies greater than 50 eV all the electron im­pact excitation cross sections of electronic levels were prolonged by the methods of Green and Stolarski (1972). The electron impact ionization cross sections were used in accordance with the data of Mantas (1973) and the da­ta of Opal et al. (1972) were used for differential ionization cross sec­tions.

The kinetic equation (1) with the terms (3)-(5) is the complex integ­ral-differential-difference equation .. It have been solved numerically in the energy region from the source electron energies ~f about 10 eV to the plasma electron energy 0.1 eV. At the energies 0.1 - 100 eV the calculation procedure uses the logarithmic scale with 100 step polnts per an order of energy, at the greater energies the energy axis step is constant and equals to 5 eV. The reliability of the electron distribution function calculations has been controlled by electron energy balance that is kept with accuracy 1Y..

Molecular nitrogen was chosen on the next reasons. First of all nitro­gen is essential part of air and external source ionization for ionosphere is space and sun rays, next reason that nitrogen is widely investigated and cross sections of elementary processes are known with sufficient accuracy. We will take into account next types of electron - molecule collisions in nitrogen: elastic collisions with energy lose of order 2 (mIM)u, rotatio­nal excitation with energy lose 8 (8 -is the rotational constant, for nit­rogen 8 = 0.02 eV)with small potential part at low eneTgy and high reso­nance part at energy 1.6 - 4.2 eV, resonance vibrational excitation levels v =1 - 8 with energy lose = 0.3 eV for first level and 2.4 eV for eight le­vel, then 14 electron levels excitation with energy lose = 6.14 eV for A level and 13.6 eV for electron level and ionization with energy lose equals to ionization potential 1=15.6 eV.

For cross sections of electron-molecule collisions data Galimberti e.a. (1987) were used in the energy region up to 1000 eV and analytical continuation at high energy of Bethe theoretical results.

Some results of calculations are presented in Fig.2.

EXPERIMENTAL INVESTIGATIONS

Three kinds of installations in MIPT science team were used for inves­tigations of nonequilibrium plasma properties produced by external energy sources. First is the continuous electron beam penetrating in the gas of given composition at pressures up to atmosphere. Electfon beam energy up to 120 KeV and power up to 50 KW (M.N.VasiIJev). Gas dynamic window for out­put fast electrons from electron gun diode to atmosphere. Second is the high energy beams (Energy 1 MeV, Power 2 MW) with many sections gas dynamic windows used for air plasma investigations (A.S,Koroteev. V,M,Belogrivtsev) And the third is impulse setups (Energy 1 Mev, Electric Current 1 A, time 1 msec) were developed for some applications (L.N.Dubrovski), Details of ex -

52

Page 58: Plasma Technology: Fundamentals and Applications

Relaxation path. m .f0

0.1 (00 ~oo

Electron energy, KeY

-T=lIiIDK -T=SIDK

600 800 (OdD

Fig.7. Stopping Power for Electron Beam in Air at atm9spheric pressure

0.6 Radial coordinate

0.6

0.2.

o o Longitudinal coordinate 0.6 0.8 t.O

Fig.8. Space Energy Distribution of Electron Beam in the Chamber 3 1-0.1, 2-0.3. 3-1, 4-3, 5-10, 6-30 J/cm .

perimental setups is described in [1]. Dimensions of setups are defined by electron Stopping Power. presented

in Fig.7 and space energy distribution, shown in Fig.S. Electron beam created plasma may be in the state of high nonideality,

Son (1981), because of at low temperature plasma parameter may rich high values:

r 0 e2/rDk! 0 :: [:;oe]'/2

For example, at n = 20 1013 , T = 20 K. r = 17 e

APPLICATIONS

There are many applications of nonequilibrium plasma created by external sources of ionization. Some of them developed in our Institution are:

53

Page 59: Plasma Technology: Fundamentals and Applications

1 Acceleration of Plasma-Chemical Processes

Electron beam take possibility to convert solid coal to liquid fuel. In the reaction of combustion of coal

-> 2H2 + CO <_ CH3COOH

the temperature dependence of equilibrium constant k = [CH3COOH]/[H2]2.

[CO] has the form given in the Table

Table 1

T,K 300 400 600 1500

k 1.5010 4 1.3 9.1010 -5 6.5010-10

So at room temperature k»l and in the equilibrium composition we have li­quid wood-spirit, but the rate of the the reaction 1s very slow. Electron beam sharply asselerate the reaction and plays the role of catalyst. There are many so kind of applications.

2. Flue Gas Cleaning

Electron beam fuel cleaning process is the effective method for flue gas from pollutions. The plasma - chemical model including 1500 reactions were developed. Numerical Program for producing FORTRAN code was created with Dr. V.Urovski Results of calculations are presented. The important role radicals OH and atoms produced by dissociation molecules play in gas clea­ning. Experimental results such as radiation doses, dependence of effici­ency of removing pollutants on gas temperature etc. were explained by the theory and numerical simulation.

Models for plasma-chemical reactions include up to 1500 processes. As an example a model of the gas reactions initiated by electron beam irradi­ation in flue gases including the main components N2 , °2 , H20, CO2 and

pollutant molecules S02' NO and N02 has been developed in our team by

V.L.Bychkov, V.A.Yurovski. The model includes excitation, dissociation and ionization of the flue gases by fast electrons, plasma-chemical processes of charged particles and chemical processes of neutrals and excited mole­cules and atoms. It includes also the processes of formation H2S04 and HN03 in reactions of S02 and NOx with atoms and radicals. The model take into

account 37 neutrals, 5 excited neutral species, 16 negative and 45 positive ions. The processes of plasma and gas heating also wer~ under consideration. The scheme of main processes is presented in Fig.9-l0.

The results of simUlation are presented in Fig.ll.

54

Page 60: Plasma Technology: Fundamentals and Applications

Fig.9. Electron and negative ion reactions in the flue gas

> > 0; >~>----lL---r""--'

> INO;I-<----l

Fig.l0 Positive ion reactions in the flue gas.

t,c;.ec

Fig.ll Time evolution of electron and ion concentration for dose lMrad/sec.

55

Page 61: Plasma Technology: Fundamentals and Applications

3. Beam plasma - surface treating

If closed chamber is filled by some kind of gas and electron beam penetra­tes through this gas to the surface, plasma desirable composition can be produced. The electron beam - surface treating in the presence of nonequi­librium plasma given composition may effective for high metal surface hardness. Experiments were carried out for some metals in atmosphere of nitrogen and carbon dioxide gases.

Applications of nonequilibrium electron-beam generated plasma for technologies are being investigated by a group of researches in MIPT under Dr. M.Vasiljev. This plasma being used increasing for synthesis and modifi­cation of materials impossible by conventional routes. New methods of nit­rite, carbide and oxide surface layer formation have been offered. Some electron beam equipment for plasma chemistry have been created. The unique possibilities of this equipment was demonstrated by examples from homoge­neous phase and from plasma-liquid and plasma-solid interactions.

The equipment seems very attractive to chemistry because the processes can be carried out in large volumes and because of highly nonmaxwellian EEDF in plasma which permits adjustment to the specific problem. Reactive species which can be easily generated in plasmas are in atomic, excited atoms and radical states. They are easily formed by dissociation of molecu­lar gases while hydrogen and nitrogen atoms are used mainly in inorganic reactions , oxygen and carbon atoms are of special interest to organic re­actions. The mass through put of reactors can be increased by using plasma­liquid interaction. In this field future development will aim at increaSing the surface area of liquids and solids by using small droplets, sprays or powder of species.

4. Nonselfmaintained discharges

External sources of ionization are used for stabilization of electric dis­charges. Electrical. energetic. gas dynamic and thermal characteristics in the laminar and turbulent gas flow were measured for discharges with exter­nal sources of ionization [2). Results can be applied not only for dischar­ge gas lasers but for nonequilibrium plasma technology.

S.MHD with external ionization

Nonequilibrium plasma created by external sources may be effective in MHO Generators. Efficiency of MHO thermal to electric energy transfer depends on the electric conductivity of the gas. It can be reached by using alkali metal gases or by external energy sources. For example, energy balance for He has the next form:

~u = ~u. + ~u + ~u ion exc heat

The input energy divides into ionization, excitation and gas heating. For

~~eat = 18.5 eV temperature increasing can be found from the equation

3 - kAT 2

n L _e Ar: 0 -

no heat V't

Electron concentration defines by the source length of the channel L and gas velocoty V:

n e

q't L 1 - exp (- V't ) )

power q, recombination time 't

At LlV 1 5 n = 201013 cm-3 n 't= ., e 0 301019 cm-3 gas heating AT = 0.1 K,

but electron concentration sufficient for generating electric energy.

56

Page 62: Plasma Technology: Fundamentals and Applications

6.0ther applications

In all technological processes were it is necessary to active chemical re­actions external sources of ionization can be used. The mechanism of this action is in effective excitation of electron particle shells without gas heating and high reactivity of excited atoms and molecules.

7.0utlet of Electron Beam from vacuum to atmosphere

In accelerators the electron beam of high energy is formed and accelerates in deep vacuum conditions. For flue gas cleaning or EB Chemical Reactor it is necessary to solve the problem outlet the electron beam into a gas of atmospheric pressure. This problem can be solved by two methods-using foil window or sluice gas dynamic system (SGDS).

The method of electron beam outlet through the foil is simple and is used in the most of industry and scientific accelerators. The equipment is not complex, but there are opposite demands to the foil - it must be strong because of high pressure difference on other sides of the foil, but more thickness, more EB energy loss in the foil. The criterion for the foil is the maximum current density because of specific energy loses in the range of 0.4-1 MeV weak depends on electron energy. Next parameter is the energy efficiency of the foil. The main reason of break the foil during EB action is the overhp.ating the foil. There are two cooling methods for foils-first is the use of air flow and the second is the heat conductivity along the foil to cooled by liquid the frame of foil window. The results which can be reached for foilZ are: for Titanium foil d=20 ~ in the form of chink D=2 cm, jmax=3 mA/cm . For new materials current density may reach to 10

2 2 mA/cm. In modern accelerators the low current density (0.1-0.15 mAlcm ) is

used which is the result of thick foil and low speed of cooling air. There are some limitations for foils: limited technological treatment, corrosive stability, oxidation and others. Output of Electron Beam of high power need the foil window of large area with special electromagnetic equipment with homogeneous scanning of concentrated EB on foil surface.

The alternative method of outlet concentrated EB is the output system of many step sluice system of differential pumping out. The number of steps defines by diameters of orifices in diaphragms and pumps out productivity. The dimensions and cost of pumps depend on the pump productivity, so the main problem is to have effective pumps. The results of analysis the number of steps in outlet device show the optimal number of steps are 5. The pro­ductivity of vacuum system is lesser at smaller diameters of orifices in diaphragms. The orifice diameter is defined by transverse EB profile and should be more or in limiting case equal to beam diameter in the cross -section of transport channel . For less orifice diameters the beam focusing is used with the electromagnetic lens between sluices. The features of dif­ferential system of gas dynamic window are long dimension and conditions changing at the input to the next sluice chamber. In the first chamber electron ream penetrates through the rarefied media, where scattering and absorption is not essential but at the final stages at high pressures these effects are important. To put small diaphragm at fIrst stages and concen­trate the EB to smkll orifice is the first problem, next - is the over com­pressing the beam which is the problem because of scattering processes EB in a gas and energy nonstabilities. To make the system of differential win­dow more effective the method, proposed by A.S.Koroteev and M.N.Vasiljev may be used. In this method the orifice in carbon material is burned by EB and it is not necessary to focus the beam to small orifice.

ACKNOWLEDGEMENT

This work was supported by State Enterprise "ECOPHYSICS ". Part of the numerical simulation were developed at the Department of Physics University

57

Page 63: Plasma Technology: Fundamentals and Applications

College of Swansea on VAX - 8700. My deep acknowledgements to Professors J.Dutton, C.Grey-Morgan, T.Davies, M.Capitelly and J.Bretagne for fruitful discussions. The author is grateful to Prof. A.S.Koroteev, Dr. M.N.VasilJev, Dr. L.N.Dubrovski, Dr. V.P.Konovalov, Dr. V.L.Bychkov, Dr. V.Yurovski, Dr.Belogrivtsev and Mr. M.Skorik for helpful discussions regarding this review. .

REFERENCES

1. Konovalov V.P., Son E.E. (1987). Electron degradation spectra in gases. In: Plasma Chemistry. Vol. 14. Energoatomizdat, Moscow, p. 194. (In russian).

2.Tokunaga 0., Suzuki N., Radiat. Phys. Chem. 1984, v.24 N 1.2.Person J.C., Ham D.O. ibid. 1988, v.31, N 1-3, P.1.

3.Jentry J.W., Paum H.R. et al. ibid. 1988, v.31, N 1-3, P. 95. 4.Ali A.W. (1981). Excitation and ionization cross sections for electron beam and microwave energy deposition in air. - Naval Research Laboratory. Memorandum report N 4598, p. 1. Washington.

4.Green A.E.S., Stolarski R.S. (1972). Analytical model of electron impact excitation cross sections. - J. Atmos. Terr. Phys., v. 34, N 10, p. 1703.

5. Islamov R.S., Kotchetov I.V., Pevgov V.G. (1977). Analysis of electron

interactions with the molecule 0 . - Lebedev Physical Institute. Report N 2

196, p. 1. Moscow. (In russian).

6.Mantas G.P. (1973) Electron collision processes University of Illinois. Aeronomy report N 54, p.

in the ionosphere. 1. Urbana.

7.0pal C.B., Beaty E.C., Peterson W.K. (1972).Table of energy and angular distributions of electrons ejected from simple gases by electron impact. Atom. Data, 1972, v. 4, N I, p. 209.

9.Slinker S.P., Taylor R.D., Ali A.W. (1988) Electron energy deposition in atomic oxygen. - J. Appl. Phys. v. 63, N I, p. 1.

10.Yousfi M., Azzi N., Segur P.; Gallimberti I., Standherlin S. (1987). Electron-molecule collision cross sections and electron swarm parameters in some atmuspheric gases. - Centre de Physique Atomique de Toulouse; Istituto di Elettrotecnica ed Elettronica, Universita di Padova ..

II.Son E.E. (1983) Kinetic Processes in the Nonequillbrium Nonldeal Plasmas, II Int.Workshop on Nonideal Plasmas, Greifswald, p.137-143.

12.Willibald U., Platzer K.-H., Wittig S. (1990). Flue.gas cleaning by the electron - beam process (I,ll), Radiat.Phys.Chem. ,v.35

13.Bretagne J., Godart J, Puech V, (1982) J.Phys. D, v.15,2205.

58

Page 64: Plasma Technology: Fundamentals and Applications

NON-EQUILIBRIUM PLASMA MODELING

Abstract

M. Capitelli, R. Celiberto, G. Capriati, C. Gorse and S. Longo

Centro di Studio per la Chimica dei Plasmi del C. N. R. and Dipartimento di Chimica dell 'Universita di Bari (Italy)

We present different examples of non-equilibrium plasma modeling interesting technological applications. In particular we discuss some aspects of plasma modeling in excimer lasers, negative ion sources, nitrogen afterglow and RF discharges emphasizing the theoretical common points linking different applications.

1. Introduction

Modeling of electrical discharges for technological applications is a research field subjected to wide development in order to improve our basic knowledge about plasmas and about processes occurring in it. Among the different models we want to examine those concerning some of the applications presented in this workshop, which covers a broad range of topics from from excimer lasers to negative ion sources passing through RF discharges used for plasma material treatment ending to afterglow plasmas.

The different applications allow us to develop plasma modeling at different levels of sophistication due to the relevant basic elementary mechanisms responsible of the particular process. As an example in the case of negative ion sources we emphasize the role of non-equilibrium vibrational kinetics of H2 (02) in the formation of H- (0-) through dissociative attachment of vibrationally excited molecules, while in the case of excimer laser kinetics attention is paid on the coupling between the external circuit sustaining the discharge and the microscopic kinetics occurring in the laser medium. In RF discharges we present different models able to predict the properties of these media either on the microscopic point of view or on the macroscopic one. Finally we will follow the electron energy distribution function (eedf) and different rate coefficients in the nitrogen afterglow used in metal nitriding.

Plasma Technology. Edited by M. CapitelIi and C. Gorse Plenum Press. New York, 1992 59

Page 65: Plasma Technology: Fundamentals and Applications

60

;-... I

> ..! ... '"

10-2

10-4

10-8

10-8

10-10

E/N=O Vcm 2

1-tpd=OS 2-tpd = 3.7 10-7• 3-tpd =0.1.

o 5 10 15 20 ENERGY leV)

Fig .1. eedf in nitrogen afterglow at different times (taken from /13/)

Page 66: Plasma Technology: Fundamentals and Applications

At a first observation the different examples have no common points. However a deeper inspection shows a common link in the modeling which is represented by the solution of Boltzmann equation for eedf and its coupling to the different kinetics (vibrational, electronic, dissociation) occurring in the plasma. Such a linking will be emphasized in the present paper to show how the different technological applications can be described by a general theoretical scheme which couples microscopic and macroscopic kinetics.

2. General Considerations

The key point of plasma modeling is the solution of the Boltzmann equation for eedf which can be written in the following implicit form

where the different terms represent respectively the spread over the energy axis of electrons due electric field, elastic collisions of electrons with heavy species, electron-electron collisions, inelastic processes, superelastic vibrational and electronic processes, ionization, sources (e.g. electric beams) , and losses. Explicit expressions for these terms can be found elsewhere 11-2 I, for example for the two terms (dJf Ide) and (dJel Ide) we have

(dJf Ide) 2Ne2 (E/N)2 £ (n/2£-dnld£) I (3meV IN) 2)

(dJel Ide) = v I (n (KT/2-£) -KT £ (dnlde) ) 3)

where N is the particle density, e the electron charge, K the Boltzmann constant, T the gas temperature, V and v I the elastic collision and momentum transfer frequency.

Particular attention must be paid to supere1astic vibrational and electronic terms. Superelastic collisions in fact tend to return to electrons part of the energy they have lost in inelastic collisions through the processes

4)

5)

where M2v and M2* represent respectively a vibrational and electronic excited state. Both terms (Supv, Sup*) depend on the concentration of vibrationally (Nv ) and electronic (N*) states, which can be obtained by solving the vibrational and electronic master equations. These equations in turn depend on eedf through the relevant rate coefficients so that a coupled solution of Boltzmann equation and of the master equations must be performed to obtain a realistic description of the plasma under study (see refs. 1-3 for details) . Of course the explicit form of the source term depends on the particular discharge under consideration as well as on the assumption made on the electric field existing in the plasma.

61

Page 67: Plasma Technology: Fundamentals and Applications

62

10-6 10-1 10-4 10-3 10-2 10-1

i 10-8 DEM (all v) a

..! .. ---DEM (v.Oj ....... " C ..

10-10 '\ .. 1/1 \ c 0 \ u E/N-o Vcm& C 10-12 0 .. . ! § 10-14

1/1

0 PVM 10-16

"i E/N ,. 0 Vc.m'l. ..! 10-11 ..

C DE~ (al v) ..

~ 10-13 ------IiM"-(v:'Oi-------· c 8 c 0 10-11 .. 1\1 N

C .!! 10-1~

time (s)

Fig. 2. relaxation of ionization and dissociation rate constants in nitrogen afterglow

Page 68: Plasma Technology: Fundamentals and Applications

3. Nitrogen after-glow

Ricard /4 / discussed in this workshop the use of nitrogen post-discharge in nitriding metal surfaces, trying also to understand the active speCles present in the afterglow. This problem is still difficult to solve because of the complexity of the different kinetics acting in a nitrogen post discharge. Here we want to show the behaviour of eedf in the post discharge as well as of the dissociation and ionization rate coefficients. We apply a kinetic model developed in our laboratory in these last years /1-3 /. Basically we solve at the same time the Boltzmann equation for eedf, the vibrational master equation for the whole manifold of N2, the electronic master equation for the most important electronic states of N2 (i. e. A31:, B3n, c3n ) and the dissociation kinetics. This last kinetics includes the possibility of dissociating N2 by pure vibrational mechanisms (PVM) , by direct electron impact from the ground vibrational level of N2 (DEM (v=O) ) and by direct electron impact from all vibrational levels of N2 (DEM all v) /5 /. The (oJf /oe) term appearing in equation 1 is put equal to zero i. e. the relaxation of eedf occurs 'in the field of excited states': the discharge prepares non-equilibrium vibrational and electronic distributions, which tend to sustain eedf in the post discharge. This point can be appreciated in figure 1 where the relaxation of eedf in the nitrogen after-glow is reported. Note that curve 1 refers to eedf in the discharge (time t=O for the post discharge) while curves 2 and 3 show eedf in the early (t=10-7 sec) and late (10- l sec) stages of the evolution. To understand these curves we must remind that:

1) the effect of superelastic vibrational collisions in the post-discharge is such to maintain an electron energy Maxwell distribution function at Te=TOl (Te is the electron temperature and TOl is the vibrational temperature of diatomic species)

2) the effect of such to overpopulate plateaus starting at considered state

superelastic electronic collisions is the tail of eedf by creating long

e*, e* being the threshold energy of

3) eedf under the absence of excited states (i. e. without considering superelastic collisions) as well as without the presence of electric field relaxes toward a Dirac o (e) function located at zero energy. Moreover under the conditions reported in figure 1 we can say that TOl relaxes from 4000 0 K (t=O) to 30000 K (t=10- l sec) while the sum of the concentrations of A and B states slightly increases as a result of the redistribution of vibrational and electronic energies from t=O (A+B=3. 3 10 9cm- 3 ) to t=10- l sec (A+B=3. 6 109 cm- 3 ) Keeping in mind these points we can understand the relaxation of eedf (in the energy range less than 5 eV) passing from the discharge regime (t=O) to the post-discharge regime (curves 2, 3) with decreasing TOl values. We see that the slope of eedf in the low-energy part of eedf (0-5eV) which is related to Te decreases with decreasing T01. At the same time the tail (i.e. the high-energy part) of eedf (e >5eV) is controlled by superelastic collisions

63

Page 69: Plasma Technology: Fundamentals and Applications

64

I DISCHARGE CIRCUIT

electron density (ne ) t and mobility (~e) I I V ,

BOLTZMANN EQUATION

electron density (ne) t 1 heavy particle density I

KINETICS

electronic rate coefficients (Ke )

! photon density (h 111 )

Fig.4. scheme of a self-consistent model /6/

Page 70: Plasma Technology: Fundamentals and Applications

6)

7)

with electronic states, the concentration of which (as a sum) is practically constant in time.

Another interesting aspect of the behaviour of NZ in the after-glow is that the vibrational distribution of N2 slowly relaxes, keeping in the afterglow the possibility of chemical reactions promoted by a redistribution of vibrational energy. This point can be understood by looking at figure 2 where we have reported the relaxation of dissociation and ionization rate constants. We can see that approximately all the reported constants keep constant their values up to the millisecond scale, starting to decrease from 10-3 sec on as a result of the depopulation of vibrational states. We must remind that the different curves refer to the different dissociation mechanisms mentioned before (see ref. 5 for details) . Similar mechanisms can be envisaged for the ionization process 15 I. in this last case (figure 2b) we have reported the ionization frequency from the metastable A3L state i. e. for the process

8)

Inspection of figure 2a-b shows that in the after-glow PVM mechanisms can still create atoms and ions and that this point should be considered for understanding the concentratior~ of active species in a post-discharge regime. Of course the results reported in fugs. 1-2 must be considered only from the qualitative point of view as widely discussed in our previous works.

4. Excimer Laser Kinetics

Excimer laser modeling requires the solution of Boltzmann equation, of plasma kinetics, of photon kinetics coupled to the discharge circuit 16-7 I. This last is typically an RCL circuit shown in figure 3. The coupling between the discharge circuit equations and the other kinetics (see fig. 4) occurs through the time dependent resistance of the laser medium RL (t) which is calculated by means of the equation

d I (~e (t) ne (t) A e) 9)

where d is the distance between the electrodes, ~e (t) and ne (t) are the electron time dependent mobility and density, A is the transverse section of the discharge and e is the electron charge. The electron mobility is obtained by solving the Boltzmann equation, while the electron density is obtained by solving the plasma kinetjcs linked to the Boltzmann equation through electron-electron and superelastic collisions. For the circuit reported in figure 3 the electrical field is time depending, presenting a strong maximum and a long plateau. As for the plasmachemistry operating in the laser medium (we consider a XeCl laser) , sophisticated models have been developed including hundreds of chemical reactions 16-7 I. A

65

Page 71: Plasma Technology: Fundamentals and Applications

66

5r---------------------------------------------~

3

2

o

I ,.. I

, \ I .... , , ,current ,

I I

100

, '-' \ oJ

200 300

\ \ "',

400

\

'-, , \

'"" \ \ \

500 Time (n,)

.... \ 1

Fig.5. experimental time evolution of the signal gain (solid points), discharge current (dashed line) and voltage (solid line). Arbitrary units for electrical quantities /8/

14~.

122.

-< 1tata. ;!

>C ISIC!. «I E

..!!! sra.

4la.

22.

la. 35. 45. c::-

-::). 65. charging voltage (kV)

Fig.6. Theoretical (solid line) and experimental (dashed line) peak discharge current as a function of initial voltage on the main capacitor bank /7/

Page 72: Plasma Technology: Fundamentals and Applications

simplified scheme should at least include the following processes

e + Xe -> e + Xe* 10)

e + Xe -> Xe+ + 2e 11)

e + Xe* -> xe+ + 2e 12)

e + HCl -> e + HCl (v) 13)

HCl (v) + e -> H + Cl- 14)

Xe+ + Cl- -> XeCl* 15)

XeCl* -> Xe + Cl + hY 16)

XeCl* + hY -> Xe + Cl + 2hy 17)

i.e. the laser molecule XeCl* is produced by recombination between Xe+ and Cl- ions, these last species being produced by electron impact processes.

The rate coefficients of the different processes can be calculated by eedf, which in turn depends on the concentrations of excited states (superelastic collisions) as well as on the electron density (Coulomb collisions) . Again a selfconsistent model must couple the different kinetics. The output of the model gives us informations about the electrical characteristics (voltage and current intensity) , of the ene!r'gy irradiated by the laser as well as of the chemical composition of the laser medium. Some of these quantities (voltage, current, laser energy) can be easily detected experimentally so that one can compare experimental and theoretical results. In doing so however one must be aware of the complexity of the system under study, so that once again this kind of comparison must be judged only from the qualitative point of view. To improve this kind of comparison one should experimentally detect the concentration of electronic and vibrational states as well of the electron density, which are more sensitive to model assumptions.

Figure 5 reports typical experimental measurements 18 lof the temporal evolution of voltage, current and laser gain (cm-1) showing the presence of maxima in all these quantities. The modeling is able to follow them as can be appreciated in figures 6-8. In particular figs. 6-7 report the maximum values of voltage and current intensity as a function of charging voltage Vo , while figure 8 reports the laser energy as a function of the same parameter (details can be found in ref. 17 I) We can see a satisfactory agreement between theory and experiments, which means that the theoretical code can be used in a predictive form to optimize the laser characteristics.

5. Negative Ions (H- ID-) Sources

Another interesting example of plasma kinetics is the modeling of multipolar magnetic plasmas for the production of intense

67

Page 73: Plasma Technology: Fundamentals and Applications

68

14e.

12e.

I~e.

~ se. --)( se. cu E ~ 4e.

ze.

e. 35.

-------

45.

--.)(--

c::­-~.

_-Ie ---

65. charging voltage (kV)

Fig.7. Theoretical (solid line) and experimental (dashed line) peak discharge voltage as a function of initial voltage on the main capacitor bank

31<3.

25.

--j 22. cu '6 15. I!! >-e> Ie. ~ Q) ~

i 5 . .!!!

2.~ __ ~ __ ~ __ ~ __ ~ __ ~~ __ ~~

35 . 42 . 45 . 5<1 . 55 . 62 . 65 .

charging voltage (kV)

Fig. 8; Theoretical (solid line: full model, dashed line: disregarding e-e collisions) and experimental (dots) laser energy radiated as a function of initial voltage on the main capacitor bank /7/

Page 74: Plasma Technology: Fundamentals and Applications

beams of negative ions (H-, D-) 19 I. These discharges operate with an heated filament which emits electrons. These electrons are then accelerated at approximately 100 eV and impinge H2 (D2) at low pressure (1-20 mtorr) i. e. the source term of eq. 1 is written 110 las

18)

where Id is the discharge current, Volp is the plasma volume, e is the electron charge and AEp is the energy spread of primary electrons. The loss term in this case include recombination of electrons in gas phase as well as loss on the metallic walls. A multicusp magnetic configuration ( 19 I) is able to confine electrons in the discharge. The accepted mechanism for producing H- (D-) is dissociative attachment from vibrationally excited molecules i. e.

19)

e +D2 (v) -> D + D- 20)

Keeping in mind that the cross sections of processes 19-20 increase by orders of magnitude passing from v=O to higher v we can understand the importance of highly populated non­equilibrium vibrational distributions of H2 (D2) in producing H- (D-) Modeling in this case is a state to state description of vibrational levels of H2 (D2) implying the knowledge of several elementary processes involving the creation and destruction of vibrationally excited molecules. pumping of vibrational energy in the vibrational manifold occurs through electron impact processes (e-V, E-V) and recombination of atoms and ions on the metallic surfaces, while relaxation of vibrational energy occurs either in gas phase (V-T relaxation with atoms and molecules) or in the interaction with metallic surfaces (see ref. 110-11 Ifor details)

A complex numerical code 110-11 I has been built up in the last few years to understand this situation. The code is based on the simultaneous solution of the Boltzmann equation, of the vibrational master equation ,of the dissociation and negative ion kinetics. The present status of the code can be considered as a predictive one. This point is confirmed by its ability to reproduce many experimental results (eedf, vibrational distributions, electron temperature, electron density, negative ion concentration, atomic concentration) as a function of different plasma parameters current intensity, pressure). As an example figure 9a-b reports experimental 112 I and theoretical 113 I vibrational distributions of H2 at different pressures, while figure 10 a-d shows a comparison of theoretical and experimental results for electron density (ne ), electron temperature (Te) , concentration of atoms and negative ions as a function of current intensity Id. In all cases we can see a satisfactory agreement between theory and experimental results. In figure 10 a-d we have also reported theoretical results for the D2 system 113 Iwhich behaves very similar to H2. This last point can be better understood looking at figure 11a-b, where we have reported theoretical eedf and vibrational distributions for H2 and D2.

69

Page 75: Plasma Technology: Fundamentals and Applications

.. E .2

" ~ -'w; C II "t)

c -S II

10"

10"

1012

10"

c P. 2.2!1m lo,r , P '''.11 m lor,

m torr p. 1!1 m lor,

Theory o P. 2.25 m IDrr Apt".5 mlorr

• p. 7.!S m torr 4 p. 1!1 m ID,r

"[ 10,a 0 Il. a'

10· 0 2 3 4 II 2 3 4 II

Vibrational quantum number Vibrational quantum number

Fig.9. experimental (a) and theoretical (b) vibrational distributions of H2 at different pressures in an H- source /11/

9 1.3 .. , 1.1 e 7 -;

:" • 5! 5 t!- 0.9

• c

3 0.7 bl

O.S 1 0 10 2~ 30 0 10 20 30

Id lAI Id lAI

18

45 ~ (w) .. .,.

~ (H) expo 16 • (H-) tho • [H] tho ;:; .. ,

14 35 e ,

" e • 12 " 5! to

-5! T' 10 0

25 0 :z: 0

:z: 8 15 0

01 8 dl 0

!5 4

0 10 20 30 0 10 20 30

Id lAI Id lAI

Fig.10. comparison of experimental and theoretical results for electron density (a) , electron temperature (b) , concentration of atoms (c) , and concentration of negative ions (d) as a function of current intensity in W source /11/ , open circles refer to the D2 system /13/

70

Page 76: Plasma Technology: Fundamentals and Applications

To end this section we can say that the microscopic knowledge of the elementary processes occurring in H2 (D2) plasmas as well as the macroscopic description via plasma physics of multicusp discharges is such to effectively help the building up of negative ion sources for fusion research.

6. RF Discharges

Wide use of RF discharges in different fields of technology (see for ex refs. 14-15) pushed many laboratories to understand the properties of these systems.

Basically three models have been developed: 1) according to the first one the radio frequency field is

supposed to enter in the bulk of plasma and the kinetics of free electrons is studied by solving a time dependent Boltzmann equation 116-18 1

2) the second approach (fluid model) is a macroscopic model which solves the Poisson eqUation for the electric field coupled to the kinetics for electrons and ions 119 1

3) the third approach consists in using a Monte Carlo method to follow the electron component in a time and space dependent electric field, previously obtained by the fluid model 120, 21 I. Only recently this approach has introduced a selfconsistent electric field 122 I. Under particular conditions any model works better than the other two : probably the best, even though time consuming, model is the one based on the Monte Carlo method with selfconsistent.field.

6a. Time Dependent Boltzmann Equation

We solve a time dependent Boltzmann equation basically written in the form of eq. 1 (see ref.16-18 for details) The source term appearing in it is again given by eq. 2, the electric field presenting a sinusoidal form of the type

E=EO cos cot 21)

where Eo is the field amplitude and co is the field frequency. We can obtain a selfconsistent electric field Eo necessary to sustain the discharge by imposing that electron production by ionization and electron loss by attachment and by finite electron life time, averaged over one RF field period, become equal 116 I. A sample of results for pure SiH4 discharges 116/is reported in figure 12a-c and figure 13. In particular figure 12a-c report the evolution of eedf at different frequencies and for different reduced times t'=tco/2xpo in a period, while figure 13 shows the temporal evolution of vibrational excitation frequency of SiH4 as a function of t'. We can note that modulation of eedf as well as of vibrational excitation frequency decreases with increasing CO even though still at CO = 10 8x s-l modulation is present. Note also that the selfconsistent field is only slightly affected by field frequency, its value slightly increasing by increasing the field frequency by two orders of magnitude.

71

Page 77: Plasma Technology: Fundamentals and Applications

;:; I

E ..2 .I j • "a I:: .2 .. • i c.

Fig.1l.

72

10'0

20

a , ..

"-\b' j \ " I , I , I . ,

80

ENERGV (eV)

5 10 15

100

b

VIBRATIONAL QUANTUM NUMBER

theoretical eedf and vibrational distributions for H2 (a) and D2 (b)

Page 78: Plasma Technology: Fundamentals and Applications

More important is the dependence of Eo on the presence of fixed concentrations of excited states, as can be appreciated from figure 14. This figures reports the evolution of eedf in the presence of given concentrations of vibrational and electronic, which through superelastic collisions affect both eedf and Eo.

6b) Fluid Models

In this case one solves continuity, momentum and energy equations for electrons (bulk and beam) and for ions coupled to the Poisson equation for the electric field /19 /. As output the fluid models give- the spatial distribution of electric field, electron and ion densities, current densities, plasma potential, and other macroscopic coefficients such as the ionization and attachment one. Moreover it is possible to calculate the time variation of the above quantities at different points of the discharge. The two electron-group fluid-model adds to these features the capability to distinguish and analyse two important RF g-low discharge regimes:the wave riding regime and the secondary emission one. In both mechanisms the main role of deposited energy into the discharges is played by the sheath regions: in the first mechanism energy is transfered from the electric field to the bulk of electrons by means of the sheath expansions, in the second one emitted electrons gain energy because of the strong electric field gradient present in the sheath.

Figure 15 shows typical results for electric field and for the the densities of positive and negative ions obtained with the fluid model in the case of Helium discharge. In this case we can see that the electric field in the bulk plasma is almost zero, while is very important in the regions near electrodes. In the case of silane plasma, however, the field in the bulk plasma is not any more negligible so that the results reported in figures 12-13 have been used to partially explain the experimental results reported in /23 /

6c) Monte Carlo Method

In the Monte Carlo method the electron motion is explicitly calculated by using Newton's law after any collision to find the electron position and velocity immediately before the next collision, taking into account the electric field.

Values of quantities with known probability distribution (time to next collision, kind of collision, scattering angle) are introduced by generating properly distributed random numbers. In particular, the time of free flight can be calculated by introducing a so called 'null' collision process /24/, i. e. a collision process which has no effect on the electron velocity, in such a way that the total ( including null) collision frequency does not depend on the electron energy.

Electron energy distribution function, space distribution of electrons, etc. are then calculated by statistical techniques: to get a stationary solution one works with a limited «100, but often only one) electron ensemble and store

73

Page 79: Plasma Technology: Fundamentals and Applications

10'

10°

100'

1/)0Z

10.3

10"

10·$

1/)"

., 10 0

10"

10"

~ [.y"l'I)

t

.... 0.25

llUJI ~ [.v~]

1

SiI\ 1Il. ..... 'o'i'1orro

'

P" t,"114.2 Vcni'Torro

,

~1",I""Po2,,1

(a)

25

(e)

, -U[I'Ij"

'O"~O---:!-5--~'0:--""';'-:,~--\':=-....l.-~25 Periodic behavior of the isotropic distribution at W/P J = W·10'*.

'O~

10-4

100$

1/)"

1007

10·' 0

, I

5

Sil\ 1Il ..... 1O'i'Torr"1 I\,

~sl14.2 VCIII'Torr04

~.i""""2'"

( b)

, , , , , , , , , ,

10

E (d)

Fig 0120 temporal evolution of the eedf in an RF discharge in pure SiH4 for various frequencies (the curves refer to different reduced times in the RF cycle, fig.12d) /16/

74

25

Page 80: Plasma Technology: Fundamentals and Applications

the dynamical quantities of any electron at any collision process /25/, whereas for time dependent solution one works with a large (>1000) electron ensemble and store dynamical quantities at given times.

Special methods can be used when one is interested to the tail of the eedf, which contains only a very small number of electron and is therefore not easily calculated by using the common Monte Carlo Methods /26/

An enormous quantity of 'tricks' is nowadays available in the literature for almost any specific problem , so always it should be devoted some time to find the better strategy to speed-up calculations: Monte Carlo methods are in fact extremely time expensive if not properly used; however, they are extremely flexible if properly used, allowing to work out in a simple way dimensional models of RF discharges, breakdown, instabilities, and test the effect of collision cross section anisotropies on eedf.

As an example of application of the method, we present some Monte Carlo calculations of stationary electron energy distribution functions which have been recently performed for different regions of an RF discharge in helium in the following conditions: frequency = 27 MHz, two reflecting plane electrodes separated by 3cm , Vrf = 500 volts , pressure = 2torr /21 /

A time and space dependent electric field E (x, t) was assumed using the following expression:

E (x, t) H(0.5 C (1+ cos 2xft ) - x C /ls) -H(0.5 C (1- cos 2xft ) - (d-x) C /ls)

22)

where H(X) = max(O,x), x is the distance from the electrode, C the peak value of the electric field, f the frequency, ls the peak sheath length.

A value ls=0.3cm for the peak sheath length was assumed. All collisions performed by 10 electrons during 0.1 ms

were taken into account in three space regions being located at: O<x<lcm, 1cm<x<2cm, 2cm<x<3cm. The results obtained for the first two regions are reported in fig. 16.

Note that our calculations clearly indicatE;! a temperature behaviour in low-energy range for eedf, behaviour being in agreement with the recent measurements in our laboratory in similar conditions /27 /.

7. Conclusions

two this made

In the present paper we have presented different examples of plasma modeling emphasizing in particular the linking between the different applications. As a general comment we can say that plasma modeling is now a research tool which can be used as a predictive way for improving the yield of specific processes. Moreover it can be used to predict new experimental situations for technological applications. In this sense plasma modeling is completely different from plasma fit t ing, a procedure which tend to reproduce exper imental results by using a simplified model with adjustable parameters.

75

Page 81: Plasma Technology: Fundamentals and Applications

76

1010

r;:-1 11'.106 , ... .... t2 ~

cf' ~ v

108

II II

-107 I •

0 0.5

o 9.5

Fig.13. Temporal evolution of the vibrational excitation rate coefficient of SiH4 in an RF discharge in pure SiH4 for different frequencies /16/

10°

1001

l(jz

lI)"l

100'

105

111'

1007

11U.i1 i\Jlf [aV·~

f 0.25

$.:.\\ ... .,. 002 '0.;.11,

""11.,.,1) 0 ~ ~= 0 , , <;;1\, (eli). 10 ~

'i. ....

SIH, l!! .y.l07i'Tori' Po ~.88.2vcm'TOr{' poramal8r.fwllI\,2yl wilh suparalaslic collisions Ibl

1O~~------~----~----~~--~~L-~~~ o 5 10 15 25

Periodic behavior of (he isorropie dillribulion 01 .,/,. - "0 10' wilh indulion of ,upold.sde collision. > .. ordinl 10 cas. Ib) of (14'.

Fig.14. Temporal evolution of eedf in an RF discharge in pure SiH4 with given concentrations of excited states /16/

Page 82: Plasma Technology: Fundamentals and Applications

1..------------,

" II ...

= E ~ ~ 0 .. > UJ/I. I>

IU

t=O T

1 2

Position (em)

1...-----------, t=O.S or

Position (em)

1 1

.s 0

1 1

.s 0

1 PI t=O.:l'" or E

U 0 "'0 :: > .. III C I> Q

1 2

Position (em)

1 t=0.75 or ~

E OU ... 0 :: > .. .. c

" Q

1 2

Position (em)

Fig.15. calculated values of electric field, ion and electron densities as a function of position at different times in an RF discharge in He. using the fluid model /19/

77

Page 83: Plasma Technology: Fundamentals and Applications

'-I

CD

~

C!

-u

;;::; 1 co

.2

I .

",.--_

__

__

__

__

__

__

__

.....,

.. -I.

!;

II /I .....

. l' 'lot '1

1 ... ...

EED

F H

ll'lpl

od In

the

rqio

n O

cIII<

lI<Ic

:m

-3.

J-\"

'".

" "'

""~ .

',,'\,

; ,~",,-

.... "

-... ,;

.. ".

~ "'

.... "·4 " "

.. ;-

..

at.

.. .

.. .

-5.

I IIj

J

Ia.

6.

I~.

16

. Z

4.

ener

gy

(eV

)

f .!? I 1

1 ••

r-------------------------~

I • • -I.

\-J' • Ii • • '. .... II

...

EE

DF

_p

lod

In th

e re

pan

lan

<ll<

2a

n

"

-3,1

-""..

..t. ....... ...

.. ~ ""'

A. .. • • • .... -5

. I

. I

J

9.

6.

I~.

16

. ~4.

ener

gy

(eV

)

Fig

.16

. eed

f at

two

d

iffe

ren

t p

osit

ion

s

in

an

R

F d

isch

arg

e

in

He,

calc

ula

ted

u

sin

g

the

Mo

nte

C

arl

o

Met

ho

d

wit

h

an

im

po

sed

ti

me

an

d

space

dep

en

den

t ele

ctr

ic

field

/2

1/

Page 84: Plasma Technology: Fundamentals and Applications

AS a conclusion we can say that the actual knowledge of elementary processes 11 I acting in a plasma as well as the global description of the plasma itself 128 I are sufficient to build up kinetic models able to address new technological applications of plasmas.

Acknowledgments

This work has been partially supported by MURST (1991)

References

1) see for ex. "Non-equilibrium vibrational kinetics" ed.M.Capitelli, Topics in Current Phys.56 (1986), and "Nonequilibrium Processes in Partially Ionized Gases", eds.M.Capitelli and J.N.Bardsley, NATO ASI Series, Plenum Press (1990)

2) M.Capitelli and C.Gorse, Chem.Phys.85, 177 (1984) 3) C.Gorse, M.Cacciatore, M.Capitelli, S.De Benedictis

and G.Dilecce, Chem.Phys.119, 63 (1988) 4) A.Ricard, this volume 5) M.Cacciatore, M.Capitelli and C.Gorse, Chem.Phys.66,

141 (1982) 6) C.Gorse"Non-equilibrium excimer laser kinetics"in

"Non-equilibrium Processes in partially ionized gases, M.Capitelli and J.N.Bardsley eds.Plenum 1990, pp.411-424

7) S.Longo, C.Gorse and M.Capitelli, IEEE Trans.on Plasma Science 19, 379 (1991)

8) S.Bollanti, p.Di Lazzaro, F.Flora, G.Giordano, T.Letardi, T.Hermsen, C.E.Zheng, ENEA internal report RT/TIB/88/43 and S.Bollanti, private communication

9) H.J.Hopman, this volume 10) C.Gorse, M.Capitelli, J.Bretagne and M.Bacal,

Chem.Phys.93, 1 (1985) 11) C.Gorse, M.Capitelli, M.Bacal, J.Bretagne and

A.Lagana Chem.Phys.117, 177 (1987); C.Gorse, R.Celiberto, M.Cacciatore, A.Lagana and M.Capitelli, Chem.Phys. (in press)

12) P.J.Eenshuistra, R.M.A.Heeren, A.W.Kleyn and H.J.Hopman, Phys.Rev.A40, 3613 (1989) ; see also P.J.Eenshuistra , thesis, Amsterdam University (26 April 1989)

13) C.Gorse, Pure and Appl.Chemistry 1992 in press 14) A'. Koch, this volume 15) R.d'Agostino, this volume 16) R.winkler, M.Capitelli, C.Gorse and J.Wilhelm,

Plasma Chem.and Plasma Process.10, 419 (1990) 17) C.Gorse, M.Capitelli, R.Celiberto, R.Winkler and

J.wilhelm, J.Phys.D: Appl.Phys.23, 1041 (1990) 18) M.Capitelli, R.Celiberto, C.Gorse, R.Winkler and

J.Wilhelm J.Appl.Phys.62, 4398 (1987) 19) J.P.Boeuf and Ph.Belenguer"Fundamental Properties of

RF glow discharges: an approach based on sel f -consistent numerical models" in "Non-Equilibrium processes in partially ionized gases"M.Capitelli and J.N.Bardsley eds.Plenum 1990, pp.155-186

20) M.J.Kushner, J. Appl. Phys. 54, 4958 (1983); IEEE Trans. Plasma Science PS14, 188 (1986)

79

Page 85: Plasma Technology: Fundamentals and Applications

21) S.Longo and M.Capitelli, Report R. 1/72 Italian National Research Council (CNR) project: "Progetto Finalizzato Sistemi Informatici e Calcolo Parallelo", subproject 1: "Calcolo Scientifico per Grandi Sistemi" (1991)

22) A.Date, K.Kitamori and H.Tagashira"A self-consistent Monte Carlo modeling of RF non-equilibrium plasma" in "Non­Equilibrium processes in partially ionized gases"M.Capitelli and J.N.Bardsley eds.plenum 1990, pp.433-440

23) C. Bohm and J. Perrin, J. Phys. D:Appl. Phys. 24, 865 (1991)

24) 25) 26)

1 (1990)

H.R.Skullerud, J.Phys.D: Appl.Phys.1,1567 (1968) L.Friedland, Phys.of Fluids"20, 1461 (1977) G.Schaefer and p.Hui, J.of Computational Physics 89,

27) G. Dilecce, M. Capitelli and S. De Benedictis, J. Appl. Phys. 69, 121 (1991)

28) see the special issue on" Modeling Collisional Low Temperature Plasmas"Eds.M.J.Kushner and M.B.Graves IEEE Trans.Plasma Science 19, 61-451 (1991)

80

Page 86: Plasma Technology: Fundamentals and Applications

GAS DISCHARGE LAMPS: An Application of Phenomena

in Ionized Gases

1. INTRODUCTION

M. Koedam

Former Senior Vice President of Philips Lighting

With a global production of more than 1.5 billion units per annum, gas discharge lamps are certainly one of the most popular applications of ionized gases. All over the world more than 5000 specialists in industrial laboratories are working on research and development of light sources based on gas discharges. From the physical point of view gas discharge lamps can be divided in two classes: - those based on low-pressure gas discharges, with a weak interaction

between the plasma constituents. The systems are very far from equilibrium;

- high-pressure discharges with a very strong interaction of the various plasma constituents. These plasmas are close to thermal equilibrium, which allows a physical description assuming so-called local thermal equilibrium.

Table 1 shows the properties of typical low-pressure and high-pressure mercury discharge lamps.

Table 1. Comparison of properties of typical low-pressure and high­pressure mercury discharge lamps

lamp property

lamp power

discharge tube diameter

electrode spacing

Hg vapour pressure in operating lamp

noble gas pressure

lamp current

input power per m

gas temperature at the axis

electron temperature

Pl_ Techllology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992

high-pressure low-pressure mercury mercury

400 W 36 W

19 mm 24 mm

72 mm 1120 mm

4.105 Pa 1 Pa

2.104 Pa 200 Pa

3.2 A 0.44 A

5500 Wjm 30 Wjm

6000 K 320 K

6000 K 13000 K

81

Page 87: Plasma Technology: Fundamentals and Applications

2. QUANTIFICATION OF EMITTED LIGHT

In a light source the input power results in emission of radiation, which is divided over the spectral range from the ultraviolet to the infrared. The spectral power distribution determines important characteristics of the light source. The light output or the luminous flux (expressed in lumen) is found by integrating the spectral power distribution multiplied by the spectral luminous efficiency over the wavelength range. Division of the luminous flux by the electrical input power gives the luminous efficacy in lumen per watt. Another important quantity related to the luminous flux is the luminance or brightness. The luminance gives the luminous flux per steradian per unit surface from a given surface. The luminance is expressed in candela per square meter. The luminance is an important quantity if the lamp is used in combination with a reflector and/or a lens. Besides the overall amount of light, the quality of the light (i.e colour

Table 2. Comparison of lamp types

lamp type power luminous luminous colour CRI flux efficacy temperature

fluorescent 36 W 3000 1m 83 lmjW 2700-6500 K 85-95

H.P. mercury 125 W 6300 1m 50 lm/W 3000-6000 K 60

H.P. sodium 150 W 13500 1m 90 lmjW 2000 K 25

metal halide 250 W 17500 1m 70 lm/W 3500-6000 K 65

and colour rendition) is also very important. Light quality is intimately related to colour perception by the human eye. The colour of light is indicated by the chromaticity coordinates x and y in the chromaticity diagram. The x and y values are' obtained from integrals over the spectral power distribution, weighted by standardized spectral sensitivity functions, which describe the human colour perception. The correlated colour temperature of a light source corresponds to the temperature of a black body radiator with approximately the same chromaticity coordinates. The perception of coloured pigments upon illumination with a particular light source is characterized by the general colour rendering index (C.R.I. or Ra). This quantity is based on a comparison of the colour renditions of the light source in question and a standard light source (e.g. a black body radiator or daylight). Luminous efficacy and colourrelated properties are conflicting aspects in lamp design and a compromise has to be made. Which compromise is chosen depends on the application of the lamp.

3. MAIN TYPES OF LAMPS (see table 2)

Fluorescent (low-pressure mercury) lamps

The gas discharge primarily generates UV radiation. The tube is coated with a fluorescent powder, which converts the generated UV radiation into visible light. By varying the composition of the fluorescent powder, lamp types with different colour appearance, colour rendering properties and efficacy can be made.

82

Page 88: Plasma Technology: Fundamentals and Applications

High-pressure mercury lamps

The discharge is compact with a high-power density. The arc tube is placed in a vacuum or gas-filled outer bulb, which can be clear, frosted or coated with a fluorescent powder. The high pressure mercury discharge generates visible radiation and some UV. The latter can be converted to visible radiation by a fluorescent powder on the outer bulb to improve colour appearance and colour rendering.

High-pressure sodium lamps

The spectral power distribution is mainly determined by the sodium vapour pressure. The sodium vapour pressure for maximum luminous efficacy is typically 10 kPa. Mercury is also present with a pressure of ca. 80 kPa. Due to the relatively high sodium vapour pressure, the yellow sodium resonance lines are broadened, producing a golden yellow light. The ceramic arc tube is placed in a vacuum outer bulb.

Metal halide lamps

This lamp type is similar in construction to the high-pressure mercury lamp, the difference being that the discharge tube contains one or more metal halides in addition to mercury. The halides are partially or wholly vaporized, when the lamp reaches its normal operating temperature. The halide vapour dissociates in the hot central core of the arc into the halogen and the metal; the metal atoms radiate its characteristic spectrum. Various metal halide systems have been developed. The spectral characteristics of the lamp depend on the halide mix.

Lighting systems

The great majority of lamp users are not primarily interested in lamps, but require lighting, i.e. provision of the right light at the right place and at the right time. This necessitates a system for creating high-quality lighting. A lighting system generally consists of a lamp, a control gear as a current stabilizing device and a luminaire with an optical system, which directs the light to where it is needed. The optical system is important for obtaining the light 'distribution required by the user and for minimizing unwanted effects such as stray light and glare. This calls for a coordinated design of lamp, optical system and luminaire.

Electronic control gears

Because of the nature of the voltage-current characteristics of discharge plasmas, stable operation requires a stabilizing element (ballast or control gear) in the electric circuit. Furthermore, an igniter may be required to convert the neutral gas into a conducting plasma upon switching on the lamp. In the last years electronic control gears have been developed. These gears open up the possibility to optimize voltage-current choice. Moreover, current waveform and operating frequency can be chosen in order to optimize lamp performance. The first electronic control gears were used in fluorescent lamp systems to improve the luminous efficacy. The gears can also be used for more sophisticated functions such as remote operation and dimming or to control the lamp properties during life. For many years it did not appear possible to use electronic ballasts for high-pressure lamps. The high-frequency lamp currents, which are typical of electronic control gears, generate acoustic resonances, which make the discharge unstable. The generation only occurs if the power input in the

83

Page 89: Plasma Technology: Fundamentals and Applications

discharge follows the current frequency. With a square wave current shape the power input is independent of time and there are no acoustic resonances. Another possibility to prevent these resonances is a careful choice of the operating frequency. In the following sections future developments in the field of gas discharge lamps will be discussed.

4. FLUORESCENT LIGHTING SYSTEMS

The advantages of a fluorescent lighting system are: - high efficacy; - great variety in colour temperature (2700 - 6500 K); - short run-up time; - good maintenance and long life. In the past improvements of fluorescent lighting systems have mainly be achieved by improvement of the fluorescent powder. About 15 years ago rare earth oxides were introduced as new fluorescent material in low-pressure discharge lamps. It was a spectacular step forward in fluorescent 1ighti-

Table 3. Performance of fluorescent lamps with rare earth phosphors compared to lamps with ha1ophosphates.

colour luminous phosphor coating temperature C.R.I. efficacy

max. ImjW value ha1ophosphate 3000 K 51 80 1m/W rare earth phosphor 3000 K 85 96 1mjW

ha1ophosphate 5000 K 72 62 1mjW rare earth phosphor 5000 K 85 95 1mjW

max. C.R.I. ha1ophosphate 3000 K 95 45 1mjW rare earth phosphor 3000 K 95 65 1m/W

ha1ophosphate 5000 K 93 50 1mjW rare earth phosphor 5000 K 98 65 1m/W

ng. Compared to lamps coated with ha1ophosphates, lamps with rare earth oxides have a better maintenance and improved performance. Although ha1op­hosphate lamps are still in production, the rare earth oxide lamps are beginning to supersede the ha1ophosphate lamps. Table 3 shows how the lamp performance can be influenced by the choice of the fluorescent ~ateria1.

In the past decade in many countries the 38 mm fluorescent lamp (T12) has been replaced by more efficient lamps of smaller diameter. In Europe the 26 mm lamp (T8) is now becoming the standard lamp type. The rare gas filling is adjusted to reduce the lamp wattage in order to keep the luminous flux constant for lamps of the same length. The efficiency improvement is 10 - 20%. A further enhancement of the discharge efficiency can be obtained by the introduction of high-frequency lamp currents (> 20 kHz) , using electronic control gears. The increase of the efficiency is mainly due to a reduction of the electrode fall.

84

Page 90: Plasma Technology: Fundamentals and Applications

In some applications the long straight lamp geometry is a disadvantage. In the last decade a number of more compact fluorescent lamps has been developed. In these lamps the tube diameter is reduced to values of 10 12 mm and the discharge tube is bent or folded to achieve a compact construction. Compact fluorescent lamps are also very suitable for LCD back lighting. For this application the diameter of 10-12 mm is too large. Fluorescent lamps with diameters as small as 3-4 mm are now under development. Japanese lamp manufacturers have introduced lamps of less than 1 W for back lighting of small LCD devices. One of the first physical models of the low-pressure mercury discharge was published in 1954 by Kenty. The model has been improved considerably and describes the discharge behaviour in detail. Today computer simulations based on this model are an indispensable tool for lamp development. The model indicates, e.g. at a given lamp length and input power, the optimum lamp conditions with, for instance, the lamp diameter as a parameter. However, to obtain a sufficiently long electrode life time, the rare gas pressure must be higher than the optimal value indicated by the model.

A completely new compact fluorescent lamp system is based on e1ectrode1ess gas discharges. The power is coupled into the discharge via

o Fig. 1. E1ectrode1ess fluorescent lamp

system. A: Lamp, B: Power coupler, C: HF generator, D: Screened cable

an induction coil, which can be placed inside the discharge vessel or around its outer surface. Spherical discharge tubes are used with a diameter of 45 to 100 mm. Slightly deviant shapes and other diameters are also possible. The operating frequency is mostly in the MHz band. Special facilities are necessary to minimize radio interference problems. It can be expected that e1ectrode1ess lamps will have a very long lifetime and an excellent maintenance, since they have no disintegrating electrodes. The first examples of e1ectrode1ess fluorescent lamp systems have been described by Anderson in 1968 and in 1990 by Masaki Shinomya et a1. A practical concept of such an e1ectrode1ess fluorescent lamp system has been developed in the Philips Lighting Laboratories in the Netherlands. The system was firstly demonstrated in May of this year.

85

Page 91: Plasma Technology: Fundamentals and Applications

The Philips electrodeless fluorescent lamp (fig. 1) is integrated with the luminaire. This creates the possibility to solve R.F.I. problems partly in the luminaire. The HF power at 2.65 MHz is very efficiently coupled into the discharge using a cylindrical ferrite core. The input power is 85 Wand the system efficacy 65-70 lm/W. Life times as high as 60,000 hours have been obtained. It is clear that the development of electrode less compact fluorescent lamps is a good example of a system approach. The electronic circuit and the burner have to be carefully tuned to each other to obtain maximum efficacy and minimum radio interference. The heat flow in the electronic circuit and the lumina ire has to be well controlled to obtain a sufficiently long life of the electronic components. The electrode less fluorescent lamp will be one of the main topics in Lighting in the coming years. The lamp combines the compact size of the

1500

Ll. (Wm-2 nm-1ar-1 )

t I - 7.21.

TA - 3950K PI - 4700 Wm-1

Tw. 1600 K

"T - 2.5

1000 PNa- 17 kPa R - 3.8mm measured

calculated

500

~oo~--~~~~~~~~~~--~~~--~~~~~~~~~ ----I .. ~ l.(1'lni

Fig. 2. Comparison of calculated and measured spectral power distribution of a high-pressure sodium lamp.

H.I.D. lamp with the advantages of a fluorescent lamp: instant light and excellent colour properties with colour temperatures ranging from 3000 to 6500 K. The luminous efficacy is comparable or even better than conventional fluorescent lamp systems. Remote control and dimming are features that can easily be built in. R.F.I. problems are no longer prohibitive.

5. HIGH-PRESSURE SODIUM LAMPS

The spectral power distribution of the high- pressure sodium (HPS) lamp is characterized by the self absorption of the yellow sodium reso­nance lines. The line shape can be calculated if the plasma temperature distribution, the sodium vapour pressure and the discharge tube diameter are given. In their book "The High Pressure Sodium Lamp", De Groot and van Vliet show that there is excellent agreement between measured and calcu­lated line shapes (see fig. 2). The separation between the maxima of the self-reversed sodium D-lines (delta labda) increases with the sodium vapour pressure.

86

Page 92: Plasma Technology: Fundamentals and Applications

--'i- 4). (nm) 0 20 40 60

("10) (K) Ra 'I 2500

t t Tc

2000t 100 100

50 50 1500

0 1000 0 20 40 60 80

~PNa (kPa)

Fig. 3. Dependence of the colour rendering index Ra, the colour temperature Tc and the relative luminous efficacy on the sodium vapour pressure pNa and delta labda.

Fig. 3 shows the relation between sodium vapour pressure and luminous efficacy and colour rendering index. Lamps can be designed either for maximum luminous efficacy or maximum colour rendition or just in between.

Table 4 shows the results for some practical lamps. The production of high-pressure sodium lamps only became possible after the development of poly cristalline alumina, a material that is resistant to high sodium vapour pressures at elevated temperatures (1500 K). The first high pressure sodium lamps were developed for maximum luminous efficacy. Some years later the so-called super high pressure sodium lamps designed for maximum colour rendition were introduced. These lamps emit white light (the chromaticity coordinates approaching the black body locus) with a very good colour rendition and a colour temperature of 2700 K, comparable to incandescent lamps. The colour temperature strongly depends on the sodium vapour pressure. This pressure changes with the temperature and the composition of the liquid amalgam. During life sodium can react with lamp components or the tube wall temperature can change. In both cases the sodium vapour pressure and consequently the spectral power distribution change. In practice an electronic control unit has to be added to stabilize the discharge in such a way that the colour temperature remains constant during a lamp life of at least 5000 h. For street lighting the HPS lamp with maximum efficacy is most suitable. In this application the lamp supersedes the high-pressure mercury lamp. The lamp with maximum colour rendition is an energy-efficient alternative for the incandescent lamp, for instance, in shop window lighting. In applications where efficacy as well as colour rendition are important, the so-called comfort lamp with an intermediate sodium vapour pressure may be the best choice.

Table 4. Relation between lamp parameters and sodium vapour pressure.

sodium vapour lamp luminous colour C.R.I. pressure power efficacy temperature

10 kPa 250 W 100 lm/W 2000 K 20 40 kPa 250 W 90 lm/W 2150 K 60 80 kPa 100 W 50 lm/W 2700 K 80

87

Page 93: Plasma Technology: Fundamentals and Applications

6. METAL HALIDE LAMPS

The radiation of metal halide lamps is mainly generated in the di­scharge axis. The temperature there is typically 6000 K and, since most of the radiation is emitted by optically thin lines in the visible spectrum this leads to very efficient light sources with a high luminance. The spectral power distribution depends on the halide mix. The halides are partially or wholly vapourized when the lamp reaches its normal operating temperature. The halide vapour dissociates in the hot central region of the arc into halogen and metal. The metal atoms radiate their characteris­tic spectrum. The halide choice is limited by possible interactions be­tween the halides and the discharge tube components, such as the tungsten electrodes and the quartz wall.

Haugsjaa et al. reported on an electrodeless microwave light source based on sodium scandium iodide discharges. Luminous efficacies of 90 lm/RF-watt at 50 W have been reported. The light source is operated at 915 MHz. Witting et al. reported on an electrode less metal halide lamp operated at 13.6 MHz (see fig.4). The authors claim a luminous efficacy of 200 lm/RF­watt for discharge bulbs with aNal + Xe or a Xe + (Na + Ce)-halide fil­ling. However,the system efficacy is much lower: between 100 and 130 lm/W.

Fig. 4. Electrodeless metal halide lamp system according to Witting.

This is comparable with the efficacy of metal halide lamps with electro­des. The only advantage of these electrodeless high intensity lamps seems to be a possible extension of the halide choice. Consequences on life time and maintenance are up to now unknown. Metal halide discharge lamps of the conventional type, i.e. with electro­des, are very suitable to create high-quality, high-intensity light beams with colour temperatures ranging from 3000 to 6500 K. Short arc metal ha­lide lamps approximate a point light source, which makes them very suit­able for application in optical systems. In the last few years a number of applications of short arc metal halide lamps has attracted attention, among them the application in projection liquid cristal display devices, for sport stadium lighting and for motor­car head lamp systems. The lamp wattage ranges from 35 W for the motorcar head lamp to 1800 W for sport stadium lighting.

88

Page 94: Plasma Technology: Fundamentals and Applications

7. A NEW SYSTEM FOR MOTORCAR HEAD LAMPS

To illustrate the necessity of system development in lighting, the development of a new system for motorcar head lamps will be discussed . Today, motor car head lamp systems consist of a halogen incandescent lamp a parabolic reflector and a complicated lens. The performance of the system can be increased by improve-ments of either the optical system and/or the burner. For burner improvement it is important to create the highest possible luminance and to keep the dimensions of the radiating element within certain well - defined limiting values.

The luminance of a metal halide arc is many times higher than the luminance of a tungsten filament since the radiation of the arc comes from the atoms in the hot central core, where the temperature is about 6000 K. This higher luminance can be used in a motorcar head lamp either: (a) to improve the beam quality; (b) to increase the intensities in the beam; (c) to reduce the size of the head lamp.

Fig. 5. Comparison of conventional (incandescent) and gasdischarge motorcar lamp

89

Page 95: Plasma Technology: Fundamentals and Applications

Fig. 6. Conventional and new motorcar headlamp system.

The automotive industry is mainly interested in the last point, more specifically in a reduction of the reflector height at a constant or slightly improved beam quality. A substantially smaller head lamp offers more freedom for the design of the car (see fig.6). To obtain an optimal headlamp the reflector, lens, burner and control gear have to be developed as one system. With metal halide discharges, spectral power distributions can be realized, which fulfil the chromaticity requirements for motorcar head lamps. The arc dimensions can be chosen to fulfil the requirements of the optical system. The best results can be obtained if the burner has no exhaust tube, since the remaining tip is a disturbing element in the optical system. However, this requires the development of a special lamp processing technique.

A motorcar head lamp must have a very short run-up time. Full light immediately after ignition, as can be obtained with incandescent lamps, is impossible with conventional gas discharge/ballast systems. However, it proved to be possible to generate run-up times which are equal or even better than those of incandescent lamps when a xenon pressure of several atmospheres is added to the metal halide filling and during run-up a lamp current is generated which is substantially higher than the operating current. Table 5 summarizes the advantages of the new motorcar head lamp system.

8. CONCLUSIONS

The sustained effort to improve efficiency in lighting promotes a further application of gas discharge lamps instead of incandescent lamps. Research on gas discharge plasmas improves the knowledge of the elementary processes determining the ultimate performance of gas discharge lamps. Today model calculations and computer simulations, based on this knowledge, strongly support the development of lighting systems. Electronic control gears create the possibility to operate a lamp in such a way that the optimal lamp performance, as indicated by model calculations, is realized.

90

Page 96: Plasma Technology: Fundamentals and Applications

Table 5. Comparison of conventional and new system for motor car head lamps.

features advantages

lower height of the head lamp system * reduced hood height * lower vehicle depth * flexibility in styling

less power consumption * smaller generator

higher luminance, whiter light, colour * improved beam performance temperature 4500 K

longer lifetime of the burner > 1500 h * lifetime front lighting =

life of the car * daytime running lamps

high vibration resistance * possibility for use in heavy duty applications

Electrodeless H-discharges offer excellent possibilities for lamps. Recently fluorescent- and metal halide lamp systems have been introduced, which are based on these discharges. The possibilities of high-pressure sodium discharges for lamps have been extended. The lamp performance can be changed from a lamp for street lighting with a very high efficacy and poor colour rendition to a lamp for high-quality indoor lighting, with moderate luminous efficacy and excellent colour rendition. High-pressure metal halide discharges combine very high luminance and efficacy. The lamps are very suitable for application in optical systems. Depending on the halide mix, light beams with colour temperatures ranging from 3000 to 6500 K can be generated.

The market seldom asks for a new lamp, it wants a solution to a lighting problem. Introduction of only a new lamp or a new reflector will seldom give the optimal solution. The total system including: burner, control gear, reflector and/or lens and housing has to be optimized. Nowadays development in lighting is no longer the sequential development of all components. The system, that is the combination of all parts, has to be optimized. The incandescent lamp is now more than 100 years old, but research and development of electric light sources is still a challenge for scientists of all technical disciplines. Effective cooperation of these disciplines will open-up new horizons for the lighting of tomorrow.

References

Van den Hoek, W.J., and Jack, A.G., 1990, Lamps, "Ullmann's Encyclopedia of Industrial Chemistry" Vol. A15, VCH Verlagsgesellschaft mbH,Weinheim, Germany.

De Groot, J., and Van Vli~t, J., "The High-Pressure Sodium Lamp", Kluwer Technische Boeken B.V. Deventer, the Netherlands.

91

Page 97: Plasma Technology: Fundamentals and Applications

PLASMA ETCHING PROCESSES AND DIAGNOSTICS

Riccardo d'Agostino and Francesco Fracassi

CNR Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica, Universitil di Bari Traversa Re David 200 n. 4, 70126 Bari-Italy

INTRODUCTION

The purpose of this article is to briefly discuss the importance of both gas-phase and surface chemistry in gaining knowledge on the mechanism of plasma assisted dry etching. This is a key point for improving the performances of the industrial processes, and therefore, a great deal of valuable work has been produced in this field (see for instance references 1-3). The diagnostic techniques which are currently utilized allow, in many instances, to gain a deep understanding of the mechanism and have given plasma etching an impressive degree of sophistication and development (unlike many other fields of plasma chemistry). The best achievements are obtained by coupling results obtained by carefully evaluating the effect of each plasma parameter (e.g. active species concentrations, charged particles energy and density, contaminant type and concentration, etc.) either on the etching rate and on the surface composition. Other important pieces of information are obtained by the fundamental studies performed in ultra high vacuum apparatuses equipped with ion guns, atom and molecular beams which in some instance simulate the plasma environment and allow to investigate the effect of each variable independently. A detailed discussion on these two approaches is beyond the scope of this article, in any case, we think that they are complementary.

In this short review we describe the interactions of atoms and radicals with surfaces in fluorine and chlorine based chemistry; results obtained by means of gas phase and surface diagnostic techniques will be utilized to show how the interactions always generate a competition between a reaction of etching and polymerization. Some practical examples of etching processes are described in some detail.

Plasma Technology, Edited by M. CapiteIli and C. Gorse Plenum Press, New York, 1992 93

Page 98: Plasma Technology: Fundamentals and Applications

THE PRODUCTION OF ATOMS AND RADICALS IN THE GAS

PHASE

When a plasma is ignited in a reactive molecular gas the electrons accelerated by the electric field gain sufficient energy to promote several gas-phase reactions and dissociations. Simply speaking two classes of chemically reactive species are formed (beyond chemically inert species and ions): atoms and radicals. The atoms are usually responsible for the etching of substrates while the radicals often result in the formation of deposits which can sometime reduce the etching capability. of a glow discharge. Usually this is an unwanted complication while, in other cases, it plays a fundamental role in controlling the anisotropy of the process.

In fluorinated feeds (e.g. CF4, C2F 6, SF6, etc.) the etchants are fluorine atoms, while CFx (CF, CF2, CF3, etc.) and SFx radicals the polymerizing species; in chlorinated feeds (e.g. CCI4, BCI3, etc.) the etchants are chlorine atoms and/or molecules, while CClx and BClx

radicals the species responsible for the deposits. Unsaturated are also able to promote deposits on the surface; if their concentration in the gas phase is high enough, they can produce large amount of deposits on all the surfaces exposed to the plasma which are not subjected to

+ • • V

@ - RADICALS

o - ATOMS

+ - POSITIVE IONS

30-150 Jt SIDEWALL INHIBITOR FILM

Fig. 1. Anisotropic etching obtained by sidewall blocking mechanism, deposition occurs only on surfaces unexposed to ion bombardment.

high energy ion bombardment. Generally, however, unsaturates and radicals are equilibrated in the discharge and they can be both considered as precursors to polymer deposits.

If the concentration of unsaturates is low the predominant reaction is the etching. This means that there is always a competition between etching and deposition, the predominant reaction will depend on the experimental conditions such as species concentrations, extent of ion bombardment. temperature, additive concentration. etc. Sometime this competition can be utilized to obtain anisotropic processes with low ion bombardment energy. This very important

94

Page 99: Plasma Technology: Fundamentals and Applications

mechanism, called inhibitor - driven ion assisted etching by Flamm e t al. 1 and sidewall blocking mechanism by Coburn et al. 4, consists in the formation of a very thin film only on the sidewall of the etching feature, while on the perpendicular surface even a mild ion bombardment is able to remove the contaminants and to allow the etching process (Fig. 1). The thickness of the protective layer depends on several factors but at steady state it is not higher than 30-150 A 5.

A contemporaneous high concentration of etchants and unsaturates, or radicals, is generally not possible since they react together to form stable species. The prediction of the concentration trends of atoms and radicals is not easy since they depend on several factors some of them directly related to the particular experimental set up utilized.

1,0 ::;)

~ z 0 i= < a: I-z

0,5 w 0 z 0 0

w > i= < ....I W a:

0,0 a 25 50

OXYGEN PERCENT

Fig. 2. Concentration trends of species produced in glow discharges fed with CF4-02 mixtures as a function of oxygen percent.

A major role, however, is played by the composition of the feed. In the case of fluorine based chemistry, the level of fluorine atom concentration, i.e. the etching capability of the plasma, can be varied by adding to fluorocarbon or to SF6 containing feeds an oxidant agent such as oxygen. This can be appreciated in figure 2 where the concentration trends of several chemical species obtained by Actinometric Optical Emission Spectroscopy (AOES)6, are reported as a function of the oxygen addition to the feed. The rise of F atoms at low oxygen percent in the feed is not ascribable to an increase of the dissociation rate of CF4. It has been demonstrated, in fact, that it results from the chemical reactions of oxygen with CFx radicals which form oxidized carbon compounds, liberate fluorine atoms and minimize their recombination with CFx radicals 7. This is the reason for the sharp decrease of CF radicals reported in Fig. 2. Of course, when the oxygen addition to the feed is furtherly increased dilution effects become predominant. If reducing agents, e.g. hydrogen, C2F4, or others, are

95

Page 100: Plasma Technology: Fundamentals and Applications

added to the fluorocarbon feed, gas-phase chemical reactions between fluorine and the reducing agent lead to plasmas poor of fluorine and rich of radicals. These are media able to produce deposits on surfaces interested by low energy ion bombardment and directional etching on the surfaces subjected to high energy ion bombardment. CFx radicals also sustain the ion assisted etching of silicon dioxide8 and therefore can be utilized to increase Si02/Si selectivity in favour of Si02. In the case of SF 6 the general trend is not different, the addition of oxidizing agents leads to the formation of oxidized sulphur compounds and increases the fluorine production per SF6 molecule.

Also in chlorine based chemistry, the type and the concentration of gas additive play an important role in determining the etching capability of the discharge. An indicative example is that reported in Fig. 3. In CI2-CCI4 fed glow discharges, by changing the amount of CCl4 to the feed it is possible to pass from a CI rich plasma (suitable for the chemical etching of un oxidized aluminum and silicon to a CClx rich plasma (suitable for oxides removal). It is worthy noticing here that chlorocarbon radicals must be present in the plasma medium during aluminum etching to remove the native oxide, which does not react at all with the etchants of pure aluminum, i.e. CI and Ch, and to decrease the residual water content. In any case, high chlorocarbon radical concentrations must be avoided because they can generate oily polymers with the incorporation of reactive etch products. CCl4 can also be utilized as feeding gas but with the right oxygen addition, in

1,0 :i ~ z 0 i= -< a: I- 0,5 z w 0 Z 0 0 w > ~ ....I W 0,0 a:

a 50 100 CCI4 PERCENT

Fig. 3. Concentration trends of CCI and CI in CCI4-CI2 plasmas as a function of CCl4 content of the feed.

analogy with the case of CF4. Oxygen reacts with chlorocarbon radicals, decreases their concentration and generates a CI rich environment suitable for etching purposes. This trend cannot be extended to the case of BCh contammg discharges. BCI3, is an excellent water scavenger9 and is often added in place of CCl4 when the water level in the reaction chamber must be kept as low as possible. It

96

Page 101: Plasma Technology: Fundamentals and Applications

spontaneously reacts with H20 forming HCI and B203, a non volatile compound which can accumulate on the substrate and stop the etch process unless strong ion bombardment is present. The nature of materials exposed to the plasma can also drastically change the relative concentration of active species and the etching characteristics of a glow discharge. A major role is played by the RF driven electrode, where ion bombardment increases the reaction rates. A significative example is the comparison of fluorine atoms concentration trends in CF4-02 plasmas, obtained in a reactor equipped with a teflon or Si02 electrode in similar conditions (Fig. 4). Teflon is a good fluorine source when oxygen is contained in the gas feed while Si02 does not appreciably alter the chemistry of the discharge 10. This can be appreciated by the strong difference in the fluorine production which depends on the overall reactions 1-2 between the feed and the electrodes 11.

-(CF2)n- + n 02 = n C02 + 2n F 2)

The relative concentration of atoms and radicals in the gas-phase is not appreciably influenced by the reaction of Si02, but is strongly affected

1,0

::)

~ z 0 i= <I: a:: 0,5 I-z W 0 Z 0 0 u.

0,0 0 50 100

OXYGEN PERCENT

Fig. 4. Fluorine atom concentration in CF4-02 discharges vs. oxygen percent. The data have been obtained with an electrode made of Si02 or Teflon.

by the reaction of teflon. In the latter case a fluorine richer environment, more suitable for high etch rates, results. If the comparison is now made between graphite and Si02 electrodes, the opposite conclusion is obtained. Carbon is a fluorine scavenger, produces CFx radicals by reacting with F atoms and makes the plasma more suitable for polymerization or for anisotropic etching. This is clearly reflected in the behavior of the Ion Enhancement Factor (IEF) of tungsten in CF4 plasma as a function of bias voltage (i. e. the ion

97

Page 102: Plasma Technology: Fundamentals and Applications

energy) when the RF powered electrode composltlOn is changed (Fig. 5). The IEF is a quantitative measure of the effect of ion bombardment on the etch rate1 0, ranging from 0 (no ion enhancement) to 1 (no spontaneous etching), and is directly related to the anisotropy of the process. When IEF = 0 conditions for 100% isotropic etching are obtained, viceversa when IEF = 1 the process is 100% anisotropic. The higher values of IEF attained when carbon electrodes are utilized come from the double function played by charged particles: removal of the fluorocarbon residues present on the surface and enhancement of the chemical reaction between F and W. With Si02 electrodes, surface contamination is reduced and lower values of IEF are attained

Ii. W

1,01---===:===:::=;~~1 carbon electrode

0,5 Si02 electrode

Tungsten etching

o,o~------~~------~--------~------~ o 100 200

Negative Bias Voltage (volts)

Fig. 5. Ion Enhancement Factor (lEF) calculated for tungsten etching in CF4 100% plasma at 10 mtorr as a function of bias voltage for excitation electrodes of carbon or Si02.

THE COMPETITIVE INTERACTION OF ATOMS AND RADICALS

WITH SURFACES

As already stressed in the previous section, atoms and radicals always compete in the reaction with the surfaces exposed to the plasma: the balance of this competition selects the predominant process and the extent of surface contamination. This is a function of the relative concentration of atoms and radicals, as described above, and of some physical parameters, in particular temperature and degree of ion bombardment. An example of the effects of the chemical and physical parameters in the etching process is shown in figure 6 for tung s ten 1 O. It can be noticed that feed composition, bias and substrate temperature all significantly affect IEF. Generally, substrate temperature positively influences the etching processes while decreases the polymerization rates.

98

Page 103: Plasma Technology: Fundamentals and Applications

Ui' 0,5

1"11 :a - 0,4 '0 >

0

! 0,3 u. !!!

Tungsten etching

0,2 20 40 60 80

TEMPERATURE (Oc)

Fig. 6. Ion Enhancement factor (IEF) as a function of temperature calculated for tungsten etching in different fluorine containing plasmas. Vbias = -50 Volt. 1) CF4 100%, 2) CF4 + 20% H2, 3) CF4 + 40% 02.

This is generally observed when the etching process is characterized by a chemical reaction as rate limiting step. In this case etch rate can be expressed as Arrhenius plot as a function of substrate temperature:

ER/[X] = A exp-(Ea/RT) 3)

Where ER is the etching rate and [X] is the concentration of the active species which produces etching. Eq. 3 holds for Ul order kinetics, in this case ER/[X] = Ke, the rate constant for etching. In table 1 are listed Activation Energy. values for the etching of several materials in different conditions. The large range of values observed for some processes depends on the different experimental conditions utilized during the measurements but the figures well confirm the trend above.

Table 1. Activation energies for the dry etching of some materials.

material etchant Ea(Kcal/mol)

W F 1.6 - 4.8 7

Si F 2.48 1

Si02 F 3.76 1

Ti F 9.0 11

AI CI 0.46 - 6.9 12 - 13

GaAs CI 1.3 - 11 13 - 14

99

Page 104: Plasma Technology: Fundamentals and Applications

A few noticeable examples of etching processes characterized by anomalous Arrhenius behaviors (i.e. negative or variable activation energy) are however reported in the literature. Silicon etching with X e F 2 15 is a typical example: it shows, in fact, two different kinetics regimes. At low temperature the process has a negative activation energy because it is controlled by XeF2 adsorption on the silicon surface, at higher temperature the positive activation energy observed suggests that the process is under the control of a chemical reaction.

Deposition processes are generally negatively influenced by a temperature increase because very often the rate limiting step is the adsorbtion-desorbtion equilibrium of precursors on the surface, which is known to be an exothermic process! 6 The different behavior of deposition and etching processes with respect to the temperature can be utilized to switch the competition in favour of one of the two reactions without changing other plasma conditions.

A typical example is that of aluminum etched in CI2-20% CCl4 plasma in conditions characterized by soft ion bombardment at low and high temperature (Figs. 7) 1 7. In this case the reaction of etching competes with that of deposition of chlorocarbon films (apparently including also the non volatile AICl3 etch product). If the substrate temperature is kept low, the etching rate decreases with treatment time since the surface of the sample is progressively covered by a thicker contaminant film made of chlorocarbon polymer and AICl3 (Fig.

_ 1,o-.-.. ----------;-------------.

::l < -u .. III ..

.c:: u .. u

0,5

0,0

T = 20 ·C

° 25

Discharge

B

i T = 118 ·C

° 25 50

duration (min)

Fig. 7. Aluminum etching rate in Cl2 + 20% CCl4 glow discharge at T=20 °C A). and T= 118°C B). The arrows indicate the induction time.

7 A); at higher temperatures, on the other hand, the etch rate does not show variations during treatment time since contaminant deposition is negligible (Fig. 7B). The period at the beginning of each measurement during which no etching is detectable, the "induction time period", is caused by the low reactivity of the native oxide (A1203) which is always present on Al films.

100

Page 105: Plasma Technology: Fundamentals and Applications

The effect of ion bombardment on the competition between radicals and atoms with surfaces is more complex and is better to deal separately with the process of etching induced by atoms and that of deposition of films by radical precursors. This is a simplified treatment since, as stressed above, in the presence of ion bombardment radicals can also be transformed in etchants if the right chemistry is chosen.

Many articles have been published on the effect of ion bombardment in etching processes and studies have been also conducted with very sophisticated apparatuses in order to investigate the detailed mechanism for each specific material. Mostly (but not always) ion bombardment acts synergistically with chemically active species. It is in fact demonstrated that the etching rate for an ion assisted process is higher that the sum of the purely chemical etch rate (measured in the absence of the plasma medium) and of the purely physical sputter rate l8 . Sometime the effect can be so strong that the ion bombardment is absolutely needed in order the etching to occur. This is the case of a single crystal silicon surface when exposed to a Cb or CI atoms environments1.

It is worthy noticing that etching processes are not always favored by ion bombardment. The reasons for these anomalous effects are intrinsic in the etching mechanism and can also depend on the experimental conditions such as the neutral to ion ratio. In the case of un oxidized aluminum etching in chlorine plasma, it has been found that the etching rate does not change even if ion energies as high as 400 e V 19 and 2 Ke V 20 are utilized; the reason could be the fact that the etching is controlled by the adsorption of Cb on clean aluminum surfaces followed by its rapid spontaneous dissociation 21.

The reactivity of clean copper surfaces with Cl2 at temperature above 175 DC, decreases when positive charged particles (2 Ke V) contemporaneously impinge on the sample20 ; this has been found by performing experiments in a URV system in which the surface is exposed to well defined fluxes of chemically reactive neutral species and energetic ion beams and the etching rate is carefully obtained by the intensity of the mass spectrometric peak of the etch product . The suppression of copper reactivity caused by ions can be explained in two ways: 1) ion bombardment decreases the surface concentration of chlorine or 2) it dissociates the etch product CU3Cl3 (or its precursors CU2CI2) before it des orbs from the surface.

Another interesting example is that of GaAs in chlorine containing plasmas; several papers report that GaAs etching rate increases by increasing the ion bombardment 22-24 but at relative high ion energy. If the ion energy is low, as that of ions which impinge on a surface immersed in a plasma at low bias potential and relatively high pressure, the opposite trend can be observed25 . This can be appreciated by the results reported in Fig. 8. which shows the etch rate

101

Page 106: Plasma Technology: Fundamentals and Applications

evolution vs. discharge duration in a plasma fed with different ChI Ar mixtures; the change of the electrical conditions of the sample (i. e. the change of the ion bombardment extent) is indicated by the arrows at the bottom of the plot). Since the operating pressure was 200 mTorr, ion energies are expected to be lower than the figures indicated in the plot even though the plasma potential value (about 20 V) should be added to the bias in order to obtain the real accelerating potentials.

This unexpected behavior of GaAs has been explained, in analogy with the Cu-Cl system, by assuming that the ion energy is not enough to induce surface damage of the GaAs bonds and to increase the reaction rate, but it is effective in decreasing the surface coverage of adsorbed chlorine25 ,26.

Ion bombardment also influences the chemical composition of the surfaces during the etching processes. In the case of tungsten etching in CF4 - H2 feeds, for instance, surface analyses, performed on samples etched in floating conditions and and at -50 volt of bias by means of Auger Electron Spectroscopy (Fig. 9), have shown large differences in the surface cOmpOSItIOn in agreement with the etch rate measurements 10. When the feed contains more than 50 % of hydrogen, the etching stops on the floating sample because it is completely covered by carbon residues, while on biased sample, the ion bombardment decreases the surface carbon concentration and allows the etching process to occur .

........ ::> 400 (2 ) <i. ( 1 ) ~

~ ~ CI) - jL (\I ... ..c::: 200 u

Vbias=-s!v t Vbias=-SO

W til Vbias=O « (\I

(!) 0 0 100 200

Discharge duration (min)

Fig. 8. GaAs etch rate evolution I( s. discharge duration for different feed composition and bias voltage: (1) Cl2-Ar, 1:1, (2) Cl2-Ar, 9:1.

When dealing with palladium sample in CF4 plasma27 , the vanatlOns caused by strong ion bombardment superimposition are not due to contaminant deposition but to the increase of substrate reactivity and, in fact, the surface fluorine concentration increases if the sample is treated in Reactive Ion Etching conditions (i. e. strong ion bombardment). XPS investigations clearly show that in these conditions

102

Page 107: Plasma Technology: Fundamentals and Applications

1,0 ....... c:

IT A B 20 E + ~ == ~ Q) -C; (\I

0,5 ... c: .~ 10 CI U) c: ... .c

u Q) Qj CI

::::I <

== 0,0 0 0 20 40 60 80 0 20 40 60 80

H2 % in CF4 H2 % in CF4

Fig. 9. A) Relative tungsten and carbon Auger peak intensities as a function of H2 percent in the CF4-H2 feed gas for both floating and biased sample; B) tungsten etch rate with and without bias.

the outermost layers are composed mainly of PdF (doublet at 337.0 -342.3 eV); the feature of metallic palladium (doublet at 335.9 - 340.3) is below the detection limit of the technique (Fig. 10 C). If the sample is treated in Plasma Etching Conditions (i. e. soft ion bombardment), on the other hand, it is characterized by lower surface fluorine content and the outermost layers contain also some metallic palladium (Fig. 10 B).

The effect of ion bombardment on the interaction of radicals with surfaces has been extensively studied either for its interest in microelectronics technology and for the growing importance of plasma assisted deposition in thin film technology. It is very important to stress here that in the experimental conditions currently utilized, charged particles are not the main reactants for etching and polymerization, in fact their density is too low to sustain any chemical process at appreciable rate; the major role is played by neutral species, atoms and molecules.

Efforts have been produced mainly in the field of fluorocarbon thin film deposition28 ; the results indicate that the pure deposition process of organic radicals is enhanced by low energy ion bombardment and tends to decrease at higher energies. The growing mechanism is an activated process because the reaction probability increases when the ion bombardment generates active sites on the sample surface (i. e. very reactive centers such as dangling or damaged bonds). When the charged particles energy is higher the sputtering of the growing film occurs and the deposition rate decreases. The situation is furtherly complicated when reactive species able to etch the film are present in the glow discharges. In this case the overall deposition characteristic of the plasma is also influenced by the etching

103

Page 108: Plasma Technology: Fundamentals and Applications

.......... ::i .( ---II) ... z ;:) o u

341 333

BINDING ENERGY (.V)

Fig. 10. Pd 3d XPS spectra: A) untreated sample; B) after treatment in plasma etching conditions (soft ion bombardment). the features of Pd and PdF can be noted; C) after etching in RIE conditions (strong ion bombardment). the main feature is PdF.

process of the growing film, which in turn is also affected (but in a different way) by charged particle bombardment.

In the case of glow discharges fed with fluorocarbon monomers the deposition rate vs. substrate bias voltage (i.e. ion bombardment energy) behaves as in Fig. 11. In the first part of the plot (bias voltage in the a - 40 volt range) the deposition rate slightly increases for the effect of ions on the deposition reaction, in the second part of the plot the etching of the polymer prevails and it decreases the overall polymerization rate and, at bias higher than 100 volts, it becomes the predominant process since no deposition is observed.

Charged particle bombardment also influences the chemical composition and structure of deposited films since it produces unsaturations, radical active centers, sputtering and promote ion assisted etching of deposits. In plasmas fed with fluorocarbon monomers, for instance, by increasing the extent of ion bombardment

104

Page 109: Plasma Technology: Fundamentals and Applications

,-.. c ·s -1~--------~--------------------~

c DEPOsmON .~ ~+1+-----~-----r----~-----'----------~ &. 0 G.l o

50 100 150

Negative Bias Voltage (volts)

Fig. 11. Effect of the RF induced DC bias on the deposition rate in CF4 - 20% C2F 4 plasma.

A

> .. . ~----------------~ &: • .. &:

295 289 283

BINDING ENERGY (eV)

Fig. 12. XPS CIs spectra of films deposited in C2F6-20% H2 plasma: A) deposition performed with a superimposed rf bias (-90 volt); B) deposition performed in floating conditions.

105

Page 110: Plasma Technology: Fundamentals and Applications

results in deposits more crosslinked and poorer of fluorine. The detailed CIs XPS spectra reported in figure 12 evidence that the concentration of highly fluorinated groups at high binding energy (i.e. _ CF3 and -CF2 groups in the polymer films) decreases when the bias voltage is increased.

REFERENCES

1. D. L. Flamm and G. K. Herb, Plasma Etching Technology-An Overview, in "Plasma Etching an Introduction," D.M.Manos.and D.L. Flamm eds. Academic Press, New York (1989).

2. J.W. Coburn, Plasma-Assisted Etching, Plasma Chem and Plasma Process. 2: 1(1981).

3. F.D. Egitto, V. Vukanovic, and G.N. Taylor, Plasma Etching of Organic Polymers, in "Plasma Deposition, Treatment and Etching of Polymers," R. d'Agostino Ed., Academic Press, 1990.

4. J.W. Coburn and H.F. Winters, Plasma etching-A discussion of mechanism, J. Vac. Sci. Technol. 16:391 (1979).

5. D.L. Flamm, V.M. Donnely, and D.E. Ibbotson in "VLSI Electronics: Microstructure Science,." N.G. Einspruch and D. M. Brown, eds. Accademic Press, New York (1984).

6. R. d'Agostino, F. Cramarossa, S. De Benedictis, and G. Ferraro, Spectroscopic Diagnostic of CF4-02 Plasmas during Si and Si02 etching processes, J. Appl. Phys. 52: 1259 (1981).

7. c.c. Tang and D.W. Hess, Tungsten Etching in CF4 and SF6 Discharges, J. Electrochem. Soc. 131: 115 (1984).

8. J.W. Coburn, In situ Auger Electron Spectroscopy of Si and Si02 surfaces plasma etched in CF4-H2 discharges, J. Appl. Phys. 50: 5210 (1979).

9. D.W. Hess, R.H. Bruce in "Dry Etching for Microelectronics" R.A. Powell ed. Elsevier Science Publisher B.V., The Netherlands (1984).

10. F. Fracassi, and J.W. Coburn, Plasma-assisted etching of tungsten films: A quartz-crystal microbalance study, J. Appl. phys. 63: 1758 (1988).

11. R. d'Agostino, F.Fracassi, C. Pacifico, and P. Capezzuto, Plasma Etching of Ti in Fluorine Containing-Feeds, J. App. Phys. 71: 1 (1992).

12. D.W. Hess, Plasma Etching of Aluminum, Solid State Technol. 24: 189 (1981).

13. K. Tokunaga, and D.W. Hess, Aluminum Etching in Carbon Tetrachloride Plasmas J. Electrochem. Soc. 127: 928 (1980).

14. V.M. Donnelly, D.L. Flamm, C.W. Tu, and D.E. Ibbotson, Temperature Dependence of InP and GaAs Etching in Chlorine Plasmas, J. Electrochem Soc. 129: 2533 (1982).

15. D.E. Ibbotson, J.A. Mucha, D.L. Flamm, and V.M. Donnelly, Proc. to the 6th International Symposium on Plasma Chemistry (ISPC-6), M.l. Boulos and R.J. Munz, eds. Montreal (1983), p. 588.

106

Page 111: Plasma Technology: Fundamentals and Applications

16. R. d'Agostino, F. Cramarossa, F. Fracassi, E. Desimoni, L. Sabbatini, P.G. Zambonin, and G. Caporiccio, Polymer Film Formation in C2F6-H2 Discharges, Thin Solid Films 143: 163 (1986).

17. R. d'Agostino, P. Capezzuto, F. Cramarossa, and F. Fracassi, Plasma­Assisted Etching of Aluminum in CCI4-CI2 Mixtures, Plasma Chem. Plasma Process. 9: 513 (1989).

18. J.W. Coburn, and E. Kay, Some Chemical Aspects of the Fluorocarbon Plasma Etching of Silicon and its Compounds, IBM J. Res. Develop. 23: 33 (1979).

19. D.L. Smith and R.H. Bruce, J. Electrochem. Soc. 129: 2045 (1982). 20. H.F. Winters and J.W. Coburn, Plasma-assisted etching mechanism:

The implications of reaction probability and halogen coverage, J. Vac. Sci. Technol. B 3: 1376 (1985).

21. D.W. Hess, Plasma Etch Chemistry of Aluminum and Aluminum Alloy Films, Plasma Chem Plasma Process. 2: 141 (1982).

22. M.B. Stern and P.F. Liao, Reactive Ion Etching of GaAs and InP using SiCI4, J. Vac. Sci. Technol. B 1: 1053 (1984).

23. R.A. Powel, Reactive Ion Beam Etching of GaAs in CCI4, Jpn. J. Appl. Phys. 21: 170 (1982).

24. R.A. Baker, T.M. Mayer, and R.H. Burton, Surface Composition and Etching of III-V Semiconductors in Ch ion Beams, Appl. Phys. Lett. 40: 538 (1982).

25. R. d'Agostino, F. Cramarossa, F. Fracassi, F. Illuzzi, and M.N. Armenise, Anomalous regimes for GaAs etching in Cl2 - A r Plasmas, J. Vac. Sci. Technol. B 6:1584 (1988).

26. R.J. Contolini, The Temperature Dependence of the Etch Rates of GaAs, AIGaAs, InP, and Masking Materials in a Boron Trichloride: Clhorine Plasmas, J. Electrochem. Soc. 135: 929 (1988).

27. R. d'Agostino, F. Fracassi, unpublished results. 28. R. d'Agostino, F. Cramarossa, F. Fracassi, and F. Illuzzi, Plasma

Polymerization of Fluorocarbons, in "Plasma Deposition, Treatment and Etching of Polymers," R. d'Agostino Ed., Academic Press, 1990.

107

Page 112: Plasma Technology: Fundamentals and Applications

PLASMA DEPOSmON: PROCESSES AND DIAGNOSTICS

ABSTRACT

Alexander W. Koch

Max-Planck-Institut fUr Plasmaphysik W -8046 Garching/Munich Germany

This paper focuses an application of plasmas for the deposition of materials. Firstly, a concise review of deposition techniques for thin films is given. Direct current (DC), radio frequency (RF) and electron cyclotron resonance (ECR) heated plasmas are operated in a low pressure (below 10 Pa) environment. In addition arc deposition methods are discussed. High-velocity steady-state plasma flows are used at pressures up to atmospheric pressure. During plasma spraying micron-sized particulates are injected into the plasma flow. The quality of the deposited film for a specific application must be reproducible and controllable. Therefore the interaction of the material to be coated with the plasma and the substrate surface must be investigated. Real time measurements by optical techniques will also be discussed in the last part.

INTRODUCTION

The coating of surfaces with thin or thick films of a desired material is of increasing importance in modern technology. For example. the manufacture of optical devices, multilayer films, lightweight spacecraft shields, biomedically applicable materials, the processing of materials and the environmental protection is already available. The materials to be deposited are manifold: organic and inorganic thin films, ceramics, metals, alloys, polymers. composites, etc. The coatings are protective against corrosion, wear, stress, acids, heat and other environmental influences. In addition films are especially produced to perform a specific function, e.g. antireflection films, optical windows and filters, heat conducting parts, dopings of substrate materials, tribological applications, microelectronic devices. Besides conventional deposition techniques as evaporation, electrodeposition, chemical vapour deposition (CVD), etc. techniques which use plasmas play a more and more important role. The materials to be deposited in many cases can easily be transferred into the plasma state and the film grows by the sticking of atoms, ions, molecules, or radicals. The processes involved are plasma enhanced physical vapor deposition (PEPVD), plasma enhanced chemical vapour deposition (PECVD) and high pressure techniques, e.g. arc coating. Furthermore, large particulates of several micrometers to several tens of micrometers are injected into high velocity plasma jets to produce thick coatings from material droplets. This method is called plasma spraying.

The optimization of plasma deposition processes is still mainly partly or mainlyempirical. In order to match the requirements of new electronical and optical devices the mechanisms of film deposition must be investigated in more detail. To this end appropriate plasma and surface diagnostics have to be applied simultaneously

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 109

Page 113: Plasma Technology: Fundamentals and Applications

during the, deposition process. It should be emphasized that the diagnostics of deposition processes seldom allow mechanical probes inside the reactor as those would act as a substrate and would be coated resulting in a considerable error of most measurements. Here, optical diagnostic techniques are introduced. Depending on the plasma deposition process to be investigated, optical emission spectroscopy (OES) and laser light scattering are employed as plasma probes. The line integral of the electron density can be measured by means of microwave interferometry.

Due to the comparatively high ambient pressure of many deposition processes, in situ surface diagnostics employing ion or neutral beams like SIMS (secondary ion mass spectrometry) and SNMS (secondary neutral mass spectrometry) have to be ruled out. It will be shown at the end of this paper, that the optical techniques can provide a simple and efficient means to measure surface and film parameters. These diagnostic techniques are laser interferometry and ellipsometry. If the films are thick and the surface is rough compared to the wavelength as in the case of plasma sprayed coatings, speckle interferometry yields additional information.

-> ....., CD C) 800 C == 0 >

t 600

400

200

Fig. 1.

I Z I 2 I f3 l- I 0'" Z I ... CI '" ... I z'" ~'" I -c ~ "'''' :!:I~i5 c;:)

~ ou ,''';:)!!! ... 2i

~ ... I UIUI 0 :::I

--Ua--7-) CI

'" ... ~ I-

~

~: 'u '" ~g c u ZCl iii! I-U ... ...J ...

- Current Density (A/cm2)

Characterization of various electric discharges by the applied voltage and the current density.

PLASMA DEPOSmON PROCESSES

The manifold forms of discharges characterized by the applied voltage U and the conducted current I are summarized in Fig. 1. At low pressures the plasma acts as a means to produce the depositant species by sputtering or consists of the depositant species. Electric arcs produce plasmas at higher pressures. The "spots" of the arcs reach temperatures high enough to evaporate electrode material. Besides arc deposition plasma spraying is in current use. In the following sections the particular techniques operating at different pressures are reviewed. The deposition of material by using the following five deposition methods are exemplary discussed:

, '0

Page 114: Plasma Technology: Fundamentals and Applications

A. Sputtering and evaporation by particle bombardment/heating of a target, B. DC (direct current) plasmas, C. RF (radio frequency) plasmas, D. ECR (electron cyclotron resonance) plasmas, E. Electric arc plasmas and F. Plasma spraying of macroparticles.

The medium to be deposited consists of atomic and molecular species together with the respective ions and radicals (A-D) or a mixture of those with droplets and solid particulates (E & F).

A. Sputterin~ and Eyaporation

As shown in Fig. 2 the material which is sputtered and deposited onto a substrate is provided by the sputtering target. In the example shown the sputtering target fonns the cathode of a parallel plate glow discharge. Usually a noble gas perfonns the sputtering in order to avoid reactions at the substrate. This process is called direct sputtering. The substrate is grounded and sputtered ions and atoms fonn a thin film. The deposition rate can be as high as 1 nm! s. Reactive sputtering occurs in the presence of a reactive gas

Fig. 2.

RACETRACK MAGNETS

CONF"lNED PLASMA

"Y "" "

(

SPUTTERING TARGET

+

Sputtering with a DC glow discharge. The closed track of magnets confines the plasma near the sputtering target (magnetron sputtering).

whereby the stoichiometry in a deposited film can be controlled. Another process developed by Bunshah [1] is based on the evaporation of metal in the presence of a reactive plasma. This process, the activated reactive evaporation (ARE) provides a more independent control of the process parameters compared to sputtering. Ion plating as a vacuum deposition technique was introduced by Mattox [2]. This technique combines sputtering and evaporation. A DC discharge is used to sputter clean the ,surface of the substrate onto which material is then evaporated from a filament during DC discharge operation. The deposition rate can be as high as several hundred nm! s. The process parameters are chosen to ensure a net deposition in the competitive deposition! resputtering arrangement. In many applications RF and ECR plasmas are used as well. Magnetic fields may be applied to confine the plasma near the sputtering target; this setup is called magnetron sputtering. Other techniques based on sputtering employ ion beams produced by high-energy ( .. 1000 eV) argon ion sources for the deposition of diamond­like carbon (DLC) films [3]. In addition ECR microwave plasmas (see chapter D) have been used in a mirror configuration for a high-rate sputter-type deposition of insulating and conducting thin fums [4]. The behaviour of these plasmas has been studied by Gorbatkin et al [5].

111

Page 115: Plasma Technology: Fundamentals and Applications

B. DC Plasmas

The setup is similar to the one shown in Fig. 2. Here, as in the cases B to E the plasma partially consists of the depositant medium. This type of discharge is known as abnormal glow discharge [6] (see Fig. 1). At lower currents a discharge occurs at a constant voltage which is usually referred to as normal glow discharge. Fig. 3 shows the arrangement schematically. If ions of the glow discharge enter the dark space in front of the cathode an acceleration of the positively charged particles towards this electrode takes place. It should be stressed, however, that the deposition of an insulating material will result in the termination of the current and thus the DC discharge. This disadvantage can be overcome by using a RF plasma (section C). The potential variation near the negative electrode is depicted in the inset of Fig. 3. The region in front of the cathode is divided into a quasi-neutral pre-sheath according to Bohm's sheath criterion [7] where the ions are accelerated, a Debye re~ion where the electron density drops rapidly and a space charge limited current region [8]. The plasma potential V p adjacent to the cathode potential (externally applied voltage) responsible for the energy of the impinging ions. The ion current density and the ion energy are the main variables to influence the film properties. Typical sheath voltages at electrically isolated surfaces in a glow discharge of 1010 electrons/cm3 are below 10 to 20 volts [3]. As this is inadequate to many applications the employment of RF plasmas is sometimes advantageous.

Fig. 3.

VACUUM CHAMBER / DARK SPACE

I

~ ••••••••••••• I~CATHODE

ANODE I :: : : : : : : : : : : : -+ : : : PLASMA : : : :

I ::::::::::::: ---, : : : : : : : : : : : : : ! v"

u···· ......... -=~~~I

~ PRE-

~ SHEATH

DC discharge with inset showing the potential variation near the cathode and the formation of a sheath.

C. RF Plasmas

The positive charge accumulated in the insulator can be neutralized by electron bombardment if the polarity is reversed. For example, in order to maintain a quasi-stationary discharge at 1000 V and 1 mAlcm2 between two electrodes covered with a 300 Ilm thick quartz film the time between the polarity change has to be less than 1 Ils. Thus, typical operating frequencies for RF plasma deposition processes vary between 100 kHz and several 10 MHz, the radio frequencies. The industrially preferred frequency is 13.56 MHz, the reason being international communications' law. Three types of RF driven arrangements are depicted in Fig. 4. The plasma is usually generated by a configuration with electrodes inside the vacuum chamber. Alternatives are capacitive coupling outside the chamber (inset (a» and inductive coupling (inset (b». As in the case of the DC plasma external magnetic fields are commonly used to confine the plasma region. The self bias of the RF discharge depends on its frequency f and the electric field strength Eo, but an estimate is given by nearly half of the RF peak-to-peak value [8]. The

112

Page 116: Plasma Technology: Fundamentals and Applications

INTERNAL ELECTRODES VACUUM CHAMBER

u

4=

GAS INLET

13.SMHz

(a) TURBO PUMP

I ••••••• ! .. :~~ .~HAMBERI (b)

· ............. . · ............. . · ............. . · ............. . : ::: : PLASMA: :::: · ............. . · ............. . · ............. . · ............. . · ............. .

EXTERNAL ELECTRODE

Fig. 4. Three arrangements to excite RF discharges. An RF reactor with capacitive excitation by internal electrodes is shown above. Alternatives are glass chambers with external electrodes (a) or an inductive coupling using a coil with a large diameter!length ratio (b).

sheath voltages in a RF plasma system are connected to the ratio of the electrode surfaces. A simple evaluation assuming capacitive interaction between electrode 1 (surface AJ, sheath thickness dJ, voltage drop VI) and the plasma and electrode 2 (A2, d2, Vv and the plasma, respectively, and a constant space charge limited ion current L - VI. 5/d2 yields

(1)

The experimentally found values of the exponent in eq. (1) have been published by Coburn and Kay [9] and are generally less than 4. In practical applications ion fluxes can be adjusted via the area ratio. For example, high ion bombardment is achieved if one electrode which is usually grounded is the chamber wall and the other small electrode is the substrate isolated from the chamber. Assuming a collisional plasma the choice of the frequency may be very restricted. In order to achieve a maximum ionization rate and thus a high deposition rate the power absorbed by the plasma has to be maximized in many cases. The absorbed power P for a given electron density ne

2 2 P _ ne e Eo. v

- 2 m e (i)2 + v2 (2)

reaches a maximum if ill = 2·x· f equals the collision frequency v, where e and me are the electron charge and mass, respectively. Very low and very high electron densities result in low and high collision frequencies and thus in a low power absorption. This fact introduces serious limits to RF discharges which can be overcome by a type of discharge

, '3

Page 117: Plasma Technology: Fundamentals and Applications

described in the next section. To conclude the description of RF discharges the main features may be summarized:

* insulating materials can be deposited, * the substrate bias can be varied via the electrode geometries, * the RF frequency is determined by the capacitance of the electrodes and

the applied voltage.

2.45 GHz Pi

Fig. 5.

o

---lo..----RECTANGULAR WAVEGUIDE

_-+--TAPER

CIRCULAR WAVEGUIDE

-,~----~~---- PLASMA

n TURBO PUMP

DIAGNOSTIC PORTS

ECR reactor with external magnetic field coils for the adjustment of the resonance zone and the particle fluxes. The deposited microwave power is measured with calibrated power meters Pi (incident) and P r (reflected). Numerous ports are provided for the optical in situ diagnostics.

D. ECR Plasmas

A relatively new technique for plasma applications is the ECR (electron cyclotron resonance) heating of plasmas [10-12]. This technique has particular advantages compared to the methods described previously. The applied frequencies are in the microwave band, e.g. f = 2.45 GHz is commonly used for industrial applications. Furthermore a magnetic field B is employed to satisfy the ECR condition

(0 =~·B=2·1t·f ee m (3)

where (Oee is the electron cyclotron resonance frequency. The electrons are efficiently heated and produce, via ionization, a locally confined electrodeless plasma. The frequencies necessary to produce ECR plasmas are usually high enough to give a low sheath potential at a substrate exposed to the discharge. This may be very advantageous if

, '4

Page 118: Plasma Technology: Fundamentals and Applications

a DC acceleration towards the substrate has to be avoided for the production of sensitive films, e.g. III-IV semiconductors. On the other hand ion fluxes can be adjusted via a magnetic field divergence. Due to the invariance of the magnetic momentum (Il = meY.l2/(2B) = const.) the electrons are drifting along the magnetic field gradient towards lower field strength. Ion acceleration is then achieved by the electrostatic drag. Fig. 5 shows the ECR reactor. External magnetic field coils determine the position of the ECR zone and the ion fluxes. The microwave power is fed to a rectangular waveguide permitting only RlO mode propagation. A taper is used to feed the microwave to a circular waveguide from which a righthanded polarized wave is launched into the plasma. This permits an optimum transfer of microwave power to the electrons gyrating around the magnetic field lines. Resonant excitation with the electron cyclotron frequency coee according to eq. (3) results a power absorbed by a collisional plasma:

2 2 P _ ne e Eo. V

- 2 me (CO-O)ee)Z + v2 (4)

From eq. (4) it is elucidated that the absorbed power for low densities (low v) can be magnitudes of order higher than in the non-resonant case of eq. (2).

E. Electric Arc Plasmas

It can be seen from Fig. 1 what happens if the discharge current exceeds values of several amperes per cm2: the voltage drops to some 10 volts and an electric arc is ignited. The discharge is inherently instable and must be stabilized by appropriate external resistors. An electric arc which is operated in a low pressure environement is known as a vacuum arc. The material necessary to permit its existence is evaporated from the electrodes. Usually the cathode provides the material by the formation of high pressure and high temperature cathode spots. Evaporation of anode material, however, has some advantages as droplet production is reduced [13]. Deposition of anode material is a techniques at high arc currents (20 .. 200 A) if high deposition rates up to 100 nrn/ s are desired.

In addition plasma deposition using electric arcs is feasible by providing the arc medium via an external gas inlet. A cascaded configuration after Maecker [14] has been used to generate an elongated arc. Kroesen et al [15] operated this configuration with an argon-hydrogen-methane mixture and produced coatings by expanding the depositant plasma into a low pressure chamber. The attainable deposition rate is about 200 nrn/s.

Many different geometries for discharge electrodes exist. One additional arrangement with some relevance for plasma deposition is a geometry where the cathode surfaces form a hollow space. During this hollow cathode discharge [16] a high density plasma stream leaves the cathode region. The hollow space, e.g. a hollow cylinder with an inner diameter of less than the electron mean free path, is heated to high temperatures and the cathode material is sputtered. A hollow cathode configuration with a 1 % methane and 99% hydrogen mixture has been used e.g. to deposit polycristalline diamond films [17].

F. Plasma Spraying

In plasma spraying technology [18] the plasma acts as a carrier of the material to be deposited. The material consists of large particulates with diameters between several micrometers and tens of micrometers. In a plasma jet these macro particles possess partially molten surfaces with solid cores or are completely molten droplets. The powders are injected into the plasma flow and accelerated towards the substrate as shown in the inset of Fig. 6. The plasma is generated by an electric arc discharge as described in section E. The plasma spray torch consists essentially of a cylindrical cathode and a toroidal anode. A plasma jet is formed by thermal expansion through the anode hole. External magnetic fields can provide an additional acceleration and shaping of the plasma jet. Another method of plasma jet generation is the electrodeless ionization of a rare gas stream by high power microwave radiation at pressures up to one atmosphere [19]. A large variety of spraying powders exists with different size distributions, e.g. A1203, Ti02, Cr20 3, Zr02, WC-Co, Cr3C2-NiCr. The deposited coatings possess thicknesses up to several millimeters and rough surfaces. Due to the

, '5

Page 119: Plasma Technology: Fundamentals and Applications

Fig. 6.

116

ARC PLASMA ,MACROPARTICL£S

A~.=",,...---'l-=...., ~ PLASMA J£T

2nd GA~ WATER =:::r L......lr4!~:J ,-":"'---':---'"-

PULSED DYE

LASER

ANODE SUBSTRATE

OPTICAL DELAY :::. 50ns

2~==:::::::':~==JM3 -r, M4

JET

Experimental arrangement to measure plasma and particle parameters during plasma spraying. A short laser pulse is focused two times (lenses Ll-L2 and mirrors Ml-M4) into the scattering volume (SV). The continuous wave (CW) laser is used for the particle measure­ments. The detection unit consists essentially of a scanned Fabry Perot interferometer followed by a 1m-monochromator (M'CHR) with attached photomultiplier (PM).

Page 120: Plasma Technology: Fundamentals and Applications

particle/droplet deposition process the plasma sprayed coatings exhibit a porous structure which is desireable for many industrial applications.

Hybrid Techniqyes

In order to take advantage of the various features discussed above it has been tried to combine deposition methods to realize hybrid operation. For example, techniques C and D can conveniently be applied in the same plasma reactor. Additional DC or RF plasmas are used to adjust the sheath potential whereas the plasma is generated by ECR heating [20]. In addition the ECR source can be operated in a downstream mode where the plasma is located some distance away from the substrate. Other techniques combine ion beam sputtering, sputtering with a reactive gas, evaporation and ion bombardment, etc. summarized by Bunshah [21].

Special Applications

The development of plasma deposition systems has been directed tciwards many special applications, to numerous to cover them all. The aims of many developments nowadays are:

* high deposition rates, * large area coating, * controllable stoichiometry, * deposition at complicated geometries, etc.

In many laboratories the ECR technique is being developed to meet some of these requirements [22]. Due to the high power absorption and the high ionization rate the deposition rate can reach several 10 nm/s. An elongated ECR source has been developed for large area coatings [23] where the usual linear magnet geometry has been converted into a closed loop (racetrack). Another industrially applicable development is the "running discharge". The arrangement is used to coat the inner walls of metallic tubes, a task which cannot be performed very well by one of the other techniques. In this geometry described in [22] the microwave is coupled to a rectangular HlO mode waveguide and propagates to a position where the plasma is ignited by a resonant magnetic field. The field coils are movable along the axis of the waveguide resulting in the deposition of a desired material at the inner walls [24]. For high microwave powers the discharge tends to leave the ECR zone and propagates towards the input window.

PROCESS DIAGNOSTICS

It is obvious that today's applications of plasma deposition techniques require a detailed knowledge of the plasma and film parameters. Process diagnostics during plasma deposition is of main interest. Simultaneous diagnostics of the process plasma and the growing films are usually expensive and require access to the deposition chamber which cannot be realized in most industrial systems. In this section, however, it will be shown that a variety of diagnostic equipment has been developed which enables the researcher in industry to study and to control his plasma deposition process in a reasonable manner. Fundamental requirements for an industrially applicable diagnostic setup are:

* in situ: measurements must be feasible during the entire deposition process,

* nonintrusive: the deposition process must not be influenced, * species-selective: especially necessary for complicated plasma

compositions, * simultaneous: process, plasma and film parameters have to be monitored at a

time, * high resolution: spatially and temporally resolving.

How visible light can be used as a versatile diagnostic tool is briefly shown in the following two sections. In most deposition chambers an optical access via a window can be provided easily.

, , 7

Page 121: Plasma Technology: Fundamentals and Applications

Plasma Diagnostics

Optical emission spectroscopy has been applied to the ECR methane/nitrogen discharge of Fig. 5 which is used to deposit hydrogenated and nitrogenated carbon films. The optical emission spectrum for 80% methane!20% nitrogen discharge has been studied in detail. For example a computer simulation [25] of the rotational spectra of N2+ and CH radicals gives important information on the plasma temperatures. The resulting temperatures exhibit a considerable difference: for the nitrogen molecular ion the gas temperature T rot<N2+) '" 500 K was measured wheras the CH reaches T rot<CH) '" 2500 K. This difference can be explained by the fact that there is an additional energy transfer to the CH radical from the dissociation process. Another measure for the dissociation efficiency is the intensity ratio of atomic hydrogen lines and the CH band heads. It is possible to correlate this ratio to the film parameters deposition rate and refractive index (see last section). It turned out that the film takes up nitrogen from the discharge resulting in a measurable change of the optical properties.

1.0 2.0 ........ I") (

........ o Plasma E 1/1 1.6 u

" 0.8 " E • Particles " .x ( .......

>- 0.6 L::. Density 1.2 0 .... ..... ....... 'u 0 >-

Q; .....

0.4 0.8 'iii > c: Q)

0 0 x c:: < 0.2 0.4 0

L.. ..... U Q)

0.00 0.0 W 5 10 15 20 25 30 35

Fig. 7.

Plasma Jet Axis (mm)

Results of the combined plasma and particle diagnostics. The plasma jet was driven at 300 A in argon (31 l/rnin) and atmospheric pressure the nozzle exit being at at z=O. The particles (Al20 3, diameter 9±2 Ilm) were laterally injected at z=5 mm.

Plasma drifts towards the substrate are more difficult to measure. Species-selective diagnostics are performed by means of laser light scattering. The setup in Fig. 6 has been used to detect particle densities and fluxes in a plasma spraying arrangement [26-30]. Macroparticles are measured by means of a continuous wave laser with Laser-Doppler­Anemometzy (LDA) [31] which is based on Mie scattering [32]. In order to investigate the plasma-particle interaction and the heat and momentum transfer from the plasma to the individual particle, the plasma parameters drift velocity, density and temperature must be known locally. These measurements have been carried out by using a pulsed dye laser system. Modem laser technologies permit an easy installation of this diagnostic tool for industrial coating processes [33]. In the setup shown here, each laser pulse (duration 10-30 ns) is scattered by the plasma two times. After having left the scattering volume (SV) the pulse is delayed by 50 ns. Due to the geometrical arrangement shown the

118

Page 122: Plasma Technology: Fundamentals and Applications

scattered light pulses possess opposite Doppler shifts which pennit the evaluation of the axial plasma drift. The results of Doppler resolved Thomson scattering measurements at the plasma and Mie scattering measurements at the injected particulates are summarized in Fig. 7. The effect of particle acceleration and deceleration along the plasma jet axis is evident. The electron density drops rapidly some 10 mm in front of the anode nozzle. Numerous measurements of the neutral gas surrounding the plasma jet have been performed by Rayleigh scattering [27] whereas laser induced fluorescence has been used to probe excited ion states [34].

DETECTOR 1

HeNe LASER

Pla.ma(O~ Film (1)

Sub.trat. (2)

Fig. 8. Dual beam laser interferometer operating at 632.8 nm and two angles of incidence <Xl=220 and <X2=830 (M=mirror, BS=beam splitter, IF=interference filter). The inset shows the reflection at the investigated three-phase-system.

Surface and Film Diagnostics

There are manifold optical diagnostic techniques suited for an analysis of the deposited coatings which again offer the advantage of easy access and in situ monitoring. During film growth the most important parameters to measure are the momentary film thickness, the deposition rate and some details on film composition, lateral homogenity, formation of "interfaces", porosity, surface roughness, etc. If laser light is directed towards a rough surface which is produced e.g. by plasma spraying, the reflected light will exhibit a granular appearance, the "speckles". Speckle interferometry has been proven to be a useful industrially applicable tool for in situ monitoring of thicknesses and structures of rough, porous coatings. This technique has been described in detail by the author [35].

Thin transparent films are the subject of manifold efforts to produce optical devices. Thus optical monitoring of thin films during deposition is of major importance. Ellipsometry has been recently used in a number of experiments recently [36, 37]. This diagnostic technique, however, requires a complicated setup and exact access to the deposition chamber at nearly Brewster's angle.

In many cases it is sufficient to monitor the light interference pattern during film growth. The method used here consists of a dual beam arrangement as illustrated in Fig. 8 and has been described in [38]. A ReNe laser beam is split and incident on the film at two different angles <Xl and <X2. The interferences of the beams reflected at the ambient-film and film-substrate interfaces result in a periodically modulated reflection when the film is growing. The two recorded signals are shown in Fig. 9 for the deposition

119

Page 123: Plasma Technology: Fundamentals and Applications

of transparent carbon films in the aforementioned ECR reactor. The difference in the periodicities yields the momentary refractive index of the growing film at the laser wavelength, In the present case at 632.8 nm. The refractive index is then used to dete~ne the film thickn~ss and thus ~e d~position rate. A complete computer-simulated analysls of the reflected llght [39], taking Into account the complex reflection coefficient [36, 40] exhibits further information on the absorption and on the lateral homogenity of the films [41].

::::I

d

>-

V> c QJ ..... c

Fig. 9.

1.0

0.8

0.6

0.4

0.2 / MEASUREMENT (OET."

00 100 200 300 400 500 600 700 800 900

Time (5)

Results of the interferometric measurement of nitrogenated carbon deposition: lower trace for detector 1 (0.1=2.20) and upper trace for detector 2 (a.z=830). In addition the result of a numerical simulation is given for the measurement of detector 2. The resulting complex refractive index of the film is N1=1.85 - 0.01 Ii. In the present case ~d corresponds to a thickness of 174.7 nm resulting in a deposition rate of 3.0 nm/s.

Correlation and Summary

The correlation of plasma measurements with the film properties is of primary interest for the development and improvement of plasma deposition processes. Two conclusive examples for the deposition using low and high pressure plasma processes are given. In the example shown in Fig. 10 the measured deposition rate is correlated with the emission ratio of Hy to the neighbouring CH A-X band head. If the absorbed power is kept at a constant value (0.3 W/cm3) the average deposition rate decreases with increasing emission ratio. Several data points with different gas compositions and thus different refractive indices of the films are summarized in Fig. 10. The increasing emission ratio may be attributed to an increasing dilution of the depositant methane plasma as well as to an increasing degree of dissociation. Both effects can lead to an enhanced etching of the films in competition with the deposition process.

An important issue in plasma spraying is the heat and momentum transfer from the plasma jet to the macroparticles. The results shown in Fig. 7 exhibit a considerable slip between the macroscopic plasma drift (up to 850 mls) and the particle motion (150 to 250 m/s). In this case the Al20 3 particles with a diameter of 9±2 11m have been laterally injected into an argon plasma jet driven at 300 A arc current and atmospheric pressure. The particle acceleration is of the order of some 105g.

120

Page 124: Plasma Technology: Fundamentals and Applications

,..... III

........ 4.0

E c

Q) 3.0 .... [CH4]=16sccm and n

\1 pCPa) ICA) o ~

c 2.0 .~ .... "iii o g. 1.0

C

0.0 0 o.

o 0% 400

11 25% H2 1 520

c 25% N2 3 440

• 0% 3 540 • 25% H2 3 540 • 25% N2 3 540

0.5 1.0 1.5 2.0 2.5 3.0

Intensity Ratio H_gamma/CH

3.5

Fig. 10. Correlation of the interferometrically measured deposition rate with plasma specroscopy at Hy and CR. The absorbed microwave power was kept at the constant value of 0.3 W/cm3.

Further investigations employing combined in situ monitoring of plasma and film parameters are clearly desirable. It should be stressed, however, that in many cases the key parameters governing the particular deposition process are unknown. This fact requires detailed laboratory studies of a large number of process, plasma and film parameters in a ftrst stage which can lead to a restriction to the measurement of the most signiftcant parameters for industrial applications in a later stage.

ACKNOWLEDGEMENTS

It is a pleasure to acknowledge the contributions of M. Engelhard and G. Forster to the plasma diagnostic experiments. The author is also indebted to G. Forster for his kind assistance with the ftgures. Patent applications [19, 33, 35] are being supported by the Fraunhofer-Gesellschaft zur Forderung der angewandten Forschung e. Y ..

REFERENCES

[1] R. F. Bunshah, The activated reactive evaporation process, U.S. Patent No.3 791 852, (Feb. 1974).

[2] D. M. Mattox, Fundamentals of ion plating, 1. Vac. Sci. Technol. 10:47 (1973). [3] B. A. Banks, and S. K. Rutledge, Ion beam sputter-deposited diamondlike

films, J. Vac. Sci. Technol. 21:807 (1982). [4] M. Matsuoka, and K. Ono, New high rate sputtering-type electron cyclotron

resonance microwave plasma using an electric mirror, Appl. Phys. Lett. 54:1645 (1989).

[5] S. M. Gorbatkin, L. A. Berry, and 1. B. Roberto, Behaviour of Ar plasmas formed in a mirror fteld electron cyclotron resonance microwave ion source, J. Vac. Sci. Technol. A8:2893 (1990).

[6] A. von Engel, "Electric plasmas: Their Nature and Uses", Taylor & Francis Ltd, London, (1983).

121

Page 125: Plasma Technology: Fundamentals and Applications

122

[7] D. Bohm, in: "The Characteristics of Electrical Discharges in Magnetic Fields", A. Guthrie and R. K. Wakeriing, ed., McGraw Hill, New York, (1949).

[8] B. Chapman, "Glow Discharge Processes", John Wiley & Sons, New York, (1980).

[9] J. W. Coburn and E. Kay, Positive-ion bombardment of substrates in rf glow discharge sputtering 1. Appl. Phys. 43:4965 (1972).

[10] O. A. Popov, and H. Waldron, Electron cyclotron resonance plasma stream for plasma enhanced chemical vapor deposition, J. Vac. Sci. Technol. A7:914 (1989).

[11] O. A Popov, Characteristics of electron cyclotron resonance plasma sources, 1. Vac. Sci. Technol. A7:894 (1989).

[12] C. C. Tsai, L. A. Berry, S. A. Gorbatkin, H. H. Haselton, J. B. Roberto, and W. L. Stirling, Potential applications of an electron cyclotron resonance multicusp plasma source, J. Yac. Sci. Technol. A8:2900 (1990).

[13] H. Ehrich, B. Hasse, M. Mausbach, and K. G. Muller, Plasma deposition of thin films utilizing the anode vacuum arc, IEEE Trans. Plasma Sci. 18:895 (1990).

[14] H. Maecker, Fortschritte in der Bogenphysik, Proc. Int. Conf. on Phenomena in Ionized Gases (ICPIG V), Munich, H. Maecker ed., 2:1793 (1961).

[15] G. M. W. Kroesen, C. J. Timmermans, and D. C. Schram, Expanding plasma used for plasma deposition, Pure & Appl. Chern. 60:795 (1988).

[16] F. Paschen, Ann. Phys. 50:901 (1916). [17] B. Singh, O. R. Mesker, A. W. Levine, and Y. Arie, Hollow cathode plasma

assisted chemical vapor deposition of diamond, Appl. Phys. Lett. 52:1658 (1988).

[18] E. Pfender, Thermal plasma processing in the nineties, Pure & Appl. Chern. 60:591, (1988).

[19] A. W. Koch, Verfahren und Vorrichtung zum Beschichten von Werkstucken durch Plasmaspritzen, DE Patent No. P4036857.2, (Nov. 1990).

[20] Y. H. Lee, 1. E. Heidenreich III, and G. Fortuno, Plasma characterization of an electron cyclotron resonance-radio frequency hybrid plasma reactor, J. Vac. Sci. Technol. A7:903 (1989).

[21] R. F. Bunshah, Critical issues in plasma assisted vapor deposition processes, IEEE Trans. Plasma Sci. 18:846, (1990).

[22] A. W. Koch, W. Renz, D. Boutard, V. Dose, W. Jacob, W. Moller, 1. Perchermeier, and R. Wilhelm, Metallic tube coating by means of moving coil ECR, Proc. Intern. Symp. Plasma Chemistry, (ISPC-9) Pugnochiuso, R. d'Agostino, ed., 3:1826 (1989).

[23] M. Geisler, J. Kieser, E. Rauchle, and R. Wilhelm, Elongated microwave electron cyclotron resonance heating plasma source, J. Vac. Sci. Technol. A8: 908 (1990).

[24] 1. Perchermeier, A. Koch, W. Moller and R. Wilhelm, Development of an Anti-Multipactor Coating for the JET Lower Hybrid Launcher, IPP-JET-Report No.34, (Oct. 1990).

[25] K. Behringer, (private communication). [26] W. Mayr, Measurement of particle velocity and size distribution in a plasma by

means of laser doppler velocimetry Proc. Int. Conf. on Phenomena in Ionized Gases (ICPIG XVI), Dusseldorf, W. Botticher, ed., 3:412 (1983).

[27] A. W. Koch, K. D. Landes, and G. Seeger, Laser diagnostics of an argon plasma jet, Proc. Int. Conf. on Phenomena in Ionized Gases (ICPIG XVIII), Swansea, W. T. Williams, ed., 2:456 (1987).

[28] A. W. Koch, K. D. Landes, and G. Seeger, Local drift in recombining plasma jets, Proc. Int. Conf. on Phenomena in Ionized Gases (ICPIG XIX), Belgrade, J. M. Labat, ed., 4:770 (1989).

[29] A. W. Koch, Simultaneous measurement of local drift velocities and electron densities of plasma jets, 1. Phys. D 23:504 (1990).

Page 126: Plasma Technology: Fundamentals and Applications

[30] A. W. Koch, G. K. Forster, K. D. Landes, and G. Seeger, Double pulse laser spectroscopy of plasma velocities (contribution to this conference).

[31] F. Durst, A. Melling, and 1. H. Whitelaw, "Principles and practice of laser doppler anemometry", Academic Press, London (1976).

[32] H. C. van de Hulst, "Light Scattering by Small Particles, Wiley & Sons, New York, (1957).

[33] A. W. Koch, Verfahren und Vorrichtung zur Laserstrahl-Diagnostik, DE Patent No. P3815214.2, (May 1988), and CH Patent No. 1694/89 (May 1989).

[34] A. W. Koch, Laser diagnostics of densities and temperature of an argon plasma jet, Intern. Inst. of Welding, IIW -Document, Vienna, No. 212-705-88 (1988).

[35] A. W. Koch, Verfahren und Vorrichtung zur Messung lokaler Schichtdicken und -strukturen, DE Patent No. P4036857.2, (Nov. 1990).

[36] R. M. A. Azzam, and N. M. Bashara, "Ellipsometry and polarized light", North-Holland, Amsterdam (1977).

[37] R. W. Collins, Automated rotating element ellipsometers: Calibration, operation, and real-time applications, Rev. Sci. Instr. 61:2029 (1990).

[38] A. Koch, M. Engelhard, W. Jacob, W. Moller, and R. Wilhelm, In situ plasma and surface diagnostics of C:H deposition from ECR plasmas, Proc. NATO-Advanced Study Institute on Diamond and Diamond-Like Films and Coatings, R- E. Clausing, L. L. Horton, J. C. Angus, and P. Koidl, eds., NATO-AS I Series B: Physics, Vol. 266, Plenum, New York (1991).

[39] A. W. Koch, (to be published). [40] M. Born and E. Wolf, "Principle of Optics", Pergamon, Oxford, (1975), [41] A. W. Koch, Dual beam laser interferometry for film parameter

monitoring during plasma processing (contribution to this conference).

123

Page 127: Plasma Technology: Fundamentals and Applications

CORRELATIONS BETWEEN ACTIVE PLASMA SPECIES AND STEEL SURFACE

NITRIDING IN MICROWAVE POST-DISCHARGE REACTORS

A. Richard(l), J. Hubert (2) , and H. Michel (3)

(1) L.P.G.P. Universite Paris-Sud-CNRS-914050rsay. (2) Dept

de Chimie-Universite de Montreal - BP 620 - Montreal H3C -

3V1. (3) L.S.G.S. - Ecole des Mines - CNRS - 54042 Nancy

INTRODUCTION

Thermochemical treatments with Nz-HZ and CH4 plasmas have led to

nitrided and carburized layers1 ,Zon metal surfaces connected as a cathode

of glow discharges at low gas pressures (1-10 Torr). The metal surface is

surrounding by a cathode fall where plasma ions are accelerated to impinge

cathode surfaces. Then positive ions as Ni in NZ plasmas act to heat and

partly to nitride the sample surface. To separate the two heating and

nitriding processes, post-discharge treatments have been recently

performed3 where active species are produced in flowing discharges and work

pieces are settled downstream inside a separated heating device. First in

this lecture spectroscopic emission of active species in Ar - Nz and

Ar - CH4 flowing discharges is analysed. Emphasis is given on quantitative

measurements uSing a titration method with NO for Nand C atom densities in

flowing post-discharges. Then correlations are given between active specie

densities in the plasma flow and chemical composition and thickness of

nitrided layers on steel surfaces. The effect of HZ molecules in NZ plasmas

is specially discussed.

THE PLASMA REACTORS

Two types of glow discharges have been studied. The first one is a

D.C. discharge of dia.2cm which is connected to a plasma reactor of dia.

15cm as shown in Fig. I. A glow discharge is switched on in flowing NZ (or Nz -H2 ) gas between side-armed electrodes in nickel (dia.0.8

cm):K-cathode and A-anode. In these conditions, only the positive column is

in the main gas flow, the negative glow and the cathode fall being apart in

the lateral cathode tube (K). The S- steel sample (dia 3cm, thickness

0.8cm) is inside the plasma reactor in post-discharge conditions. It is

externally heated up to reach a surface temperature of 800-850K. Typical

residence times in the setup in Fig. 1 are tR = 10ms at the end of the

discharge and 6t = 10ms in the post-discharge up to substrate.

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 125

Page 128: Plasma Technology: Fundamentals and Applications

Con1pUIor

"Soltware

Multichannel doloclor + in'onsi fior

Post -Discharge --

HR 320

TS=050K I'UMI' GAUGE

K A

If.. N ~------~-~'·~··:=;:··\~:=·:=~~c-i--~~--~--2

Discharge

Fig. 1. D.C post-discharge reactor:discharge dia.2cm-reactor dia.15cm-S­steel substrate dia.3cm. Gas pressure: 1-10 Torr, flow rate: O.l-lstm, current discharge: 10-100mA.

PRESSURE GAUGE {rl. 30 mm PU\SMA SOURCE HEATING DEVICE a.JARlZ 'f' SURFAGUIDE './'../'VV REACTCf1 L 1200 mm 2450 MHz

\ SAMPlE ( t :~:: )( \:;=1 Ar.NO: l 1 GA~ INLET PIPE "'" ~' . x )( )( x I.t9 \J, 5 mm

lHEAMCCOUPI.E ''If!~)( )C: If )( lC )('--"t)(:--~--:X:-,"I_ .... -:::::;;;-_~ ·_--V-- If)( ~ I ......- N 2 I Ar, H 2 )( )( n x )( U I 11 MASS FLOW MElERS

X )C x)( XI: IX x I : r_1 \ VVV'v' !:t-\: : T

ROTARY VACWM PUMP

: : 4' I_ I :z \ , . \ I . I

L~ \ : " \: I

o .. '

700 mm

PICOAMMETER

Fig. 2. Microwave post-discharge reactor. Gas pressure:3-l0 Torr in N2 , 10-760 Torr in Ar-N2 • Microwave power 50-200 watts.

126

Page 129: Plasma Technology: Fundamentals and Applications

The plasma parameters of the D.C. discharges are gas pressures of 1-10 Torr

and current discharges of 10-100mA (R=lcm).

The second type of glow discharge is a microwave discharge as shown in

Fig.2. The plasma is initiated in a O.scm. dia. quartz tube by means of a

surfaguide structure. The post-discharge runs into a reactor of dia. 3cm

and length l20cm. Steel samples of dia. lcm and length lcm are heated up to

4560

183

2160

228

N2

367.2 371.0 375.5 380.5 {3r)(2r> {r> {Of> 388.4 ( 1-1)

+

a

Fig. 3. Spectra in Ar-17%N2 H.F discharge at 14 Torr (0_6 S£m) and 40

watts. Tube dia.0.4cm. Spectral range a) 360-4l0nm b)s70-620mm.

Resolution limit 0.3nm.

900K with a conventional heating device. The microwave discharges have been

produced in N2 at 3 Torr (flow rate Q = 0.3stm) and in Ar-N2 from 10 Torr

up to atmospheric gas pressure with transmitted powers of 50-200 watts.

The discharge and post-discharge diagnostics have been performed by

emission spectroscopy using Jobin-Yvon spectrometers of 64cm. (HR 640) and

32cm (HR 320) focal lengths with 1200 gr.mm- 1 gratings. With the HR 640

spectrometer, a picoammeter and a chart recorder was connected to a

Hamamatsu R636 photomultiplier (cf. Fig. 2).

With the HR 320 spectrometer, a multichannel detector of 1024 pixels with

intensifier in the 200- 920nm spectral range has been set up as shown in

Fig.!.

127

Page 130: Plasma Technology: Fundamentals and Applications

The Nitrogen atom density in the post-discharge has been determined4by

introducing an Ar-l.3% NO gas mixture through a gas inlet as shown in

Fig.2.

DIAGNOSTICS OF PLASMA DISCHARGE AND POST-DISCHARGE ACTIVE SPECIES

The plasma active species have been detected in the Ar-Nz and Ar - CH4 flowing discharges and post-discharges by emission spectroscopy.

Emission spectrocopy in NZ and Ar-Nzplasmas

Parts of emission spectra are reproduced in Fig.3 for an Ar-17% NZ gas

mixture of pressure 14 Torr (flow rate Q=0.6 stm) and transmitted power of

40 watts (9l0MHz) • Spectra in the 360-4l0nm range and in the s70-620nm are

reported with a resolution limit of 0.3nm in Fig.3a and 3b, respectively.

It can be observed the vibrational sequences of Nz -2nd positive and Ni 1st

negative (Fig.3a) and of NZ- 1st positive (Fig.3b). By measuring the P,

branch intensities of vibrational bands, it can be determined

characteristic vibrational temperatures of NZ excited states. from Fig.3

spectra, it has been deduced vibrational temperatures in the range

7000-9000K for Ni(B) and NZ (C,B) states. Also, high values of NZ(C)

vibrational temperatures have been previously obtained in NZ microwave

discharges (390MHz 100 watts) at lower gas pressures: Tv=SOOOK at

p = 2Torr and Tv= 11.000K at p = 0.5 TorrS.

Vibrational temperatures are lower in positive column: Tv=ssOOK at p

= 2Torr and Tv = SOOOK at p = O.sTorr according to lower deposited power

(O.l-lW cm- 3 in DC positive column and I-lOW cm- 3 in HF). Strong Ni, 1st

negative intensity as shown in Fig.3a should come from two step electron

excitation as previously discussed6 :

e + N2 (X) ~ e + e + Ni(X)

(a)

The two-step reaction (a) is a dominant process at high ionization degree

(ne /no ;;;. 10- S) and weak electron temperature (Te < seV»_

Emission spectroscopy in Ar-CH4microwave plasma

In Ar-xCH4 plasmas, the emission spectrum is dominated by C2 . Swann band

emission as reproduced in Fig.4 for x(CH4 ) = 0.3% in 2450 MHz - 50 watts

microwave discharges. Fig.4a is the C2 - ~V = 0 sequence and Fig.4b is for

C2(~V = 1). Such strong emissions of C2-swann bands are producing a

characteristic green colour in the Ar-(x < 1%)CH4 discharges. From C2 - band head intensities, it has been found a

quasi-Boltzman vibrational distribution corresponding to a mean vibrational

temperature Tv= sOOOK (± 1000K) in the experimental conditions of Fig.4.

128

Page 131: Plasma Technology: Fundamentals and Applications

Such a Tv value comes from kinetic processes coupling electrons and heavy particu1es by collisions. They only give characteristic values of the Ar-CH4 glow discharges. In general, vibrational temperatures are much

higher than gas kinetic temperatures.

Emission spectroscopy

post-discharges

and chemi1uminescent

In the NZ(Or Ar-Nz)flowing post-discharges, the N-atom recombination

7040

Tv=5000K

189

2180

Tv=5000K

182

512.9 (1-1)

H#I 486.1

Fig. 4. Spectra in Ar-0.3% CH4 H.F discharge at 14 Torr (0.1 stm) and SO

watts. Sequences a) Cz (6v=o) b) Cz (6v=1).

process is producing a characteris~ic yellow colour which is the result of the following reaction:

N + N + HZ -+ Nz (B, V') + HZ

(b) NZ (B,V') -+NZ(A,V") + hv (1st pos.)

where HZ NZ or Ar- NZ

129

Page 132: Plasma Technology: Fundamentals and Applications

Cls:Sec X1 E3 11-7

1.200

1.000

0.800 12-8

0.600 10-6

0.400

0.200

5700.00 5800.00 5900.00 6000.00 6100.00

WAVELENGTH(l)

Fig. 5. Spectrum in N2 D.C post discharge at 7 Torr, 90 rnA

(tube dia. 2cm). Post-discharge time 5 X 10- 2sec.

In N2 and Ar-(x > 10%) N2 gas mixtures, there is a maximum in intensity 0

1st pos. bands coming from V'=11 as shown in Fig.s for a N2 post-discharge

at p = 7 Torr (90rnA in the D.C. discharge) and ~t = sX10- 2sec.

From the N2 - 1st pos. (11-7) head band intensity, relative N2 (B,11)

population are determined which is related to N atom density [N) from the

following equation:

[NZ(B,ll») ~(ll)

[N)2 ~(ll)

(1 )

where the N2 (B,11) quenching is the dominant loss process for N2 pressure

higher than 1Torr7 •

From eq.1, it results than the N2- 1st post. (11-7) intensity is

proportional to [N)2.

By introducing NO into the nitrogen post-discharge, the following reactions

occur 8:

N + NO ~ N2 + 0, (c)

N + 0 + M2 ~ NO(B) + M2 , ( d)

NO(B) ~ NO(X) + hv

at low NO flow rate and:

(e)

* N02 ~ N02 + hv,

at high NO flow rate.

130

Page 133: Plasma Technology: Fundamentals and Applications

2

0.1 0.2 0.3 0.5

I(a.u)

NOp 320 nm

2

0.1 0.2 0.3 0.5

Continuum

506nm

Cont inuum

(a)

100 w

3Nlmin- 1

[N] _ 0/

[N,r 0.1/0

Q (Ar+ 1.3% NO) (Nlmin")

( b)

Q( Ar .. l.3% NO) (Nlmln")

A

* Fig. 6. Intensity variations of NO~, 320nm. and N02 ,

continuum versus Q(Ar-l.3% NO) flow rate

a) N2 at 23 Torr, b) Ar-3% N2 at 200 Torr

An extinction point is observed between the blue emission of NO~ from * . reaction (d) and the green emission of N02 from react10n (e).

At this extinction point, there is an equality of the two N and NO flow

rates: Q(N) = Q(NO) 8 The variation of NO band head intensity at

A = 320 nm is reproduced in Fig.6 versus the Ar-l.3%NO flow rate at 23 Torr

in N2 (Fig.6a) and at 200 Torr in Ar-3% N2 (Fig.6b) microwave post­

discharge7 • The NO; emission appears as a continuum in the green part of

the visible spectrum and intensities at A = 506 and 562nm of this continuum

are also reported in Fig.6a,b. The extinction point corresponds to the

intersection of the two NO and N02 intensity curves. At this point the N/N2 dissociation degree is given by4:

[NOl 1.3 Q(Ar+l.3% NO) -- = --.....;.-----.;... (2)

where [Nlo is the initial N-atom density before introducing NO.

131

Page 134: Plasma Technology: Fundamentals and Applications

6

5

3

2

[NJ (0 ) [N;l,%

0.02 0.05 0.1 0.2

100 WT

QT=3Nlmin-1

p = 90( !-10) Torr

0.5

Fig. 7. N/NZ- dissociation degree in microwave post­discharge versus NZ percent in Ar.

The dissociation degree in Ar-XNZ gas mixture has been determined for x = 3-100% in microwave post-discharges. The results are reproduced in Fig.7 for a total gas pressure of 90 Torr. The dissociation degrees bear a 30% relative uncertainty. The N/NZ percentage is quickly growing from 0.1-0.2% in pure NZ to 5% in Ar- 3% NZ• These results emphasize the best efficiency of argon plasma to dissociate few NZ percents. At atmospheric gas pressure in Ar - 0.3% NZ' a dissociation degree as high than 20% has been previously obtained 4. With only few percents of NZ in argon gas, the energy distribution function of electron (EEDF) is unperturbed by the vibrational excitation processes as in pure NZ so that electrons are more energetic to excite and dissociate the small quantities of NZ molecules. In the 50W-200W power range, the nitrogen atom yield per watt has been determined for various Ar-XNZ gas pressures and by using several R.F and H.F exciters. The results are reproduced in Fig.8 indicating a maximum value of [Nl/W ~ 8 X 1013 atm. cm- 3 w- 1 for about 2% Nz in Ar.

~C~N~-~c~h~em~i~l~u~m~i~n~e~s~c~e~n~t~r~e~a~c~t~i~o~n~s-ai~n~ __ ~A~r~-"",N;Z ____ ~a~n~d~ ____ ~Ar~-HC=HU44 __ ~m~i~c:r~0~w~a~v=e_ post-discharges.

Emission of CN in impurity has been observed in NZ and Ar-Nz post-discharges as reproduced in Fig.9 for a NZ post-discharge at 100 Torr

(49.5MHz RF exciter)9. It appears in Fig.9 an enhanced emission from the CN (B,7) level which is the results of the following recombination process:

C + N + Hz -+ CN(B,7) + MZ (f)

CN(B,7) -+ CN(X,7) + hv

132

Page 135: Plasma Technology: Fundamentals and Applications

10

5

2

• Patm _ Sudatron 2.45 GHz

o lOOT _ Surfatron 2.45 GHz

• lOOT _ Ro_box 13.6MHz

o

10 100

Fig. 8. N-atom yield per watt in various H.F post-discharges

versus NZ percent in Ar-Power range: 50-200watts.

By comparing intensities of CN (7-7) and NZ(11,5) as given in Fig.9 and

Fig.5 respectively, it has been estimated the c-atom density from N-atom

density by using the following numerical equation:

3 [C] 1.2 (±o.7) X 10

[N]

where I~N and I~Z are total intensities of CN(7,7) and NZ(ll,5) bands.

(3 )

In the numerical value of eq.3, correction of spectral response of HR320

spectrometer with OSMA intensifier has been achieved. In Ar-xNz post-discharge (100 watts) at gas pressures of 100 and 200 Torr9 , with

x = 33-100% , the N-atom densities as determined by NO titration are in the

range of 1015 - 1016 cm- 3 and the c-atom densities as determined by

equation 3 are between 2 X 1010 cm- 3 and 4 X 1011 cm- 3 •

133

Page 136: Plasma Technology: Fundamentals and Applications

1.0SE4 t I(a.u)

UJ ::::> oJ <I: >

UJ C 0

C

2.09E2 1 2

1E2

Fig. 9. Spectrum of CN

2.45 GHz_ 60W

Ar+CH4 d A ~

0 Ar+N2 0 ----.. .... a

q 910MHz _ 40W

po.

po.

... II) ..,

3 4

DIODE

radical in

0

0

z u

5 6 7 S

NUMBER

NzR.F post-discharge

Forepump+Jauge

HR 320

9 10 10E2

at 100 Torr.

OMPUTER

RECORDER

Fig.10. Double flowing post-discharge in Ar-Nz and Ar-CH4 gas mixtures -

Z=15,5cm (25ms) Discharge A: 2450MHz, 50 watts, Ar-0.2% CH4 •

Discharge B: 915MHz, 40 watts, Ar-16.7% NZ• Total pressure P=14

Torr (0.7 stm).

134

Page 137: Plasma Technology: Fundamentals and Applications

I (r. u.)

50

40

30

20

10

2 5 2 5

Fig. 11.IcN (7,7) and IN2 (11,7) band intensities

versus x in Ar-17\ N2-x CH4 • Discharge conditions

as in Fig.lO.

Production of N

determined in the

discharges are

respectively. The ~t = 2.5 X 10-2 sec

and C atoms in a

experimental setup

switched on in

Ar-N2-CH4 post-discharge has been

of Fig.lO. Two separated microwave

Ar-N2 and Ar-CH4 gas mixtures

afterglow intensity has

(Z = ls.scm in Fig.lO).

been detected at time

By using Ar-17% N2 and Ar-xCH4 gas mixtures at total gas pressure of 14

Torr, the ICN of CN(7,7) and IN2 of N2 (ll,7) band head intensities have

been recorded as shown in Fig.l1 versus X(CH4 ).

Constant values of IN was found up to X(CH4 ) 2 X 10- 3 indicating that 2

N-atom densities

x(CH4 » 2 X 10- 3 ,

kept a constant value for 2 10- 3. x (CH4 ) < X For

the decreasing of IN 2

as observed in Fig.ll can be the

results of N2 (B,11) quenching or/and of N-atom destruction by CHy (Y=0-4)

molecular species. As it concerns the N2 (B) quenching by CH4 , the rate

coefficient is estimated 10 to be less than 3 X 10- 11 cm3 sec- 1 • By

assuming that it is also the case for the other CHy radicals, it can be

calculated for x = 0.1 - 1\ CH4 in Ar that the quenching frequency is less

than 2x 104 sec- 1 which is one order of magnitude lower than the radiative

frequency 11 of N2 (B,11): 2 X 105 sec- 1 • Thus it is the N atoms destruction

by CHx species which can explain the decrease of IN as reported in Fig.ll. 2

Following reaction b), the N-atom density is related to I~~2 with

normalization for [Nl o = 3.5 (± 0.5) X 1015

135

Page 138: Plasma Technology: Fundamentals and Applications

Variations of N atom densities, of leN

IN2 intensity ratio and C atom

densities (as deduced from eq.3) are reproduced on taQle 1.

The two following reactions are effective to destroy N atoms in the

post-discharge:

with12 k 9

N + CH3 ~ HCN + H2

(1-2) X 10- 10 cm3 sec- 1 and

N+CH ~CN+H

with 13 ~ = 2 X 10- 11 cm3 sec- 1 •

(g)

(h)

The other CH2 and CH4 species are uneffective14 • 15 in reacting with N

atoms.

In the afterglow, the CN radicals which are produced in part from reaction

(h) are reacting with N atoms as it follows:

N + CN ~ C + N2

with k. = 10- 11 - 1010 cm3 sec- 1 • 1

(i)

Then, the C+N chemiluminescent reaction (f) is occuring. The C/CH4 dissociation degree as deduced from table 1 is reproduced in Fig.12 versus

x(CH4 ). By comparing reactions (g) and (h), it could be estimated that CH3 is the main quencher of N atoms and then it can be deduced the CH3 density

which should reduce the N atom density in the post-discharge

(~t = 2.5 X 10- 2sec) Such a CH3/ CH4 ratio is also reproduced in

Fig.12, giving a CH3 density in the range of 1011 - 1012 cm- 3 for

x(CH4 ) N 10- 2 •

136

TABLE 1

Nand C - atom densities in post-discharge

(~t = 2.5 X 10- 2 seC) of plasma A: Ar-x CH4 ,

0.1 stm, 2.45GHz, SOW and plasmaB: Ar-17% N2 ,

0,6Stm, 915MHz, 40W.

X/:(. 0 0.85 1.7 3.4 6.8

[CH4 ] (1014 cm- 3 ) 0 0.6 1.2 2.4 5

-- -- -- -- --[N] ( 1015 cm- 3 ) 3.5 3.5 3.5 3.3 2.7

10

7

--0.8

-- -- -- -- -- ---I~N /

P 1 e 10 14 17 18 1 IN 2

-- -- -- -- -- --[C] (1012 cm- 3 ) e 1.5 2 2.3 2 0.4

Page 139: Plasma Technology: Fundamentals and Applications

x=c

I I

I I

I I I I I

~O- ...

JO·4~----~--------~----~----~-----Q--~----~

Fig. 12. C/CH4 ratio versus x in Ar-17\ N2-x CH4 (in full line).

CH3 /CH4- ratio deduced from N- atom loss (in dashed line).

CORRELATIONS WITH NITRIDED LAYERS OF STEEL SURFACES

Nitriding treatments has been performed in the D.C. and microwave

post-discharge reactors (Fig.l,2) with the same N2 gas pressure of 2.3 Torr

(flow rate of 0.3Stm) on Fe-O.l\C steel substrates heated up to 880K. As

indicated by the phase diagram in Fig.13, the e,~' and ~ layers are

obtained at 840K and the austenite ~ layer appears at 880K between the ~'

and ~ layers. By performing treatments of one hour, it has been measured

with the two D.C. and HF reactors the same 5-8~ thickness of ~'- Fe4N with

a diffusion zone of N in solution in ~ iron. A thin e-Fe2 . 3 N layer is

observed on the top of ~'-layers. With the experimental setup of Fig.2,

Ar-N2 gas mixtures have been investigated at high pressures from 10 Torr to

400Torr and gas flow rate up to 12 stm.

After post-discharge treatments of lh. at 840K in Ar-l.4\ N2 at 25 Torr, a

~' layer of 4 ~ with an e layer of about 1 ~ was obtained as shown in

Fig.14. The steel substrate is very sensitive to oxidation in the

temperature range of nitriding, resulting in part from water and air

impurities inside the reactor.

137

Page 140: Plasma Technology: Fundamentals and Applications

BOO r--.--------------------------------------------------------~ t \~\{.C{ 700

600

500

400

0(+ ~

04

\ \

z ... " u..

\

\\ r \ ~+E \~~ \ /103 t + ~~6 191

1 \ \

'X5 \

E

Z N

" u..

l

880 I<

840 I<

! "E\ E 1---------------------------- 1-- ----t----------------------j

'" \ 19.4

G 12 1 B 24 30

Fig. 13. Phase diagram for Fe-N.

330 33 B

36

(%N)Atome

Thin iron oxide layers as Fe3 04 inhibit the nitriding reaction. To avoid

it, few concentration of H2 gas (0.2% H2 in Ar-l.4% N2 gas mixture) was

introduced in the initial part to the treatment (2-3min). But when H2 flow

was maintened during all the treatment time a weaker ~' layer of 2 ~ and

none £-layer was obtained as shown in Fig.1S. Note that £ and ~' layer

thickness in Fig.14 and 15 was directly determined'7 by measurements on

micrograph (a) or from (110)~ and (200)~' intensity ratio of X diffraction

pattern (b).

With Hz in the Ar-N2 gas mixture the afterglow resulting from N atom

recombination is sharphy inhibited. In NZ-Hz post-discharges, N atoms react

with H atoms to produce NH radicals as it follows:

N + H + Mz ~ NH + Mz (j)

with k j = 6 X 10- 32 cm6 sec-'

Reaction (j) is more efficient by about one order of magnitude than

reaction b) so that an important part of N atoms are transformed into NH

radicals.

Also, an efficient destruction rate of Nz(X,V) vibrationaly excited

molecules by Hz (V-T vibration translation process) could reduce the Nz dissociation yield from high N2 (X,V) vibrational levels in discharge and

post-discharge conditions'S.

The [Nl z densities near the Fe - O.le surface (Z=30cm) have been calculated

by using the following equation:

138

Page 141: Plasma Technology: Fundamentals and Applications

(b)

o o N

_" o ~

~

N g

:: ::::

_ e (Braggts angle)

o o r

22

Fig. 14.Micrograph of Fe-0.1% C steel substrate after

nitriding 1h at 840K in Ar - 1.4% NZ discharge

(70 watts, 25 Torr).

(b)

8 N

30 28 211 24 -6. (Bragg's ""glel

Fig. 15.Micrograph of Fe - 0.1% C steel substrate after

nitriding for 1h at 840K in Ar-1.4% NZ-0.2%

HZ post-discharge (70watts, 25 Torr).

22

... " c .. c ~

.. .. .. c u .. c

139

Page 142: Plasma Technology: Fundamentals and Applications

where

[NlzOC

k4= 4

4

3 o with E

2

/ 1

Fig. 16.Thickness of Y'-Fe4N: dy, versus the N/Nz dissociation degree in Ar-Nz gas mixtures

between 30 and 300 Torr. Samples nitrided

for 1h at 840K, 70W and Z=15cm.

1

[Nlz

1 Z -- = 2k4 [NZ 1 V [N1o

[N1o is measured by NO titration in z=o (cf.Fig.2)

(I )l/Z 11 ·7 Z , 111· 7 -1st post. band head intensity (cf.eq.1)

(±1) X 10. 33 cm6 sec· 1 at T=300K17 •

(4)

and

and

The k4 rate coefficient was found to decrease with gas temperature from

4(± 1) X 10. 33 cm6 sec· 1 (300K) to 1.3 X 10. 33 cm6 sec· 1 (600K) •

This temperature effect has been considered in eq. 4 for evaluation on N/NZ dissociation degree near the steel substrate. At high pressures

(300-500Torr), N/NZ= 0.1\ is related to a Y' layer thickness below 0.5 ~.

At intermediate pressures (40-100Torr), N/NZ increases to 10\ and the

Y'-thickness increases to 4~. Fig.16 reproduces the correlation between

the dy, thickness and the N/Nz-dissociation degree. The €-layer appears at

N/Nz ~ 1\ as shown in Fig.14 at low gas pressure (25 Torr).

140

Page 143: Plasma Technology: Fundamentals and Applications

CONCLUDING REMARKS

D.C and microwave flowing post-discharges have been produced with

sufficiently high N atom densities to

T=850K.A Fe4N-~'-layer of 6-10~ thickness

1~ have been obtained after 1h treatment

avoided when Hz is introduced with NZ

nitride Fe-0.1% steel surface at

with a FeZ,3N-e-layer of about

The oxide barrier layer can be

during the initial part of the

treatment (2-3min.). But a weaker ~' and none e layers were obtained when HZ

was maintained with NZ during all the treatment time (1 hour), indicating

that production of N atoms is weaker in NZ- HZ gas mixture.

Preliminary results in double flowing HF post-discharges in Ar-NZ and Ar-CH4 have given the Nand c-atom densities from N+N and C+N luminescent

reactions. With a Ar-17% Nz -0.5% CH4 gas

3X1015 cm- 3 for N atoms and of about

mixture at 14Torr, densities of

101Z cm- 3 for C atoms have been

determined. The CH3 radical densities have been estimated to be

1011 _101Z cm- 3 to explain the decrease of N atom densities in the 0.5 - 1%

CH4 range. Works are in progress to correlate carbo-nitriding layers

obtained in Ar-Nz -CH4 post-discharge conditions with N,C and CHx densities

in the flowing reactive gas. From previous works 19 of ion

nitriding/carburizing treatment with a 75% N2-21% H2 - 4% CH4 gas mixture,

it has been obtained large e-layer thickness of about 10~ directly up on

the ~ diffusion layer without ~'-layers •

REFERENCES

1. J.P.Lebrun, H.Michel and M.Gantois, Mem. Sci. Rev. Metallurgie 69

727 (1972).

2. H.Michel, M.Foos and M.Gantois, Int.Conf. Ion Nitriding 117 (Cleveland

1986) •

3. A.Ricard, A.Pilorget, H.Michel and M.Gantois, French Patent Appl. 87

10638(1987) .Europe Patent Appl. 88 4019 506 (1988) •

4. A.Ricard, A. Besner, J.Hubert and M.Moisan et al., J. Phys. B21 L579

(1988).

5. H.Malvos, C.Chave, A.Ricard, H.Michel and M.Gantois ., 2nd Int. Ion

Nitriding/ Carburizing (Cincinnati1989).

6. A.Ricard, M.Touzeau and M.Moisan, J.Physique 38 669 (1977).

7. A.Ricard, J.Tetreault and J.Hubert, J. Phys. B24 1115 (1991).

8. I.M.Campbell and B.A. Thrush, Proc. Roy. soc. A296 201 (1967) •

9. H.Malvos, A.Ricard, M.Moisan and J.Hubert j. Physique 18 C5-313 (1990).

10 L.G. Piper, J. Chem. Phys. 91 864 (1989).

11. L.G.Piper, J. Chem. Phys. 88 6911 (1988).

12. G.Marston, J.Phys. Chem. 93 5769 (1989).

13. J.Messing, Chem. Phys. 74 3874 (1981).

14. F.Mestley, NSRDS-NBS 67 (1980).

15. M.E.Fraser, D.A.Fee and R.S. Sheinson, Plasma Chem. and Plasma Process

~ 163 (1985).

141

Page 144: Plasma Technology: Fundamentals and Applications

16. M. W. Slack, .J. Chern. Phys. M 228 (1975).

17. A.Ricard J.Oseguera, L.Fa1k, H.Michel ., I.E.E.E. Trans. Plasma Sc. 18

940 (1990).

18. J.Loureiro. xth ESCAMPIG, 30 (Or16ans 1990).

19. H.Michel, M.Foos and M.Gantois, Proc. Int. Conf. Ion Nitriding 117

(Cleveland 1986) .

142

Page 145: Plasma Technology: Fundamentals and Applications

SIMULTANEOUS REMOVAL OF NOx, SOx AND SOOT IN DIESEL ENGINE

EXHAUST BY PLASMA/OIL DYNAMICS MEANS

INTRODUCTION

Kan-ichi Fujii

Department of Electrical and Electronic Engineering Ibaraki University Hitachi-shi, Ibaraki, Japan 316

The exhaust gas recirculation (EGR) has been expected as an effec­tive means to decrease the NOx component in the exhaust gas of a diesel powered vehicle. However, it has not been achieved yet in practice, because of the large quantity of soot which gives several short comings. They are (a)degradation of the engine oil and reduction of oil operational life time by the dissolving of soot into the oil, and (b)increase in the abrasive wear of valves, valve seats, piston surfaces, and cylinder walls due to friction with the soot. Thus, it is important to remove the soot in the EGR system in order to recover engine performance. Several ideas for eliminating soot, for instance, (a)to employ a cyclone-cottrell collector [1], (b)to burn soots after trapping it in a heat-proof mechanical filter, howev­er, no successful results have been obtained yet.

In order to overcome these short-comings, we began to try plasma reactors in various configurations mounted in the exhaust pipe, having recognized an important effect of the plasma reactor namely that of reduc­ing more than 80 % of the NOx and SOx components of the exhaust gas. However, so far soot elimination had not been achieved.

In recent investigations, we turned our attention to the elimination of soot in the diesel exhaust gas and successfully developed a novel and extremely effective method of eliminating soot and for reducing NOx and SOx simultaneously by combining discharge plasma techniques and oil dynamics. Uniform discharge plasma can be produced along the reactor axis by the use of oil, leading to such fine results.

In this investigation, 65 % of SOx as well as 70 % of NOx and 100 % of soot were eliminated simultaneously at middle level of engine load condi­tions. The principle and the experimental setup of our new method are explained, experimental results of the soot, NOx and SOx eliminations and several problems and their solutions are described. The major part contained in this paper has been presented at ISPC '85 [2], ISPC '87 [3] and SAE '91 [4].

EXPERIMENTAL SETUP AND METHOD

System and Construction

Figure 1 shows a schematic diagram of the complete system of our

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 143

Page 146: Plasma Technology: Fundamentals and Applications

fresh­air

r clean I t ex laUS

t=='9lgas

hUlllidil"y plasma reador oil sepal'alor elilninal"or banl<

1- measurements unite bCJ 'NOx lIlel'er

,COx mel"er ,02 mel"el' 'BOSCH slllOlle tester ,Sax meter

Fig. 1. Schematic diagram of complete system for experiments.

experimental setup. It consists of (a) diesel engine unit, (b) treatment unit and (c) measurement unit. The exhaust gas from the diesel engine is transferred to the plasma reactor after eliminating the water.

Figure 2 is an illustration of the plasma treatment unit. The princi­ple of the oil dynamics in our new investigation is understood by the reference to this illustration. The oil contained in the oil tank mounted in the upper gas chamber is transferred into the plasma reactor in the form of oil drops, however, once in the discharge plasma volume it is turned into a fine spray by the action of the discharge.

144

upper gas chamber

Fig. 2. Illustration of plasma treatment unit.

Page 147: Plasma Technology: Fundamentals and Applications

Diesel engine used for investigation

The diesel engine used in this investigation was a Nissan LD-20, 2 liters, which is for a car, being shown by an illustration in Fig.!. This diesel engine was mounted on a concrete floor and controlled from the adjacent control room where all measuring equipment was contained.

Structure of plasma reactor and power supply

Figure 3 is an illustration of the construction The inner electrode is fabricated from stainless steel mm pitch, 6 mm in diameter and 300 mm in length.

of a plasma reactor. threaded studding, 1

The outer electrode is aluminum foil wrapped around the glass tube wall. of the glass tube is 16.8 mm, and the outer diameter is

The inner diameter 20mm. Thus, the

oil pylex glass

spacer

inner elec trode

outer electrode

H.V.

Fig. 3. Illustration of the construction of a plasma reactor.

gap length in the radial direction is 5.4 mm. In this experiment, 120 plasma reactors were used in parallel. Only an a.c. power supply can be used with such a discharge reactor which has a dielectric barrier between metallic electrodes. A Leakage transformer, 100V:15kV, 20 rnA of a maximum current was used for operating 5 or 10 plasma reactors.

Measuring setup

We employed four kinds of measuring devices in order to understand and optimize the performance of our plasma reactor using oil dynamics. They are (I)Bosch smoke tester, (2)NOx analyzer (Shimadzu NOA-305), (3)COx tester (Shimadzu CGT-I0-1A), (4)02 meter (Shimadzu POT-101), and SOx tester (Shimadzu IRA-I07).

145

Page 148: Plasma Technology: Fundamentals and Applications

EXPERIMENTAL RESULTS

NOx reduction

Figure 4 shows reduction characteristics of NOx (NO + N02) at middle load. More than 70 % of reduction rate has been kept through 14 hours' continuous testing. Of these the reduction rate of NO was meas­ured by bypassing the N02-NO converter. No resupply of oil was done through the measurements.

100 rotati on number 1200 rpm SO 100 load 7 kgm

flow rate 1200 IImin

- 80 applied voltage AC 12 kV 40 80 ::': 0

~ OJ

::': ~

reduction rate ro 0 <- 60 3O'E

2 60 c Cl.. 0 e ro

<- rotation number 1200 rpm ~

1::' u c

load 7 kg·m ::J 0

~ 40 20 ~ ~ flow rate 1200llmi n u 40 OJ ::J "0 "0 applied voltage AC 12.7kV x x ~ 0 0 til

" til

x 0 20 " 0 z 20 x,

densi ty , 'x ...... -x- --·x- __ -x- __ ,x_ ---x- ---x- ---*-'1<

0 0 00 2 4 6 B 10 12 14 0 2 4 6 B 10

Treatment time IHr) Treatment time lmin)

Fig. 4. Long hour NOx reduction Fig. 5. Short duraLion SOx characteristics. reduction characteristics.

SOx reduction

Figure 5 shows the SOx reduction characteristics. Sixty five % of the S02 was eliminated, the initiation condition was 16 ppm, being 5 ppm after treatment.

Soot elimination

Figure 6 shows the soot treatment through 14 hours. 100 % of the soot was removed, testing.

elimination characteristics at a continuous One minute after turning on the plasma,

being kept this value through 14 hours'

Figure 7 shows a pollution level by soot accumulated on the filters used in the Bosch smoke tester (regulated by JIS 1101). The dark pat­tern shows the soot corresponding to a pollution degree of 12 % measured in the exhaust gas before the plasma treatment, while the white circle shows the 0 % of the pollution degree, the total soot elimination, after the plasma treatment. Comparing_ the oil feature before and after the treat­ment, it was recognized the oil becomes pure black after the treatment and no change even one month later, i.e., no deposition being observed.

146

Page 149: Plasma Technology: Fundamentals and Applications

100~

;;'!. 80

-0 0 III

.... 60 0

~

'" <-

c 0 40 '" c .§ UJ

20

rotation number 1200 rpm load 7 kgm flow rate 1200I/ml n a ppl i ed vol tage AC12.7 kV

246 8 ro n ~

Treatment t ime (H r)

Fig. 6. Soot elimination charac-teristics.

(D.: .. 0 . '. ' ". ,' _. "~ .. : ,,' "' ;: '-," , .

(a)

before treatment (soot 12 % )

( b)

af ter treatment (soot 0%)

Fig. 7. Soot accumulation on the filters used in the Bosch smoke tester.

,,-"'"", / '

O~ ______ ~~ ______ ~L/_; ________ ·,~ ______ v~o~lt~age

".. ~// ,"-""

o -~-' .- ---. ... .... ,. .': ~

/ ,.,._./

.... -,. '.' current

~

V=9[kV) Sampling time

20[us/word J

Fig. 8. Waveforms of discharge voltage V, discharge current I, and input power.

147

Page 150: Plasma Technology: Fundamentals and Applications

Plasma property

Comparing the features of the discharge plasma burning in the plasma reactor with and without oil, there was a clear difference between the former and the latter like that a uniform plasma is maintained in the radial volume and along the tube axis when an oil mist exists. This uniform plasma by the oil mist promotes the elimination of soot as well as the reduction of NOx and SOx' In former investigations [2],[3],[5], it had been difficult to obtain uniform plasma conditions under high speed gas flow. The oil dynamics have been the key to the solution of this problem.

o 1 2 Rotontion time (min'

(a ) Before p rocessi~ (b) After process ing Positiy;? Bridged streamer corona

4.1 (kV) 200 ( ~A J Discharge time 60 (sec )

Fig. 9. Chromatograms of N02/N2 mixture before and after treatment.

Figure 8 shows the waveforms of the discharge voltage V, discharge cur­rent I, and the input power P which was calculated by multiplying V into I in a personal computer.

DISCUSSION

NOx reduction

The principle of the NOx reduction is based on the dissociation of these molecule due to the collision with electrons in high speed, i.e.,

NOx + e(fast) -. (1/2)N2 + (x/2)02 + e(slow) (1)

We have clarified the validity of this mechanism experimentally in the former investigations [2],[3]. An example of data measured by a gas chromatograph, suggesting the reaction (1), is shown in Fig. 9. We have been promoting our investigation by standing on this basic clarification.

148

Page 151: Plasma Technology: Fundamentals and Applications

In our latest experiments, the reduction rate of NOx is increased by a factor of about 20 % by jointly using the oil dynamics compared to the case using the discharge plasma only. One reason for this fact is that the uniformity of the plasma is improved by the aid of the oil spray. The permittivity of the oil is much larger than that of the air. The conse­quent decrease in the electric field strength thus prevents the corona discharge from evolving into a sharp filamentary one [4]. Detailed discus­sion will be done in the later section.

SOx reduction

The mechanism of the SOx reduction is considered similar to that of NOx reduction i.e., dissociation by electron collisions like

SOx + e(fast) - S + (x/2)02 + e(slow) (2)

We confirmed the reduction rate of SOx in our experiments this time. Thus we hereby could clarify the superiority of plasma and oil dynamics joint means on a simultaneous elimination of the worst three air pollutants. There was a report on combination removal of S02 and fly ash from simu­lated flue gas produced by coal combustion [5], but as far as we know, the soot elimination in this investigation is the first success.

Soot elimination

The principle of the soot elimination is based on the attachment of soot bulk with the oil mist distributed in the discharge plasma space as well as on the glass tube wall. The oil mist is formed by the aid of the discharge plasma as explained in the later section using an electromagnetic theory. By this means, in this investigation, we have succeeded in elimi­nating the soot in diesel engine exhaust gas totally (100 %), independent of the load and the cruising speed. This new technique was also applied to the elimination of the soot included in the smoke exhausted from an incin-erator. The soot was eliminated completely there too.

Effect of oil supply and its mechanism

Two effects about the oil supply in the reactor are considered. The first effect is the formation of an oil film on the glass tube wall which is convenient for capturing the soot. We confirmed this idea by a prelimi­nary simple experiment on corona discharges using a needle-plate electrode. The second effect is the formation of the oil mist in the discharge space which is an assumption at this moment but by which we can give an expla­nation to the experimental result that the uniformity of generation of the discharge plasma along the reactor axis is improved outstandingly by supplying the oil into the plasma zone.

The macroscopic polarization P is given by the dipole moment /.1 per unit volume, so, we obtain P by setting the density of oil particles N (m-3) in the discharge space like

P =/.1 N = - qXO(w)N, (3)

where q is the total charge induced, XO(w) is the distance between polar­ized charges and w is the angular velocity of the applied electric field.

Using the electric susceptibility X (w) and the electric field strength E, P is expressed by

P = X (l/J ) c OE, (4)

so that X (w) is defined by

149

Page 152: Plasma Technology: Fundamentals and Applications

X(w) = P/sOE = ,uN/sOE = - qXO(w)N!eOE,

where s 0 is the permittivity of the free space. Therefore the electric flux density D is expressed by

D = s OE + P = s 0{1 + X (w )} E = X' (w ) E

E = D/sO{1+X(w)} = D/{s'(w)}

(5)

(6)

(7)

Thus, the field strength is decreased to desirable value in accord­ance with the value of ;r (UJ), i.e., the charge q introduced in the oil parti­cle (proportional to the size of oil particle) as well as its density N.

Mechanism of oil dynamics

Considering a small particle of the oil mist placed in the alternating electric field, a polarization occurs, resulting in an electric dipole, then electrons vibrate around the ions (nucleus) in accordance with the angular velocity of the applied electric field in a manner of a damping and oscilla­tory motion. Therefore we apply the following kinetic equation.

d2x(t)/dt2 + 2/' dx(t)/dt + (I{ /m)x(t) = - (q/m) E(t), (8)

where x(t) is the displacement of an electron bulk from an equilibrium position, /' is the damping coefficient, I{ is the force constant, q is the total charge induced by the polarization in an electric dipole (we call this here an electron bulk), and m is the total mass of the electron bulk. On the other hand, the eigen value of angular velocity wOof an oil particle in the harmonic motion is given by Newton's second law as follows;

-I{ X = ma

-I{ /m = a/x = -W02

(I{ /m)I/2 = w00

(9)

(10)

(11)

where a is the acceleration of the particle. If w 0 agrees with the angular velocity w of the applied electric field, a resonance occur and the mutual interaction becomes the strongest.

Now we express the applied alternating electric field

E(t) = EO(w) exp(iw t), (12)

and the steady solution of the displacement from the equilibrium position of the electron bulk with respect to this external force as

x(t) = XO(w) exp(iw t), (13)

where XO(w) is the maximum displacement of x(t) corresponding to the radius of circular motion.

Substituting equations (11), (12) and (13) into (8) we obtain

(14)

Therefore, the maximum value of the dipole-moment of the electron bulk is

Thus, the maximum value of the potential energy given to the elec­tric dipole by the electric field is

150

Page 153: Plasma Technology: Fundamentals and Applications

(16)

Therefore,

W/q = {qE02(w)/m}/(w 2-w02-2i)'w). (17)

q/m is a constant value, so expressing it as .:L,

(18)

By this potential energy, the oil bulk is broken into smaller particles, resulting in an oil mist finally.

Using equations (3) and (15), the maximum value of the macroscopic polarization Pm is given by

(19)

From equations (5), (7) and (19), it is understandable that increasing the oil particle density N in the discharge space and the charge q induced, one can obtain a desirable electric field strength E and polarization P, thereby one can improve the uniformity of the discharge plasma.

Subject for further investigation

This new technique is applicable to any type of combustion devices, i.e., motor engines, boilers, incinerators and so on.

To achieve this success, however, a relatively large quantity of engine oil, which was recirculated cyclically, was used. Furthermore the quantity of soot absorbed by the same oil reaches saturation. In order to conserve oil, therefore, it is necessary to remove the soot dissolved in the oil by some means. At the present stage the required power supply capacity is too large to be mounted in practical diesel engine vehicles. Therefore, it is important to decrease the required power consumption by improving the coupling efficiency from the power supply to the plasma and to choose a substance of the suitable permittivity in the plasma zone.

5. CONCLUSIONS

We have developed a novel plasma reactor for the soot elimination as well as NOx and SOx reduction simultaneously in the diesel engine exhaust by a combination of the discharge plasma and oil dynamics. The conclu­sions obtained by this investigation are summarized as follows;

(l)Simultaneous treatment of the NOx' SOx and soot became feasible.

(2)The soot included in the diesel engine exhaust was eliminated to 100 %.

(3)More than 70 % of the NOx reduction rate was kept through long hours' continuous treatment and 65 % of SOx reduction rate was also confirmed.

(4)This new technique does not lead to any damage to valves, valve seats, pistons and cylinder walls as no EGR is employed.

(5)This new technique is also applicable to any type of combustion devices, for instance motor vehicles, boilers, incinerators and so on.

(6)The theoretical interpretation on the effect of oil supplying into the plasma space is shown standing on the electromagnetic theory.

151

Page 154: Plasma Technology: Fundamentals and Applications

ACKNOWLEDGEMENTS

The author would like to express his gratitude to Chairman N. Suzu­ki, President T. Suzuki and Director M. Higashi of Niles Parts Co.LTD. for their support and assists in the joint research, and to Dr. S. Kamemaru of Ibaraki University for his valuable discussions and assists. The authour also would like to dedicate this paper to Professor A. von Engel of Oxford University who is the originator of ICPIG and has been giving the author many favours through his unchangeable advices and suggestions for long years since the author's studying at Clarendon Laboratory of Oxford University.

REFERENCES

[1] N. Suzuki, S. Araki and S. Kawazoe: "Engine exhaust gas reflex apparatus" United States Patent, No. 4345572, August 24, (1982).

[2] M. Higashi, N. Suzuki, M. Sugaya and K. Fujii: "Plasma processing of exhaust gas from a diesel engine vehicle", Proc. Int. Conf. on 7th Plasma Chemistry, pp. 366-371 (1985) Eindhohen, Netherlands.

[3] M. Higashi, N. Suzuki, M. Sugaya and K. Fujii: "NOx reduction by plasma treatment in a diesel engine exhaust gas" Proc.Int.Conf. on 8th Plasma Chemistry, pp.793-798 (1987) Tokyo, Japan.

[4] N. Suzuki, M. Higashi, S. Uchida and K. Fujii: "Simultaneous removal of NOx' SOx and soot in diesel engine exhaust by plasma/oil dynamics means"

Proc. Int. Conf. SAE '91, pp. 147-152 (1991), Detroit, U.S.A. [5] K. Fujii, M. Sugaya and M. Higashi:

"Plasma creation for efficient NOx reductions in exhaust gas exhausted from a diesel engine"

Proc.Int.Conf. on 8th Plasma Chemistry, pp. 840-844 (1987) Tokyo, Japan. [6] J. S. Clements, A. Mizuno, W. C. Finney and R. H. Davis:

"Combined removal of S02, NOx, and fly ash from simulated flue gas using pulsed streamer corona",

IEEE Trans. on Industry Appl., 25, pp. 62-69 (1989).

152

Page 155: Plasma Technology: Fundamentals and Applications

DeNOx DeSOx PROCESS BY GAS ENERGIZATION

Luigi Civitano, Egisto Sani

E.N.E.L - Italian National Electricity Board Thermal and Nuclear Research Center

56122 Pisa - Via A. Pisano, 120

1. ABSTRACT

These notes report the results obtained with installation able to treat 100 Nm3/h of flue gases, Thermal Power Plant at Marghera.

an experimental installed at the

The experimental installation, operating on the principle of gas energizing, is able to remove simultaneously 40 to 50X of the NOx and about 100X of the S02 contained in the flue gases. It is expected to achieve better efficiency in the removal of NOx by including in the system a bag filter which should favour removal reaction in the heterogeneous phase of NOx. Particulate concentration at output is between 2 and 5 mgjNm3 . A pulse generator designed and built by Enel was tested; the results were excellent, so work has begun on the preliminary planning of a 200 kW pulse generator tha~ operates on the same principle.

2. PHYSICAL ASPECTS OF THE PROCESS

It is well-known that, if a gas containing water vapour, oxygen, sulphur dioxide, nitrogen oxides and other gases produced by the combustion of coal, mixtures of water and coal, oil, solid urban waste, etc., interact with electrons with energy in the range from 5 to 20 eV, this leads to the transformation of the sulphur dioxide and nitrogen oxygens mainly into sulphuric acid and nitric acid. The above reactions are made possible by the presence of 0, OH, H02 and NH2 radicals that have mainly been formed due to the interaction of the electrons with the water vapour, oxygen and ammonia present in the gas to be treated. If ammonia is added to the gas, the sulphuric acid, nitric acid and ammonia are transformed into ammonium salts; as these salts are in the form of solid particulate, they may be removed by means of an electrostatic precipitator or bag filter. The presence of a bag filter coated with particles having high surface area, like diatomaceous earth, increase the NOx removal efficiency.

The electron population with energy between 5 eV and 20 eV may be obtained either by irradiating the gases with high-energy electrons (300+1000 KeV) produced by an external accelerator, or by applying to

Pl_ Technology. Edited by M. Capitelli and C. Gorse Plenum Press, New Yolk, 1992 153

Page 156: Plasma Technology: Fundamentals and Applications

electron population with energy of 5 to 20 eV is produced in the gas itself. The former system (external accelerator) has no reference to the oxide removal technology dealt with in this note.

Of course it is not possible to generate uniform electric fields with an intensity of 150 kV/cm in the gas. By using "stressed" electrode geometries (wire-plate or wire-cylinder) the trend of the electric field in the gas changes considerably depending on the polarity of the voltage applied to the wire. If a positive polarity with average electric fields of around 8 kV/cm is applied to the wire, cold discharges (streamers) are generated in the gas which spread from the wire to the plate. During propagation, fields of around 150 kV/cm are produced in proximity to the head of the streamers.

With this technique all the gas between the wire and the plate is subj ected to high electric ware fields thanks to which electrons with suitable energy are produced. So, as the active radicals produced are "diluted" in the gas, there is less likelihood of their recombining.

A fundamental aspect of the process is that the voltage applied to the wire has to be removed after about 1 microsecond, otherwise a hot discharge between the wire and the plate will be generated due to the thermalization of the streamers. This effect must be avoided because nitrogen oxides may be generated in the gas.

In order to use a reasonable emitting wire length is necessary to transfer in the gas at least 1 Joule/pulse-meter of emitting wire. This specific energy increase as the voltage of pulse increase and as the pulse voltage rise time decrease. About the rise time of pulse the following consideration must be clear: a) If the rise time is too fast, less than 100 nsec, due to the higher energy associate with the early streamers these thermalize in shorter time and consequently in order to avoid sparks it is necessary to reduce the width of voltage pulse and due to the higher space charge, left in the gas, the total corona current duration decrease; b) if the rise time is too slow, more than 500 nsec, it is necessary increase the length of the emitting wire to transfer in the gas the requested energy; in this case a higher capacitive current occur and increase the energy stored on the electrodic structure at the end of corona current.

The realization of pulse generators with a high repetition rate (up to 300 pps) , pulse duration less than 1 microsecond, voltage on the load around 100 kV, power around 100 kW and high efficiency (>75%) was one of the main activities within the ambit of the RIACE project.

3. FUNDAMENTAL CHEMICAL ASPECTS

The removal of S02 and NOx from flue gas is influenced by the amounts of H20, NH3 and 02 present in the gases treated as well as by the energy that is transmitted to the gases for the formation of ions and active radicals.

3.1 - Removal of S02

The removal of S02 occurs by means of two different mechanisms.

a) by means of radical reactions that take place in the presence of the transfer of energy to the gases, in which case S02 is transformed into ammonium sulphate according to the following reactions:

154

Page 157: Plasma Technology: Fundamentals and Applications

S02 + OH --> HOS02;

HOS02 + 02 --> H02 + S03;

S03 + H20 + 2NH3 --> (NH4)2 S04

in this way about 30% of the S02 present in the gases is removed;

b) by means of spontaneous reactions that develop even in the absence of the transfer of energy to the gases and which lead to the formation of neutral and acid su1phates and su1phites

- - -> NH4 HS03

---> (NH4)2S03

---> NH4HS04

---> (NH4)2S04

In particular, in the process of S02 removal the reactions that produce acid su1phates and su1phites proved to be more important from the point of view of quantity.

By reducing the temperature of the gases it is possible to increase the efficiency of the spontaneous removal of S02. As mentioned above, this leads to the formation of acid salts and therefore to a considerable emission of NH3.

The reduction of the amount of NH3 to be added to the gases does not necessarily solve the critical situation described above, since a reduced concentration of NH3 reduces the efficiency of the removal of S02 and NOx and increases the percentage of acid salts; a final by­product containing acid su1phates cannot be used for agriculture.

A new aspect of the process developed within the ambit of the RIACE project is the inhibiting of the spontaneous reactions of S02 removal by keeping the average gas temperature in the energizing stages at high values (around 100·C), and converting the S02 left in the flue gases, after the energizing stages, into (NH4)2S04 by injecting suitable additives into the flue gases.

This technique has made it possible to remove 100% of S02 and has drastically reduced the concentration of NH3 at the output of the process (less than 1 ppmv).

3.2 - Removal of NOx

The reactions in the gaseous phase, and the most important from the point of view of mass in explaining the mechanism of the removal of nitrogen oxides, are the following:

(1) NO + 03 --> N02 + 0;

(2) NO + ° --> N02 ;

(3) N02 + OH - -> HN03;

(4) N02 + NH2 - -> NH2N02; (5) HN03 + NH3 - -> NH4N03

The first two reactions are oxidation reactions in the gaseous phase and they occur at high speeds.

155

Page 158: Plasma Technology: Fundamentals and Applications

Reactions 3) and 4) do not manage to remove all the N02 produced by the reactions 1) and 2).

It is believed that the removal of N02 is facilitated with reactions in the heterogeneous phase (for example while the gas is passing through a bag filter). During the second half of 1991 the necessary experiments and research will be carried out to assess the increase in efficiency and the chemical and physical aspects underlying this effect.

3.3 - Size distribution of particulate and temporal evolution

A plant for treating approximately 50 Nm3fh of gas has been constructed, to carry out experimental controls for defining the size distribution of particulate formed by DeNOx-DeSOx processes of combustion gases which have been energized and enriched with ammonia.

Gases to be treated are sampled from the boiler of a thermoelectric plant behind the fans pushing towards the chimney. The boiler is equipped with industrial E.S.P. which filter the gases, thus obtaining a low concentration of ashes in the withdrawn gases. Diffuser "silos" installed after the reactor have been dimensioned to delay the treated gas outflow, to allow the study of temporal evolution of the produced particulate's size. Gas flow under full running conditions passes the "silos" in about 10 seconds. Some gates were installed at the reactor exhaust pipes and behind the diffuser, to carry out isokinetic sampling of particulate.

Deconvolution of experimental data, obtained from diffusion batteries cyclone train sampling system, was effected with Twomey nonlinear reversal algorithm. Especially the deconvolution nucleus is represented by the simultaneous combination of penetration efficiency in battery stages and cyclones.

We can note an essential similarity between tests 7/8 (energising with sampling after the expansion volume), and between tests 9/10 (energising with sampling before the expansion volume).

There are three groups of particle sizes found in the sampling carried out before the expansion volume (fig. 1):

the first group consists of particles some hundredths of micron across (primary particles produced in nucleation and condensation processes); the second group consists of particles some tenths of micron across (particles increased in size through Brown's and turbulent coagulation); the third group of particles are up to 6 ~m across and may be the effect of a coagulation phenomenon due to Brown's and turbulent diffusion between solubles and ash particles.

We can note that the first group disappears almost completely for the samplings carried out after the expansion volume (fig. 2). This may be caused by an aerosol "aging", when the second mode increases at the cost of the first mode. If this supposition is correct, ashes would act as coagulation nucleus for fine particles.

It is necessary to perform further tests in order to confirm these results because the low geometrical standard deviation values may derive

156

Page 159: Plasma Technology: Fundamentals and Applications

Fig. 1

Particle diameter !uml

Size distribution before "silos"

from artificial numeric effects.

§ N

-:. o "0 :E 0 :::;- :z: -0

Fig. 2

Particle diameter (uml

Size distribution after "silos"

The identification of physical processes with such narrow distribution is difficult.

4. DESCRIPTION OF ACTIVITIES

A prototype of a new pulsed power generator was constructed (3 kW nominal power). Its performance was characterized by energizing a wire­cylinder reactor cell.

Experiment on NO removal efficiency vs dose using different electrodic geometries and varying the voltage pulse shape and pulse length show only a little difference on NO removal efficiency. During these tests the gas was not adittivated with NH3 or other chemical compounds.

During the first half of 1991, research on the NOx and S02 simultaneous removal process was carried out on an experimental installation designed for the treatment of 100 Nm3jh of gas (TR-100 plant).

4.1 - TR-100 Experimental Plant

The 100 Nm3jh experimental plant installed in the Marghera thermoelectrica1 power plant is made up of a series of 10 cylinders, 200 mm in diameter and 1500 mm in length (fig. 3).

The flue gas to be processed is drawn off downstream of the plant electrostatic precipitator. A heat exchanger then reduces the flue gas temperature to about sO'e and the ammonia required for the process is injected at the outlet of the heat exchanger.

Next, the flue gas passes through the first two cylinders where the gas is energized by a positive pulsed voltage applied to the wires on the cylinder axis. The third cylinder works as an electrostatic precipitator and consequently a negative voltage is applied to the wire. Its electrode structure is the same as that of the first two cylinders.

157

Page 160: Plasma Technology: Fundamentals and Applications

'"''

II ...

III,· COI'1IESSED AIR~

Fig. 3

~.E. . " I ..

H" ....

TR 100 Experimental plant

During these phases the S02 is partially converted to ammonium sulphate (about 30%) and the NO partially converted to ammonium nitrate and N02' Part of the salt particles and the fly ash content in the flue gas ~s collected during the first two energizing stages and the electrostatic precipitator.

The gas temperature at the end of the 3rd stage is about 115·C. The 4th cylinder is available but not required. (The results here reported refer to experiments carried out without the 4th stage.)

In the 5th stage other substances are added to the gas and their contact with the S02 and NH3 produces a chemical reaction which turns the S02 into ammonium sulphate. The ammonium sulphate which has been produced, and the part of ammonium nitrate which had not been collected during the first three stages, are gathered in the last 5 stages which work as electrostatic precipitators.

A gas chemical analysis is carried out at the heat-exchanger output and at the end of the entire process.

The particulate concentration is measured only at the end of the process.

The collected material is recovered from the hoppers at the bottom of the cylinders.

4.2 - S02 and NOx Removal

S02 and NOx removal experiments were carried out with the experimental plant represented in fig. 3.

The ratio between NH3 and S02 concentrations was maintained at 2. NOx concentrations at the plant input were 250 to 350 ppmV, while S02

158

Page 161: Plasma Technology: Fundamentals and Applications

Tab. 1 8°2 removal efficiency and ammonia slip

Initial Outlet 8°2 NH3 slip concentration concentration removal

efficiency (ppmv) (ppmv) (X) (ppmv)

443 < 4 > 99 < 1 459 34 93 < 1 452 < 4 > 99 < 1 478 < 4 > 99 < 1 659 < 4 > 99 < 1 637 < 4 > 99 < 1 645 < 4 > 99 < 1

concentrations ranged from 300 to 650 ppmV. The gas temperature at the end of the energizing phases rose up to 115·e and its temperature at the

output of the plant was about 90·e.

A 802 removal efficiency of about 99X was achieved with a NH3 slipstream of a few ppmV. Both the 802 removal efficiency and the ammonia slip quantity were improved utilizing gas energizing. Tab. 1 shows the results on 802 removal efficency and NH3 slip.

NOx removal ranged from 40 to SOX. Higher NOx reductions were observed in conjunction with higher NH3 concentrations measured at the plant output, as can be seen in fig. 4. During these tests about 12 to 13 Wh/Nm3 of energy is supplied to the gas.

No NOx concentration reductions were observed during the tests carried out without the gas energizing process.

1.0~--------------------------------------------------~

0.9

>- 0.8 o ~ 0.7

§ 0.6

;i 0.5 > ~ 0." 0:: 0.3 ~ Z 0.2

INO>cl = 240 ppmv; 1S021 = 650 pPm\! .. '

50 100 150 OUTLET t+l3 CONCENTRA nON [ppmv]

Fig. 4 NOx removal efficiency U8 NH3 slipstream

159

Page 162: Plasma Technology: Fundamentals and Applications

4.3 - Particulates Concentration at the Plant Output

The particulates concentration at the plant output can be seen in fig. 5. Concentration is measured through an isokinetic sampling of gas and then measuring the weight collected by a membrane having a 0.22 ~m pore diameter. Therefore it can definitely be considered an absolute filter. The particulates concentration at the plant output is less than 4 mg/Nm3 .

The low concentration is due both to the ammonium salts' low resistivity (lOS ohm • cm) and to the residue fly ash working as a condensation nucleus for the salts produced. The salts' dimension is thus enlarged and they can be easily collected.

4.4 - Composition of the Collected Material

160

The material collected from the two energizing stages and the first

20.0-r---------------------------,

117•5 -'

115.0 112•5 ~

I'M~ I 7.5: i 5.0:

2.5~ L

IS02J .. 450 ppmV

.\

Fig. 5 Solid particulate emission experimental data

100~------------·----------------~

110

10

40

S04

Upstream second additivation sampled material composition (percentage by weight).

Page 163: Plasma Technology: Fundamentals and Applications

100~--------------------------------------------------------'

10

10

40

20

O~------~~~~~~~~~~~~~~----~~~--~

Fig. 7 Downstream second additivation samples material composition (percentage by weight).

collection stage (both coming before the second additives injection) contains about 6% of the total amount of the collected salts. The material is a mixture of fly-ash (50%) , ammonium sulphate, ammonium nitrate and chlorine (probably as ammonium chloride).

In fig. 6, the percentages of the compositions in weight of the measured ionic species can be seen.

In fig. 7, the percentages of the compositions in weight of the ionic species of the material collected in the electrostatic precipitator, after the second additives addition system, can be seen. The collected product is almost totally made up of ammonium sulphate of such high purity as to be put on the ammonium sulphate market.

4.5 - Pulse Power Generator

The pulse power generators required for this process must fulfill special requirements such as high efficiency and matching the load resulting from the corona process. These kinds of generators are not commercial devices and they must be specifically designed. A pulsed power generator employing the pulse-forming network principle has been projected and constructed by ENEL.

It has been specifically designed to verify the pulse-forming network efficiency and its matching possibility to a load made up of a wire-cylinder electrode geometry subjected to a positive corona process.

A pulse power generator scheme can be seen in fig. 8. Th~ circuit is basically made up of:

a direct voltage power supply; a rotatory spark-gap having two closure contacts connected with the same shaft and suitably phased; a system for charging the pulse-forming network, made up of the Lc inductance, the D diode, the spark-gap Cc contact and the LR circuit; a PFN discharge circuit, made up of the Cd contact.

The use of a pulse-forming line for pulsed voltage generation has given encouraging results with regard to the matching between the pulse power generator and the corona load.

161

Page 164: Plasma Technology: Fundamentals and Applications

Voltage R.gulator

r-----------------I I

~-J~~_+ __ ~~:~~LC~-+O--~;;~~ : cd1/ Vpln jR : 1

Oi r.ct Curr.nt P_rSuppl)'

: L: Vout

I I I I -----------------~

Pul.. Formill9

Fig. 8 Pulse generator basic diagram

A 0.82 ratio between the energy injected into the gas and the energy stored in the pulse-forming line was realized.

The load-matching is achieved by adjusting the DC supply voltage to the pulse-forming line. In fig. 7, the current and voltage traces as a function of supply voltage are shown. Increasing the Vdc value from 20 kV to 45 kV, the r1, r2 reflected waves decrease, with marked improvement in coupling at Vdc '- 45 kV.

The efficiency of this section of the generator is actually 85% because a resistance-inductor system parallel to the load absorbs 3% of the energy. The reason why the resistance-inductor system was used is that the existing DC power supply has a negative voltage output.

A pulse power generator with a global efficiency greater than 80% is considered achievable, when a pulse-forming line employing lumped elements is built with low dielectric loss capacitors.

4.6 - NO removal efficency Vs electrodic geometry and pulse shape

Laboratory experiments showed that the average energy of the free electrons produced in the front of streamers did not change varying the voltage and the rise time of the pulse applied to the e1ectrodic structure. In order to confirm these results, tests are carried-out on the TR100 Experimental plant. During these test the gas is not added with any chemical compound and only NO removal is taken into account.

Fig. 9 shows the amount of NO removal Vs the energy transferred at the plant for the different e1ectrodic geometries and pulse shapes.

Fig. 10 shows the shape of voltage and current pulse applied at two different e1ectrodic geometry. E1ectrodic geometry 11 1 is made with ci1inders having diameter of 200 mm and e1ectrodic geometry # 2 is made with cilinders having diameters of 100 mm. In both case the emitting electrodes are 3 mm wires.

The change of efficiency is not large but even taking into account the accuracy of NO measurements, it's possible to put in evidence that the efficiency increases as the diameter of ci1inders increases and as the pulse duration decreases.

The energI required to remove 120 ppmv of NO changes of 5.5 Wh/Nm , when electrodes geometry 11 1 and pulse used, to a maximum of 9 Wh/Nm3 when electrodes geometry shape # 3 or # 4 are selected.

162

from a m~n~mum shape 11 2 are

11 2 and pulse

Page 165: Plasma Technology: Fundamentals and Applications

2QO 200

EIootroclc~'l EIootroclc~'l

160 1.1 . 160 > > E E 0. 0. • 0. "i- 0. I 120 I 120

0 0 • w w > > 0

80 .. 0 80 :lE :lE w • w • 0: • IN .. ohapo # 1 I 0: INN ohapo #2 ~ 0 0

Z z <40 40

0 0 0 5 10 15 0 5 10 15

Wh / Nm3 Wh / Nm3

200 200

EIootroclc~'2 EIootrocIc ~ , 2

• 160 r:I 160 •• > INN ohapo # 3l... D.

> E E 0. 0. 0. · . . . ,.. 0. I 120

° I 120

c 0 c w • w > > • 0

80 . 'J~ ohapo , ~ I 0 • :lE :lE 80 w w ".-0: sa 0: INN ohapo # 5 I 0 l 0 z , z <40 j <40 I

0 0 0 5 10 15 0 5 10 15

Wh / Nrn3 Wh / Nm3

200 200

EIootroclc~'2 EIootroclc~'2

160 160 > > • E E 0. 0. 0. •• 0. I 120 .. I 120

c .. c w w > > • 0

80 · I· 0 :lE , :lE 80 ... w W 0: • INN ohapo * 6 I 0: INN ohapo #7 I 0 0 Z z

<40 • 40 .... •

0 0 0 5 10 15 0 5 10 15

Wh / Nm3 Wh / Nm3

Fig. 9 NO removal versus the energy transferred to gas for different electrodic geometries and pulse shapes.

163

Page 166: Plasma Technology: Fundamentals and Applications

• -r-,-..,..:;SU;:,;I:r.IJ34:r-r-T'''T''"'C 1IIIIr 1

IA 88IHI'-II!{-!-I-++-+-+-H

68'l-I-H1 \++-H-HH

181h!--+-H-++-l'++-+

2IIH~-+-H\++-l-l--H II l.I i'-BULI--+~-+-l--+-+-+'"

-~'~.B~~~I~.B~~~2.B 28B 1-9 .1 .... "

\Ie. SoP 2S 1&:16:55 1991

5 I I I 288

158 llo.

181 "~I+ \I-IH-H-H-

58

't:-l-~.....j-J.d'-,.. P II -58

-1a:liL,.B..LL..L...lI-:Il::.a...l....iL..L~2.a 28B 1-9 s/ily

Pulse shape II 1

m2.231

511 11\

If 1\ 38IH-l--+-H-++-H-1

I I 211IH-1--H--+

1H-! ,-+-f-+-

181I+1-1-+-IHI--l-H--I-

-la!L:-J--'--'--~::-I--'-L....L-;;' a.a I.a 2.a

_ £-9 8/4("

no SoP 26 15:17:12 1991

28B £-9 I/ily

Pulse shape II 3

781r-r--r..,..:;SE:::tr:;:..1I!I8T-,r-T'''T''"'C

68

38IIHI'-I-\~+-l-H-~

28111~-~~1~~~+-H-4

IBIIH+ItIl::-irn!lrt-jI-H tv '\~A~

-1:L,.B.L..Iw..~I.L,B..L.I~~2.1 28B £-9 1/41'01

5118 lion Sop 311 19:51:36 1991

ap IV!~ -188 ·H-t-f+-H

-a:'L.fi..LL..L...l!-:Il::.a..LL..L~2.a 28B 1-9 ..... ly

Pulse shape II 5

68,~_.......:IlC:;::!3:;:.:::BB9;!...,.-,-.,.....,

58 ~~~·~+H+H--l

18IIJ.-1Cl-1--I--.J-I-I--l-I-I--l

98i1I~·\-I-+-++H+-H

28,u~~+++-+-h+-+-~

18,1-I--+-W-+\IH·-hM 1\ 111\

1/ 1\ -1811-1--I-+ll4-4-1I\+ ,1-+-\1 -211ILL....I-J:....L.,L,-LlI.....L-JL..."J

•. 1 1.1 2 ••

88-

68 ··H-+-I-H-l--H-l-+-l

18 1\ 2811!-+-H_iyll'-~hll-l--hH

1\ -28

~:'~.I-'--~~I~.B~-'-L....L~2.1 288 £-9 S/tII..,

3l1li ,.1 Oct M 18:1a:39 1991

51t 211811·H+H--HH-+-!-I 15811~~~+-H-~~~-+-1

188IHf++~H"'-H-+-I

5811.....J.I-I--U-I--l--ii--VlAlrh1l-1

-58II-+II-I~jfl-+,+H,11+-+ '-+n -1881f+-lI-I-+-II-+-+++-I-1

-1s:'L:.8,J-.I-..l....l..:,,L:.8,J-.L.....L....!.-;;'U 288 1-9 S/ily

Pulse shape 11 2

78,,-,--,-,-:;IlC:::!3;::.299r-,-,-..,-,

68HII-I--H-I--H-I-+-l

5IIH-Il-tH-+--H-I-+-1 18H·-\/f-.p<>f-f--H-++-l

381H1-1--H·I++-lf+-+-2111.1tH--+-tI-\H \-HH--1 lal/

-18'H-I--H-I--HN::,j",i

-~!L:.I~L....L~Il::.I.L..I~~2.1 288 1-9 .,41..,

n.u Oct 83 17:19:82 1991

3l1li I~ 2511 1\ 2118IH~~-++-H~

1581 J

18811 58IIH-I-·HH-+-H-H

-5811-+-+-1-+\11+-1 iH-H

-1a:l!L:.a,J-.I-..l....l..:1,L:.a,J-.L.....L--I..:'2.a 288 1-9 .... Iv

Pulse shape 11 4

581,,-,.,:llCrl2::;;·::;81;:.9.-roo

381++++-H~+-I-I-+-!

28

18l1-+--'H--HN-I++lI\~ 1\

-18H-f-1k-+1--Hf-l\Wr--i-1 I"

-~'~.8.L..1~~1L,.I..L.I~~2.8 2111 1-9 .1'4.1 ...

\Ie, Oct 82 11:21;:39 1991 2118 I 158 111,

18811-11+-.J-I-l-j-

58IHI+-l-liri.-+-H-+-I

Ifn n 1.1 I \ I) 1\

-58II.....j-h'-"~H-.lJ~H-I·

-1a:lL,.8...1....iL..L~IL,.a...l....iL..L~2.a 288 £-9 IIU ..

Pulse shape II 6

5 no Oct 83 11:33:56 1991

28811-lr++-H-+H+'

158IIH~+-H--+-H-H

188II-Vll++-H+~+

58IH'I+-I/Id-+-IH.-+-!-1

1\ -188!L:-J--'--'-J.+.,J-.1-l....!.-;;'

1.1 I.a 2.1 _1-9.,.1"

Pulse shape II 7

Fig. 10 Voltage and current pulse shape

164

Page 167: Plasma Technology: Fundamentals and Applications

5. ECONOMIC EVALUATION

The economic evaluation is referred to thermoelectric plant with a nominal power of 320 MWe.

Thermoelectric plant data Nominal power Working hours per year Electric energy produced per year Flue gas flow rate S02 concentration NOx concentration

Downstream concentrations (required values) S02 concentr.(resu1t achieved by test-rig plant) NOx concentr.(performance to be reached)

320 6000

1. 92 x 109 1.1 x 106

500 250

Partie. concentr.(result achieved by test-rig plant)

< 25 < 100 < 10

Investment Costs Pulse generator 33 NH3 Storage and Injection systems 0.5 Electrostatic precipitate structure modification 2.5 Unexpected events 4

Total 40 x 109

Annual depreciation cost (40 x 109 Lit. x 0.08) - 3.2

Incidence on the produced energy cost Maintenance Costs

x 109 x 109 x 109 x 109

Lit.

x 109

1.67

Annual maintenance cost 1.5 x 109

Incidence on the produced energy cost

Running Costs a) Plant derating Thermoelectric plant cost per nominal kWe Derating power Derating cost (2 x 106 Lit/kWe x 22 x 103 kWe) Annual derating cost (44 x 109 Lit. x 0.08) Incidence on the produced energy cost

b) Fuel cost to supply electric energy required Coal price Calorific power Heat consumption Fuel cost per supplied kWh Fuel cost per hour (22.5 Lit/kWh x 22 x 103 kWh) Incidence on the produced energy cost

c) Additives Costs Incidence on the produced energy cost

Total running costs

Summary of Costs Investment costs Maintenance costs Running costs

Total

0.78

2 x 106 22

44 x 109 3.52 1.83

60 6000 2250 22.5 0.5 1.6

5.1

8.3

1.67 0.78 8.3

10.75

a coal

MWe hours/year kWh/year Nm3/h ppmV ppmV

ppmV ppmV mg/Nm3

Lit. Lit. Lit. Lit.

Lit/year

Lit/kWh

Lit/year

Lit/kWh

Lit/kWe MWe Lit. Lit/year Lit/kWh

Lit/kg kcal/kg kcal/kWh Lit/kWh Lit/h Lit/kWh

Lit/kWh

Lit/kWh

Lit/kWh Lit/kWh Lit/kWl.

Lit/kWh

165

Page 168: Plasma Technology: Fundamentals and Applications

6. HETEROGENEOUS PHASE REACTION

The NOx removal efficiency increase if the energized flue gas plus NH3, cross a bag filter coated with diatomaceus earth.

The steps of chemical reaction are unknown, but experimental results show that the efficency increase as the relative humidity increase. Up to now is quite difficult to transfer this technique to an industrial plant because problems rise with the life time of bag filter, with the cost of diatomaceous earth and with the hi~h concentration of diatomaceus earth required in the gas (up to 3 gjNm ).

Test and research are planned in order to salve these problems or to find alternative solutions in order to remove the N02 formed during the process.

7.ACTIVITIES PLANNED FROM THE SECOND HALF OF 1991

a) Study of the reactions in the heterogeneous phase which lead to the removal of N02;

b) experiments in removing N02 in the heterogeneous phase;

c) planning and construction of a 200 kW pulse generator;

d) retrofitting of a traditional electrostatic precipitator able to treat 10.000 Nm3jh running at Marghera Power Plant into a system able to remove fly-ash, NOx and S02 from flue gas.

The construction of the pulse generator and the modification of the electrostatic precipitator are expected to be completed by the end of April 1992.

REFERENCES

[1] S. Masuda, H. Nakao - Control of NOx by positive and negative pulsed corona discharge IEEE- lAS Annual Conference, Denver, 1986.

[2] L. Civitano, G. Dine11i, F. Busi, M. D'Ange1antonio et al. - Flue gas simultaneous DeNOx-DeSOx by impulse corona energization - IAEA Consultants Meeting, Karlsruhe, 27-29 ottobre 1986.

[3] 0. Tokunaga, N. Suzuki - Radiation chemical reactions in NOx and S02 removals from flue gas. Radiat. Phys. Chern. - vol 24, 1 pag. 145-165.

[4] L. Civitano, G. Dinelli, 1. Gallimberti, M. Rea, R. Turri - Free radical production by corona discharges in a DeNOx-DeSOx reactor -IX International Conference on Gas Discharges and Their Applications 19-23 september 1988.

[5] L. Civitano, G. Dine11i, M. Rea - Industrial experiments on pulse corona simultaneous removal of NOx and S02 from flue gas - IEEE Transaction Industry Applications, Vol. 26, n. 3, pp. 535-541, 1990.

[6] Catalytic Plasma Process to Limit Combustion Emissions Affecting the Environment - CEE Contract No JOUF-0053-C Report 1 & 2, 1991.

[7] L. Civitano, A. Baroni, O. Sguerri - Simultaneous removal of NOx and S02 from flue gases by energizing gases with electrons having energy in the range from 5eV to 20 eV - JAERI-IAEA - Conference on evolution in beam applications, Nov. 5-8, 1991 Takasaki.

166

Page 169: Plasma Technology: Fundamentals and Applications

MICROWAVE EXCITATION TECHNOLOGY

Philippe leprince and Jean Marec

Universite Paris-Sud, lab. Physique des Gaz et des Plasmas Universite Paris-Sud, Bat.212, 91405 Orsay Cedex. France

INTRODUCTI ON

Application field of microwave produced plasmas is widely expanding. Microwave plasmas can be considered as species sources and the developed products are ion sources, photon sources (lasers, lamps) and neutral sour­ces (surface treatment downstream the discharge). Microwave plasmas are al­so used for deposition and etching (with or without magnetic field). Hence, choice of a microwave excitation structure is obviously depending on the application (either in the plasma bulk or downstream the discharge) and the reactor type (pressure range, dimensions of the reaction chamber). Several excitation structures are able to solve a specific problem. However, the determination of the optimized structure requires a good knowledge of struc ture characteristics. Therefore, this paper is divided in three parts. We first recall basic principles of microwave discharges production (energy transfer, discharge sustaining conditions, stability, role of magnetic field). Secondly, we review the main types of excitation structures. Third­ly, we present some typical reactors.

I. BASIC PRINCIPLES

1.1. Energy Transfer

Microwave energy is transferred to the gas by electrons colliding with gas neutrals. Indeed, let us compare the behavior of free electrons in an oscillating electric field to that of electrons in an atom gas under the same field. Free ele~trons are oscillating with a velocity out of phase with the elctic field E

. .... m JW v e E (l)

Hence, there is no energy transfer (this is the case of low pressures where the electron-neutrals collision frequency v is less than the excitation w). In an atom gas, electrons are randomly moving as they are colliding with

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 167

Page 170: Plasma Technology: Fundamentals and Applications

neutrals. Hence, by plasmal. 2

they gain energy which corresponds to the power absorbed

Pab s 1 n e2 v e E2 (2) -V- '2 me v 2 +CJ 0

Where V is the total plasma volume, "e the electron density, Eo the electric field amplitude, me and e respectively the mass and charge of elec­tron. This case corresponds to the medium pressure range, i.e. v ~ w.

1.2. Power Absorbed by Plasma

Relation (2) is directly deduced from

Pabs 1 (---.-:) -Y- = '2 lRe Je • E (3)

--+ --+ * where IRe (x) denotes the real part of x, Je the current density and E the

conjugated complex of E. Furthermore, J; and E are r~lated by Ohm's law, i.e., J; = ae E • ae being the electrical conductivity of the plasma which is expressed versus "e by3

a = e

n e2 e 1

v + jw

Relation (4) already assumes that plasma behaves relative to microwave frequencies as a lossy dielectric which the permittivity is3

~ v w~ E = EO Ep with Ep = 1 - + j - ---

cJ + v 2 w cJ + v 2

(4)

(5)

This behavior of plasma corresponds to low and medium pressure range and the imaginary part of (5) corresponding to dielectric losses and electron neutrals collisions explains why plasma can absorb energy.

1.2.1. Effective electric field It is now convenient to introduce the effective electric field Eeff

and the power e for maintaining of an electron. The effective electric field is defined by comparison of the D.C. and microwave cases. In the D.C. case, the power absorbed by the plasma is

poe abs --+--+

-y- = Je • Eoe --+ . where Eoe 1S the D.C. electric field.

As J; · ae E where ae ' in the D.C. case is given by

a = e

n e2 e

the absorbed power can be expressed as poe n e2 abs e

-y- = rn:-

1 v

v

(6)

(7)

(8)

Then, the effective electric field for the discharge maintaining is defined as the field which, in the D.C. case would provide the same absorbed power

168

Page 171: Plasma Technology: Fundamentals and Applications

for given plasma parameters n~ and v. From comparison of relations 1n D.C. case and in microwave one,we find Eeff

This effective field is a characteristic of discharge maintaining4 • 1.2.2. Power needed for maintaining of an electron Power absorbed by unit volume can be expressed versus Eeff

Pa b s -V-

n e2 E2 e e ff

(9)

(10)

We denote by e the power absorbed by the ~lasma for maintaining of an elec­tron. Then we find the following relation

-V- = e ne

Hence e is linked to the effective field by

e e = or v

( 11)

(12)

The last relation shows the energy gained by an electron between two colli­sions and lost by the wave. It also shows e is a characteristic of dischar­ge maintaining. E ff and e are depending on gas nature, neutrals density, plasma dimensions le.g. diameter for plasma columns) and electron density.

As the power provided by the wave to the plasma is dissipated by elas­tic (Pel) and inelastic (Pi nel) coll isions, we can write

Pa bs -V- = Pel + Pi nel (13)

Hence, e directly means the power lost by an electron during collisions, i.e. the power needed for ionisation and excitation processes.

Losses = ne [ vi e Vi + L vexe V ex 1 Power consumed for Ionisation

Power consumed for Excitation

Vi' vex = Ionisation, Excitation frequencies Vi' Vex = Ionisation, Excitation potentials

The above relation shows that sustaining conditions of a discharge are depending on a lot of parameters as elctrical field, frequency of the elec­trical field, field distribution, energy distribution, gas nature, pressure bell jar geometry ... which make hard the control of that problem. It also shows e and E ff enable to know the power needed for plasma maintaining, therefore a study of the discharge itself is important in order to under­stand the energy transfer.

1.3. Plasma Maintaining and Stability

If Pin is the incident power of an electromagnetic wave on a plasma volume V we assume homogeneous with an electron density n , the power absor bed by the plasma over its length L is equal to the power lost by the wave

169

Page 172: Plasma Technology: Fundamentals and Applications

over the same length. If ~ is the attenuation coefficient of the wave, we have the relation

Pa b s -L- = 2~Pin (14)

The power balance of a plasma sustained by a microwave field can be written over the unit length4

2 ~ Pin = e ne S (15)

S is the plasma section. Equation (15) enables the study of discharge main­tainning and stability. Discharge maintaining is described by the cross point of two curves we call" The Operating Point "6. These curves are both depending on the electron density. One is a wave characteristic

S'w a v e (ne) = 2 ~ Pin

S'wave is the power provided by the wave to the plasma electrons. Second is a discharge characteristic

(16)

(17)

S'plas a is the power lost by plasma electron collisions. Bependence of S'wave on the electron density is that of the attenuation

coefficient ~. Hence, S'wave depends on the chosen mode for discharge sustain ing. On the other hand, S'pla&ma depends on ne in the same way as e, hence its variations versus n are glven by discharge conditions.

In order to simpi y explain discharge maintaining conditions and dis­charge stability, we assume the discharge is governed by ambipolar diffu­sion without volume recombination (case of atomic gases). That means e does no more depend on ne , hence S'plasma is proportional to ne'

1.3.1 Stability criterion Stability criterion can be expressed as follows: " If the electron

density ne corresponding to S'wa~e = S'plasma is weakly perturbated and beco­mes ne + 6ne with 6ne > O. plasma comes back to initial equilibrium only if the power lost by electrons ( S'plasmaw + ~plasma ) is higher than the power provi ded by the wave ( S'wa v + A'f. ve ) "7. This criterion can be written on the f01'owing form

>

From definitions (16) and (17), relation (18) becomes

or d~

dne <

(18)

(I9)

(20)

Relation (20) only shows how the dependences of ~ ( "wave characteristic" ) and e ( "discharge characteristic" ) on ne playa role in stability crite-

170

Page 173: Plasma Technology: Fundamentals and Applications

rion. Particularly, if we assume e is independent of ne , criterion takes the simple form

or d ( ex / "e)

d < 0 "e (21)

Hence, stability ranges correspond to electron densities such as the slope of the curve ex /"e ("e) < 00 We finally can conclude maintaining and sta­bility of a microwave sustained discharge mainly depend on ex , hence on the excitation mode. ~plas~a is determined by the discharge as only depending on e, whereas ~wave ana stability criterion only depend on variations of ex versus "eo

AIR

METALLIC CYLINDER

PL

z

Figure 1 Geometry of the propagation structure. Tube 2a-2b-2d.

1.3.2. Examples

As examples, we take three modes in the three media configuration shown in figure 1. Cylindrical coaxial geometry has been chosen: core is a plasma filling a glass tube surrounded by an air layer, itself limited by a metallic tube. Two propagating modes are considered,i.e., TMoo plasma mode and TMol guide mode and the TM100 cavity mode (cavity of length L is obtai-ned by closing coaxial structure with two metallic plates)7.

171

Page 174: Plasma Technology: Fundamentals and Applications

In figure 2 (a,b and c), we have plotted the variations of ~w ve(ne} and ~ las _(ne) for several values of ~in • These figures obvious1y show that, tor ~lgh values of the incident power, TM10~ mode exhibits two opera­ting points whose only one is stable whereas rMQO surface wave mode and TMo1 mode only exhibit one operating point. As the lncident power is decrea sing (~i~l > ~in2 > ~hn3)' ™oo surface wave mode always has a stable opera ting pOlnt, hence t e discharge can be always maintained but its electron density is never lower than a critical value nco For the TM 1 mode, there is always an operating point whatever the electron density w~ereas for the TM10Q mode, the operating point disappears as ~in < ~i~2 and again there is a crltical density below which the discharge can be malntained.

Below critical densities, the discharges can be no more maintained. These densities correspond to the limit of the stable range. These limits are shown in figure 3 where the a / ne (n) or Q / ne (ne) curves have been plotted. As these curves exhibit a negative slope, the corresponding operating point is stable, hence plasma is stable.

1.4. Influence of a Magnetic Field

Influence of a magnetic field appears through two phenomena. First, there is a resonance effect when the excitation frequency equals the elec-

50 10-12

IX/n. 10-13 fP

(u.a.) (cm2) ™oo 10-14

0 0 2 4 6 8 10 2 4 6 8 10

n. h012cm-3) n. (1012cm-3)

10 6

gJ TM01 IX/ne 4

(u.a) (10-16cm2)

2

2 3 0

5 10 15 20 0 5 10 15 20

n. (1012cm-3) ne (1012cm-3)

40 3000 , TM 10,o ./ TM10,o ,stabl.

oLin. 2000 ~ /' (10-13cm3) (u.a.) 20 /

./ . 1000 ,/

,/ 0

0 5 10 15 20 ne (101Ocm-3)

Figure 2 Operating point. Figure 3 Stability

172

Page 175: Plasma Technology: Fundamentals and Applications

tron cyclotron frequency. This resonance can locally occur. Secondly, elec­tron diffusion is modified by magnetic field as electrons path is strongly changed.

1.4.1. Resonance Effect

If a magnetic field B is applied over the plasma volume, perpenOlcu­larly to the wave electric field as in ECR plasmas or, at least, to a com­ponent of the electric field, plasma can be again regarded as a dielectric medium but its permittivity now becomes a tensorS

-+ -+ = E

(22)

Hence, the absorbed power is modified (with regards to the isotropic case) as components of tensor depend on the three ratios ~ , ~ and ~ where we

Wp We W

is the electron cyclotron pulsation. For instance, considering the simple case of when the time varying electric field is perpendicular to the static magnetic field, the time-averaged power density becomes9

Tensor components are expressedB in relations (24)

(Wp / w)2

Ell = 1 - 1 _ j v/W

(we / W) (Wp / w)2 Ex - j ---------

(j + v/w)2 + (We / w)2

(Wp / W)2 (l - j V/W) E.L = 1 + ---------

\. (j + v/w)2 + (we / w)2

(23)

(24)

From relations (24), we expect the collision frequency plays an important role if W = W as E and Ex have a pole for wG = wand v = O. A direct con­sequence of that pote existence is the possibllity, even for a plasma whose the collision frequency is very low, to obtain a very large absorbed power. Moreover, such a resonance can locally occur. Indeed, if the plasma struc­ture is imbedded in a spatially nonuniform magnetic field, there is a zone in the plasma bulk where the resonance condition is satisfied. This is the case of reactors based on multipolar confinement whereas in reactors whose the magnetic field is produced by magnetic coils the resonance occurs in all the plasma volume.

1.4.2. Effect on electron diffusion Influence of magnetic field can also be seen on the power ~ ~ lost

by electron collisions. Indeed, diffusion of electrons is modi~leam~y the magnetic field whose the confinement effect increases the effective diffu­sion length, thus leading to a decrease of electron losses by diffusion to­wards the walls.

173

Page 176: Plasma Technology: Fundamentals and Applications

For example, in the surface wave case (at 390 MHz in a 15mm inner diameter tube with a constant external axial magnetic field), S.Pasquiers et a1 8 have calculated the variations of 9 versus the magneti~ field. Calling 90 the isotropic value of 9 , they found:

(25)

where mi is the ion mass, vi the ion neutral collision frequency, ~ the effective diffusion length in ~he presence of a magnetic field Bo which can be expressed as (we> V ):

[ ~ ]112 We

• ~ 1+ - ~ v 2 ( P • Bo) V ( P • Bo)

(26)

~ = a I 2.405 is the characteristic diffusion length for cylindrical geo­metry (ca = plasma radius). Relation (26) obviously shows the diffusion length increase. Particularly, in the low pressure case, V «we' this ef­fect can be strong.

4

"'; 3 e I:S 2

4 5

Figure 4 Discharge operating point p = 30 mTorr and Pin = 4 Watt.

(A) 80 = 0, (8) 80 = 225 G, (C) 80 = 550 G.

Pabs

I

low density

I I

limit densIty

Figure 5 Choice of operating point in the case of a cavity (§II.l.l).

Following the same way as in the isotropi§ case, we can define an ope­rating point by the cross of ~ ( ne ) and 9 ~ ne curves as shown in fi-

In

gure 4 for surface wave mode8 • As expected, electron density ne(p'P i .B) for fixed pressure and incident power i1 inc&easing as the magnetic ~ie~d does. Hence, a magnetic field, even if E II D changes the electromagnetic field distribution and the absorbed power. Figure 4 also shows the higher the magnetic field is, the lower the absorbed power is for the same input power.

Here we have assumed a constant magnetic field, case of a variable ma­gnetic field will be briefly discussed in ECR plasmas section.

1.5. The Modelling Problem

Generation of a plasma whose the characteristics have to correspond to specific conditions imposed by an application, requires a suitable choi­ce of parameters is done. It thus requires the discharge modelling. Schema in figure 6 exhibits problems to solve and how they are coupled.

174

Ne

Page 177: Plasma Technology: Fundamentals and Applications

Power Supply ----OJ Tuning System • Excitation Structure + Plasma

~z" Energy • J Di scharge

Distribution Description Figure 6

Three problems have to be solved:

First, optimum energy transfer requires coupling structure is matched to the microwave source. Solution to the matching problem involves the knowled ge of structure impedance as plasma is created. This is the major difficul­ty of modelling as the structure/plasma set has characteristics depending on parameters as pressure, microwave power ... ' - Secondly, energy distribution has to be determined, this implies the know ledge of discharge characteristics as Maxwell equations are solved in all media ( e.g., Ep).

- Thirdly, plasma has to be described, this implies the knowledge of the electric field and its distribution. All these problems are coupled and a solution for modelling will be found only by " opening" the system, i.e. if equations can be independently sol­ved. This opening requires the introduction of assumptions on plasma and use of diagnostics for determination of discharge characteristics. Then, from these characteristics and the model, discharge can be characterized and cont ro 11 ed.

In following part, we briefly review the main types of microwave dis­charges and make an attempt of excitation systems classification.

II. MAIN DISCHARGES TYPES

Characteristics of microwave produced plasmas are strongly depending on structure type. Therefore, we have considered the different discharge types belong to three main categories: Discharges produced in closed struc­tures, Discharges produced in open structures and Discharges produced on a plasma resonance.

11.1. Discharges Produced in Closed Structures

If the vessel, where plasma is created, is surrounded by metallic walls whose the dimensions are about a few wavelengths, field distribution is determined by wave reflections on walls. Structure then can be conside­red as a cavity. Cavity can be either a monomode cavity (each resonance is well defined, that means each resonance peak is a single peak) or a multi­mode cavity (resonances are close eachother). Consequently, it is always possible to find stable conditions,i.e. a stable operating point depending on the input power and the resonance frequency without plasma (figure 5). For monomode cavity, there are several stable points depending on the exci­tation frequency whereas for multimode cavity the operating points are very close eachother. Then, it is possible, depending on the experimental condi­tions, to create the plasma on one of the modes without any control intro­ducing in that way a possible instability.

175

Page 178: Plasma Technology: Fundamentals and Applications

11.1.1. Cavity with axial plasma

In these cavities, mode is either a TM mode or a TE mode but it is well defined and the field pattern without plasma is wellknown

If a plasma tube is placed along the axis of a cylindrical cavity (as shown in figure 7) operating on the TMolO mode, the resonance frequency of the cavity shifts in relation to that Of the empty cavity. The ~f shift is given by:

(27)

~f shift is proportional to the electron density. As we have seen in the first part, the operating point can be stable or not because the variations of the absorbed power versus the electron density, at a fixed excitation frequency look like that of a resonance curve. Therefore, it is not easy to obtain a given value of electron density and ne can be increased by increas ing the excitation frequency. Again in figure 5 appears a limit, the elec­tron density cannot exceed a value depending on the cavity geometry. Fur­ther, a small change of working parameters as pressure can shift the opera­ting point by modifying the absorbed power, hence requiring either a modi­fication of the excitation frequency or of cavity dimensions.

The same cavity geometry allows to operate on another mode. For exam­ple, H.Rau et al. have calculated the electromagnetic field inside the re­sonator for the TMQ11 mode10 They used the finite difference method and com puted the H distrlbution from which they derived radial and axial electric field. Then they verified the corresponding electron density distribution agreed satisfactorily with experimental results (obtained by emission spec­troscopy) only assuming the power e to sustain an electron-ion pair does not depend on this density.

Cavities are used in a very large pressure range, about 1 mbar up to the atmospheric pressure, microwave power ranging between a few ten watts and a few kilowatts.

11.1.2. Excitation by an antenna in a closed structure

Electromagnetic field can be excited in a closed structure by an anten na. We give an example of such a structure in figure 8. Microwave power is

176

I r.===1 ~ II

Figure 7 Microwave cavity

Figure 8 Schematic view of the microwave ball reactor. •

MICROWAVES IN

~r=r====~rPLASMA BALL

SUBSTRATE

i MOVABLE ! GAS IN GAS OUT

Page 179: Plasma Technology: Fundamentals and Applications

fed into the reactor by a waveguide/coaxial adapter whose the inner conduc­tor acts as a radiating antenna. In such a geometry, there is no well defi­ned mode. This type of plasma reactor is mainly used in the 20 mbar - 200 mbar pressure range. Hence plasma is produced in a quartz liner in a zone where the electric field is maximum. This zone is determined by the posi­tion of metallic walls surrounding the liner and closing the structure. Most often, plasma has the shape of a ball. Again H.Rau et al ll have used the finite difference method to modelize this plasma and compared model and experimental results. They did not find a quantitative agreement, neverthe­less trends suggested by the model agree satifactorily.

11.2. Discharges in Open Structures

These structures mainly correspond to two types of plasma production. Plasma is produced either by a mode propagation or by a radiated field. Therefore, electromagnetic field distribution depends on the type of exci­tation but, in the both cases, the electromagnetic power is progressively absorbed from the applicator.

11.2.1. Plasma produced from a propagating mode

As seen in first section, all propagating modes are not stable and we already discussed about stability conditions of dipolar mode while we show­ed the azimuthal symmetric mode is stable as soon as the discharge is on. As an example, we now present some characteristics of surface wave produced plasmas whose a typical excitation structure (surfaguide) is shown in figu­re 9. Discharge stability and determination of operating point have been discussed in first section. From that discussion, we know plasma is produ­ced as soon as the critical density is reached. As surface wave propagation requires a plasma density higher than the critical value, that means surfa­ce wave is progressively loosing its travelled energy in order to create its own propagation medium, i.e., the plasma. Hence, plasma density is de­creasing from excitation gap towards the plasma end. Plasma length is thus depending on the input power whereas the gap density little depending on microwave energy under fixed conditions as gas nature, gas pressure and tu­be diameter. Axial electron density profile can be easily calculated from

dispersion equation (~ = f (~a») only assuming the power 9 is independent

Figure 9 . Surfaguide

.... ~ I E u

N

~ ~

~ c

4

3

2

20 40 60 z(em)

154VV ~

Figure 10 Influence of the wave frequency on the operating point of the discharge. Tube diameter 15 mm. Pressure 0.75 Torr.

177

Page 180: Plasma Technology: Fundamentals and Applications

of ne as it is the case in plasma governed by ambipolar diffusion5 • Indeed a relation can be established between the attenuation coefficient ~ and the electron density. It takes the form (as shown in first part) ~ = v f (n )

~ e

and the axial density profile ___ e is shown to be only dependent on ~. This result proves 9 is a constant a~ong the discharge and is determined by the input power at excitation gap and the gas pressure. Otherwise, 9 is deter­mined by Po • ne (0) and v • Finally, the density profile is given by the expression:

~

d~

dne

(28)

dne CE! is proportional to v, then v can be deduced from experimental results.

Further, if we write the equilibrium conditions of the discharge (continuity equation and transfer equations for electrons and ions), energy balance equation (9 again appears in this equation) and wave electric field equation inside the plasma, it is possible to evidence scaling laws and some similarity laws4

v fv (pa) as in positive column (29) ~

P 9

f 8 (pa) new result (30) - ~

P

E ~ /\ ~ Constant (31) w

provided w~ » w2 •

Figure 10 shows the role played by the excitation frequency as predic­ted by relation (31). Two observations with regards to this figure:

- for same incident power, plasma length at 210 MHz is much larger than length at 2,450 MHz, e.g.,91 cm and 33 cm respectively for 40 w input power in argon gas. - It is easier to get high electron densities at 2,450 MHz than at 210 MHz.

These results can be easily predicted from curves of figure 11 which allow the determination of the operating point whose principle has been above dis­cussed.

A few other properties we do not discuss here provide more information especially on excitation processes in plasma because we are able to link intensities of plasma emitted lines, electric field and electron density.

11.2.2. Plasma created by a radiating structure

This type of structure has been little studied but is nevertheless used in industrial reactors for surface treatment. Generally, electromagne­tic field is radiated in large vessel which allows to consider the the structure as open. We briefly describe three types of radiating structures.

178

Page 181: Plasma Technology: Fundamentals and Applications

... I

E

Figure 11 Longitudinal variations of the electron density and the wave power

(End of the column at z=O). Tube diameter 15 mm. Pressure 0.75 Torr.

RECTANGULAR GUIDE

, II \\'111 .HI

COAXIAL GUIDE

PLASMA

MOVABLE SHORT-CIRCUIT

MOVABLE PLUNGER

Figure 12 Microwave torch.

a} Microwave torches are derived from surfaguide and surfatron structures (figure 12). Inner conductor of the coaxial feed acts as a radiating anten­na and produces a plasma whose volume, shape and density are such it is a matched load with regards to the generator. In fact, microwave torch opera­tes under flowing conditions, gas flows through the empty part of coaxial feed and gas mixtures can be obtained by gas flowing through a hollow inner conductor which radiating head is a torch nozzle. These torches work bet­ween 100 mbar and the atmospheric pressure.

b} Another type of radiating structure is obtained from slotted waveguides. For example, a S band waveguide has been designed whose the wide side (E plane) exhibits four slots (figure 13). Slots angle with the guide axis is small and slots are separated by a half-wavelength. Electromagnetic field is radiated through the slots and generates a plasma separated by a window from the radiating structure. Obtention of an homogeneous plasma (or an ho­mogeneous electric field) requires suitably located metallic plates. Even­tually, it can be enhanced by magnets. Pressure range is 1 - 10 mbar, plas­ma obtained of the size 9 cm x 40 cm. Possible applications are polymeriza­tion and deposition.

c} Based on the same principle of radiated field, Geisler and Kieser12 have developed a structure using a waveguide ended by a large horn for surface treatment as film coating or etching. However, it is very difficult to ob­tain gas breakdown at pressures lower than 10- 2 mbar without a very high electric field as expected from part I. Therefore they apply an appropriate magnetic field. Field geometry is an electric field rotating in the plane of the electron gyromotion. Such a field corresponds to a circularly pola­rized wave propagating parallel to the magnetic field lines. If the right hand polarization is chosen, the electrons see in their rotating frame the reduced frequency W - we and the absorbed power is drastically increased (cf. part I). A good result is obtained at a near resonance condition I~I / W = 0.1 for a pressure of about 0.1 mbar. Figure 14 shows the construc­tion of the EMG-source. It consists of two assembly groups, microwave appli

179

Page 182: Plasma Technology: Fundamentals and Applications

Figure 13 The GL 512 applicator in its mounting structor. Figure 14 Leybold excitator.

cator is separated of plasma by a microwave window while magnets are in the plasma chamber. Microwave power can be up to 2 kw and antenna expands to an aperture of the size 60 cm x 9 cm.

11.3. Discharges Created on a Plasma Resonance

These discharges correspond to all the ECR reactors where energy is absorbed by resonance effect as W = we. Two types of structures can be con­sidered depending on the resonance zone, i.e., the resonance zone occurs either everywhere in the plasma bulk or in localized zones.

11.3.1. Resonance in the all volume

This type of structure corresponds to systems where the magnetic field is created by coils around the plasma vessel as in first ECR reactors used for etching as in figure 15. Two coils and a permanent magnet produce a mir ror type magnetic field 13 in the discharge area confining the plasma. Magne tic field is considered as constant in a large volume. Microwave mode has its electric field perpendicular to the static magnetic field enabling a good energy transfer. Pressure is usually about 10- 3 mbar.

'---' Scm

o ... ., .. o E .. " ~ ~

Z - ~ ~ Ul

., 0

Figure 15 Diagram of microwave plasma etching apparatus and the magnetic flux density B.

180

GROUND PLANE-

Ill!1 c."' ,;;;;---ANTENNA

~\I~""·· MAGNET

ECR REClIION--

Figure 16 Schematic of a linear ECR applicator showing the different plasma regions.

Page 183: Plasma Technology: Fundamentals and Applications

11.3.2. Local resonance

Plasma reactors using a non uniform magnetic field or magnets located as in the multipolar configuration so that the ECR zone is well defined cor­respond to that type. We briefly discuss the last system developed at CNET14 for etching and deposition. This reactor is based on two principles: First the microwave applicator consists of a grounded cylindrical wall with cylin drical metal conductors (the antennas) placed above rows of magnets placed on the wall in such a way that the 875-G isomagnetic surface is adjacent to the antenna along its entire length - Second is a concept of multipolar con finement applied to the fastest electrons which are trapped in region close to the magnets. Magnetic circuit is closed by two adjacent bars as in the conventional multipolar field. Application of microwave power at 2.45 GHz through a coaxial feedthrough which ends the antennas results in ECR cou­pling all along the antenna length. For pressure ranging between 2 10- 4 and 4 10- 2mbar, the device behaves as local plasma sources (figure 16), ion den sities of about 1011 cm- 3 can be obtained.

Remarks: In some reactors, several technics are combined. For example the ion disk source developed by J.Asmussen et al 15 combines the resonant cavi­ty source and the ECR efffect whereas the reactor developed by B.Andries et al 16 combines the concept of surface wave production and a localized ECR effect.

III. APPLICATIONS

Microwave reactors are strongly expanding in laboratories and in indus­try. Reasons of expansion are due to specific properties of these discharges - They have no elctrodes allowing the use of corrosive gases. - They are easy to operate and the generator control is simple. - Electromagnetic radiation is well controlled. - They provide high species densities. - By a control of electromagnetic energy distribution it is possible to de-sign optimized reactors.

Since ten years, there is a lot of applications in industry. Therefore we here give some examples whose we think they obviously show the interest of microwave discharges. We have chosen four application fields: - Surface treatment: Plasma is a source of active neutral species, i.e. flowing afterglow reactors. - Microelectronics: Plasma is an ion source. - Deposition: Electromagnetic field breaks heavy particles and makes easier deposition processes. - Light sources: Plasma is a photon source.

111.1. Plasma as Source of Active neutrals

In the plasma bulk, several species are present and are of interest for applications: charged particles as electrons and ions and neutrals particu­larly excited neutrals we call active neutrals. A surfaguide, for example, can generate discharges in molecular gases as N2 • O2 • H2 17 ... at pressures ranging between 1 to 20 mbar with gas flows near 10 limn. Densities of acti­ve species in the afterglow are important ( 1015 cm- 3 in 02 for eaxample). Here, we present two examples of these flowing afterglow discharges for me­tals nitriding or oxidation and for enhancement of polymer surface adhesion.

111.1.1. Metals nitriding and oxidation

In nitriding and oxidation processes it is very important to have a good contr~l of substrate temperature. Therefore it is interesting to per-

181

Page 184: Plasma Technology: Fundamentals and Applications

form these processes in the afterglow of a microwave discharge (created by a surfaguide structure). Indeed, the active species impinging the surface are not hot and there is no interaction between the substrate and the discharge which could prevent an independent control of substrate temperature. In that way temperature is controlled by the owen surrounding the reactor. Such a reactor is actually in the development phase19 •

111.1.2. Modification of polymer surface properties

Substrate is placed downstream the discharge and its temperature is on­ly the room temperature. Interesting results have been obtained for the en­hancement of surfac~ adherence of polypropylen relative to an aluminum coat­ing. Aluminum is evaporated after the polymer substrate has been treated by active neutrals of N2/02 afterglow17 •

111.2. Reactors for Microelectronics

ECR plasma are widely developed for microelctronics applications as et­ching and/or coating. Indeed, they exhibit very interesting properties as: - High species densities. - Acceleration of charged particles is possible either from outside energy sources (DC or RF biasing) or by an appropriate choice of the magnetic field. Two technics are developed: - reactors operating on a local ECR resonance as the multipolar plasma of CNET13 - reactors which the ECR resonance occurs in a large volume as the Suzuki one12 (cf. figures 16 and IS). In both cases, ions play an important role. Another interesting example is the ion disk source which combines cavity resonance and ECR effect. Addition of extrac­tion grids allows to obtain a very efficient ion source for VLSI2o.

111.3. Reactors for Deposition

As microwave reactors are very versatile, it is possible to design reac tors of various shapes enabling deposition either in quatz tubes or over a plane substrate. We present an example of each possibility.

111.3.1. Preforms for optical fibers

A discharge is produced by a surfaguide structure in a quartz tube (fi­gure 17) with O2 : GeC1 4 : SiC1 4 mixtures. Under appropriate conditions of gas flows and microwave power (modulation of the magnetron high voltage for moving the deposition region which normally occurs at the end of the plasma column) it is possible to obtain a deposition consisting in successive sheets of various oxides on the inner wall of the tube. Then a preform is obtained. Pressure ranges 1 - 10 mbar.

111.3.2. Diamond deposition

By local ising the electromagnetic energy near the substrate a discharge can be created at high pressure (p > 50 mbar) and a diamond like deposition is obtained. Such a system, for example, has been developed by Astex21 (fi­gure 18).

111.4. Light Sources

Plasma is also a photon source. In that field, its main interest is the UV range either for lamps or lasers. People of Fusion Co. have developed light sources22 whose spectrum is near that of mercury lamps. They are used for drying (inks, fibre coating ... ). It is a very efficient system as the radiated power over is about 275 watts over the 200 - 400 nm UV range whereas the power coupled to the plasma is about 1200 watts. Pressure in the

182

Page 185: Plasma Technology: Fundamentals and Applications

AXISYMMETRIC /WAVEGUIDE COUPLER

IA (z) CAVITY QUARTZ BELL JAR

PLASMA

SUBSTRATE

SURFAGUIDE

THROTTLE VALVE

/ ,

CONTROLLER

PLASMA/ FURNACE SILICA TUBE

Figure 17 Opt i ca 1 fiber preform D-MECHANICAL

PUMP

Figure 18 Astex reactor

bulb lamp is 1 to 2 bars. For some medical applications, excimer lasers as XeCl laser have been developed by Christiensen23 from a compact surfaguide like excitation and guide like excitation. This laser is not a high power one but it delivers long pulses ( ~ 1 ~s ) at high repetition rate (10 KHz).

CONCLUSION

We have briefly presented in this paper basic principles of main mi­crowave excitation systems emphasizing energy transfer and stability pro­blems. We have also given some examples of application obviously showing the versatility and advantages of microwave reactors. Of course, this presenta­tion is not exhaustive and examples have been chosen in the main fields of actual development.

REFERENCES

1.

2.

3.

4.

5.

6.

A. D. MacDonald and S. J. Tetenbaum , " Microwave Breakdown in Gaseous Electronics ", M.N. Hirsch and J.K. Oskam eds, Vol 1, Academic press New York (1978).

J. Marec, E. Bloyet, M.Chaker, P. Leprince and P. Nghiem, Part B, Micro wave discharges in "Electrical Breakdown and Discharges in Gases" E. E. Kunhardt and L. Luessen eds,Plenum Publ.Corp., New York(1983).

W. P. Allis, S. J. Buchsbaum and A. Bers, Electromagnetic propagation in isotropic plasmas, in " Waves in anisotropic plasmas ", MIT Press Cambridge (1963).

C. Boisse-Laporte, A. Granier, E. Dervisevic, P. Leprince and J. Marec, Microwave discharges produced by surface wave in argon gas, J. Phys. D: ADD1; Phys. 20: 204 (1987).

W. M. Glaude, M. Moisan, R. Pantel, P.Leprince and J. Marec, Axial elec tron density and wave distribution along a plasma column sustained by the propagation of a microwave surface wave, J. ADD1. Phys. 51: 5693 (1980).

C. Boisse-Laporte, A. Granier, E.Bloyet, P.Leprince and J. Marec, Influ ence of the excitation frequency on surface wave argon discharges. Study of the light emission, J. ADD1. Phys. 61:1740 (1987).

183

Page 186: Plasma Technology: Fundamentals and Applications

7. C. BOisse-Laporte, Etude du transfert d'energie d'une onde a un plasma, These Universite Paris-Sud, Orsay (1989).

8. S. Pasquiers, C. Boisse-Laporte, A. Granier, E. Bloyet, P.Leprince and J. Marec,Action of a static magnetic field on an argon discharge pro duced by a travelling wave, J. ADD1. Phys. 65:1465 (1989).

9. J. Asmussen, Electron cyclotron resonance microwave discharges for etch ing and thin film depsition, J. Vac. Sci. Tech. A 7:883 (1989).

10. H. Rau and B. Trafford, Rotationnaly symmetrical electric fields and el ectron density distributions in a microwave plasma used in optical fibre production, J. Phys. 0: ADD1. Phys. 22:1613 (1990).

11. H. Rau and B. Trafford, A microwave plasma bell reactor experiment and simulation, J. Phys. 0: ADD1. Phys. 23:1637 (1990).

12. M. Geisler, J. Kieser, E. Rauchle and R.Wilhem,Elongated microwave ECRH plasma source, J. Vac. Sci. Tech. A to be published.

13. K. Suzuki, S. Okudaira, N. Sukudo and I. Kanomata, Microwave plasma et­ching, JaD. J. ADDD1. Phys. 16:1979 (1977).

14. M. Pichot, A. Durandet, J. Pelletier, Y. Arnal and L. Vallier, Microwa­ve multipolar plasma excited by distributed electron cyclotron reson ance: concept and performances, Rev. Sci. Instrum. 59:1072 (1988).

15. J. Hopwood, D. K. Reinhard and J. Asmussen, Charged particles densities and energy distributions in a multipolar electron cyclotron resonan­ce plasma etching source, J. Vac. Sci. Tech. A 8:3103 (1990).

16. B. Andries, S.Saada and P.Parrens, A surface wave reactor of large dia­meter with localized ECR effect, CIPG, 146 (1991).

17. R. Safari, C. Boisse-Laporte, A. Granier, M. Lefebvre and M.Pealat, In­vestigation of flowing microwave oxygen discharge by CARS, ESCAMPIG, 258 (1990).

18. C. Chave, C. Boisse-Laporte, J. Marec and P. Leprince, Nitrogen microwa ve discharge for metallic surface nitriding, ICSPE, 151 (1990).

19. F. Normand, A. Granier, J. Marec and P. Leprince, Surface treatment of polypropylen by oxygen microwave dischargge, ICSPE, 17 (1990).

20. L. Mahoney and J. Asmussen, A compact resonant cavity, five centimeters multiscup, ECR broad-beam ion source, Rev. Sci. Instrum. 61:285 (19 90).

21. R. Messier, From diamond-like carbon to diamond coatings, Thin Solid film, 153:1 (1987).

22. W. Schreffer, U. V. Curable materials response and its relationship to power level and lamp spectra, Radtech. Conf., 29 (1990).

23. C. P. Christiensen, C. Gordon, C. Moutoulas and B. J. Feldman, High re­petition rate XeCl waveguide laser without gas flow, ODt. Letters, 12:169 (1987).

184

Page 187: Plasma Technology: Fundamentals and Applications

NEGATIVE ION SOURCE TECHNOLOGY

ABSTRACT

H.J. Hopman* and A.M.A. Heeren

FOM Institute for Atomic and Molecular Physics P.O. Box 41883, NL-1009 DB Amsterdam The Netherlands

Negative ion sources are based on either of two basic processes: the formation of negative ions by atomic and/or molecular processes in a gas discharge, and induced emission of negative ions from surfaces (sputtering, desorption, double charge exchange of reflected positive ions). The former is called the volume source and finds its major application in production of negative hydrogen ion beams for fusion and high energy accelerators. The latter has been developed into the plasma surface conversion negative ion source, which finds a wide application and produces nearly every kind of negative ion. Both types of sources will be discussed.

NEED FOR NEGATIVE ION SOURCES

The oldest, best known application of negative ion source technology is in tandem­VanDeGraaff accelerators. The scheme is simple: after acceleration through the available potential Va, the negative ions are doubly stripped to positive ions in a simple gas cell. Subsequently, the resulting positive ions are accelerated back to source potential, and the total energy gain is 2 times eVa. The enormous advantage of this scheme is to have the most critical elements, i.e. the source and the target, at ground potential thus easing the maintenance of source and the manipulation of targets. In addition, one needs only half the required potential. Where applicable, this scheme is driving the improvement of negative ion source technology. A newly developed type of source that is very well suited for this type of application is the negative metal ion source [Alton et aI., 1990].

In fusion where one needs neutral deuterium beams there is a second reason to push negative ion source development: approximately above 100 keV/amu, negative ions are more efficiently neutralized than positive ions. The reason is that the removal of the extra electron from D- is a non-resonant process that has an approximately constant energy independent efficiency. The addition of an electron to D+ is a quasi-resonant process and the efficiency decreases rapidly with increasing energy. Because the aim of fusion is to burn a pure deuterium-tritium mixture, development concentrates on D- sources. Recent results show a very encouraging progress. A comprehensive review of both positive and negative ion sources for fusion (volume sources) has been prepared by Hemsworth and Holmes [1991].

* present address: DRFC, CEN de Cadarache, F-13108 Saint Paul-lez-Durance, France

Plasma Technology, Edited by Capitelli and Gorse Plenum Press, New York, 1992 185

Page 188: Plasma Technology: Fundamentals and Applications

Two types of processes are used for the generation of negative ions. Atomic or molecular reactions in a gas discharge, like for instance charge exchange,

x + Y ~ x- + Y+, (1)

or dissociative attachment,

X2 + e- ~ x- + X, (2)

lead to the fonnation of negative ions, which can be extracted. Sources employing this approach are referred to as volume sources. In the second process, the charge exchange takes place at a surface:

X + e-/surface ~ X- + surface,

X+ + 2e-/surface ~ X- + surface. (3)

The atoms and/or ion~ are generated in a gas discharge in front of the surface, or they are liberated from the surface by the impact of ions from the discharge. This approach is referred to as the sUrface plasma source.

At present, the most demanding development of negative ion sources is in the field of fusion research. An international three year conceptual design effort for a next step fusion device under the auspices of the IAEA (International Atoinic Energy Agency, Vienna) was concluded in 1990. For heating the plasma and driving currents in the plasma needed to confme the plasma, the design calls for the injection of 1.3 MeV deuterium atoms [ParaH et al., 1991]. Nine injector units should each produce, accelerate, and neutralize a D- current of"" 15 A. The highest current achieved to date in negative hydrogen sources is "" 10 A of H-, at"" 40 keV [Okumura et at, 1990], indicating that sources of the required perfonnance level are in hand. However, the physics understanding ofH-/D- sources is still insufficient, and progress results from improved "cooking recipes". For instance, the 10 A H- output was obtained by adding Cs to the discharge in a pure volume source. That way the extracted H- current was boosted from 3.1 [Hanada et al., 1990] to 10.2 A. The effect of Cs is attributed to a conditioning of surfaces near the extraction plane [Okumura et at, 1990], thus pennitting surface conversion to take place. Besides improving the understanding of underlying processes, sources for fusion need improved robustness and longer component life time (RF discharge instead of hot cathode arc discharge), demanding a concentrated development program.

In volume sources, beam divergences are determined by the kinetic temperature of the ion species in the source and the quality of the extraction optics. There is no a priory reason why these should be different for positive or negative ion sources. In particular, beams from mono­species volume sources, cf. H-, or He+, can be designed to have essentially the same divergence [A.J.T. Holmes, AEA Technology Culham Laboratory, UK, private communication], if in these cases the plasmas in the source have the same temperature. With the higher degree of ionization in a positive ion source, the He+ beam brightness may be considerably higher. In a positive hydrogen ion source, things are dramatically different. The kinetic temperature is not only determined by the source plasma, but also by dissociation of the H2 molecules, while the products, HO and/or H+, are left behind with the so-called Franck­Condon energy of"" 2 e V. As a result, the kinetic temperature is much higher than in a mono­species source. In surface plasma sources, the kinetic temperature of the extracted ion species is not determined by the source plasma, but by the negative ion production process on the converter, which has so far led to fairly large beam divergences.

Altogether, negative ion sources have many advantages even though they are not yet fully explored or widely appreciated. Some of these are:

186

the source and target can be at ground potential when used in the tandem accelerator configuration, in the case of metal ion beams, a versatile and easier source technology allows larger extracted currents than those of the corresponding positive ion sources, beams are produced with a single species (H-, in stead of a mixture ofH+, H2+, H3+ in the case of a positive ion source),

Page 189: Plasma Technology: Fundamentals and Applications

a smaller beam divergence is achievable (for H- compared to that of H+ beams), at high energy, good neutralization efficiency of the negative ion is possible.

These properties are not true simultaneously, rather for one particular system one or more of these properties may apply. Moreover, some of these properties are related to the type of negative ion generation, i.e. the type of source used, and may not be independent.

To a large extend, the development of negative ion sources is documented in two series of workshop proceedings, of which the last ones are the first two references.

NEGATIVE HYDROGEN ION FORMATION

In the sources presently under development, the formation of negative ions is based on two types of processes: surface conversion and dissociative attachment. In the past, other processes have been considered like successive electron capture by H+ ions passing through alkali vapour cells [see for example Delaunay et al., 1984], but despite reasonable success, the complexity of these devices led to their disappearance.

Surface production Surface production of negative ions occurs when a particle picks an additional electron from the Fermi sea of a conducting substrate. In most practical conditions, the electron affinity Ea, that is the binding energy of the extra electron to the atom, will be

smaller than the substrate work function <1», i.e.,

Ea < <1». (4)

This implies that the negative ions cannot be produced under equilibrium conditions, but they can be formed under non-adiabatic conditions. Near to a conducting substrate the potential of an electron is lowered due to the interaction of its charge with the image charge. This means that near a surface the affinity level of an atom is lowered in energy. The height of the affinity level becomes a function of the distance to the surface and it is further lowered the nearer the atom is to the surface. At short ranges of a few A, the affinity level may even dip below the Fermi level of the substrate [Los et al., 1980]. Thus near a surface, resonant charge exchange is possible, and the affinity level will be populated. When the atom is receding from the surface, the affinity electron has a finite probability to fall back into the conduction band as soon as the affinity level is above the Fermi level. This happens under equilibrium conditions. However, when the atom is receding from the surface with an adequate speed, it remains such a short while near the surface that the electron is not given the time to tunnel back. Thus,

Geerlings et al. [1985] have demonstrated for the system hydrogen!cesiated-tungsten (<I» = 1.45 eV, Ea = 0.75 eV), that the normal component of the atom velocity has an optimum value

corresponding to an energy of == 10 e V /amu. Then, the negative ion formation probability" is nearly 70%. The energy is typically that of sputtered particles. In the same paper a model for calculating" is presented, which provides a fairly accurate fit to the experimental data

The probability" depends on the difference (Ea - <1»), but also on the electron density in

the solid. Initially, it was tried to minimize (Ea - <1»), which resulted in the use of a tungsten (or molybdenum) substrate covered by half a mono-layer of cesium [Klimenko and Medvedev,

1969; Papageorgopoulos and Chen, 1973]. In exploiting the dependence of" on the substrate

density of states, Van Os et al. [1988-1] proposed the use of pure barium, with cl> = 2.65 eV. Additional advantages from the source point of view would be the much lower vapour pressure of Ba, compared to Cs, the absence of a stable negative ion, and the constant work function independent of discharge conditions. Using the model of Geerlings et al. [1985], " was calculated for Ba, and compared with Cs, see Fig. 1. It is seen that H- formation requires much higher "normal energies" for Ba and its efficiency is smaller. Subsequent experiments under

high vacuum conditions resulted in values of" around 30% [Van Os et al., 1988-2]. Surface plasma sources have the great advantage that they can work at relatively low gas

pressures of == 1 mTorr. Then, also with large sources there are no serious problems in

187

Page 190: Plasma Technology: Fundamentals and Applications

0.81"-::::==::::::------, ~ ] 0.6

" .&I

f II. 0.4

= .2 i 0.2 '2 ..2

10 100 1000

Energy leV]

Figure 1. Calculated negative-ion fonnation probability for hydrogen atoms leaving the surface along the nonnal vs energy. Solid line: half a mono layer of Cs on W; dashed line: solid Cs; chain-dashed line: solid Ba [Van Os et aI., 1988].

pumping the exhausted gas. However, there is the danger that the extracted beam is contaminated with substrate material [Belchenko and Kupriyanov, 1990].

Volume production Volume production attracted first attention with the demonstration [Bacal and Hamilton, 1979] that in a hydrogen plasma the H- densities may reach appreciable values. This was shortly followed by an explanation [Bacal et al., 1981; Bacal, 1982] based on the large value of the cross section for dissociative attachment [Wadehra and Bardsley, 1979]. In the presently widely accepted model for the formation of H- in volume sources the dominant process occurs in the plasma volume. The ions are thought to be formed in two steps: vibrational excitation of the gas molecules, followed by dissociative attachment [see for instance Hiskes, 1987]. In these two steps different energy groups of the plasma electrons are involved. The reactions are:

H2(v) + e(E > 20 eV) => H2(v") + e, (5)

H2(v"~5) + e(E<2eV) => H- + H. (6)

Reaction (5) says that in collisions with energetic electrons having an energy E above about 20 eV, molecules are excited to a vibrational state with quantum number v" »v. In reaction (6), molecules undergo dissociative attachment. This reaction has a significant rate provided v" ~ 5 [Wadehra and Bardsley, 1979].

A large dissociative attachment cross section is not sufficient to explain high H- densities. In addition, a strong deviation from thermal equilibrium is required. A fIrst attempt to describe the chemical kinetics of vibrational excitation of hydrogen molecules in a discharge is by Hiskes et al. [1982]. They showed that due to fast electron excitation, reaction (1), the temperature characterizing the vibrational distribution is much higher than the kinetic temperature of the molecules. Moreover, the vibrational distribution is not Maxwellian and shows an over population of vibrational levels between v = 5 and 10. The description of the chemical kinetics was large improved by Gorse et al. [1985; 1987] by including a self consistent calculation of the full electron energy distribution. This extended model supported earlier conclusions. The model is the basis of present source simulation and is continuously being refined. Recent results predict vibrational temperatures between 3000 and 6000 K [Bacal and Skinner, 1990], with higher temperatures at higher discharge currents (10 - 1000 A). Recently, the model has been validated [Capitelli et al., 1991] against measured vibrational distributions [Eenshuistra et al., 1989; Stutzin et al., 1990; Young et al., 1990], and demonstrated to have a reasonable predictive capability.

In volume sources, wall processes playa role even though reactions (5) and (6) take place in the gas phase. Important questions are the rate of formation of vibrationally excited molecules by wall recombination and/or dissociation following the impact of HO, H+, H2+,

188

Page 191: Plasma Technology: Fundamentals and Applications

and H3+ on walls [Hiskes and Karo, 1990], and the degree of vibrational de-excitation when an excited molecule collides with a wall [Karo et al., 1985]. Answers to such questions are not yet possible because they sensitively depend on the wall condition in real experiments, which is unknown. In particular one need to know the degree of coverage of the walls by hydrogen: is it a fractional mono-layer of chemisorbed hydrogen, or is there a physisorbed layer on top [Kleyn, 1990; Hopman, 1990; Bacal and Skinner, 1990]. Experimental answers may vary from source to source, and change with discharge current

Because molecules are involved in the H- formation process, volume sources generally work at relatively high pressures to maintain lower degrees of ionization. This results in a relatively large gas throughput, which is an important disadvantage for large current sources as needed in fusion.

SURFACE PLASMA SOURCES

The oldest and best understood process for generating negative ions involves the use of surfaces. In an early version of such a source, Middleton and Adams [1974] bombarded a target with a cesium ion beam of about 20 keY. The fraction of sputtered target particles with a negative charge is extracted in the electric field between target and accelerator. Currents in the J.LA. range were obtained. The cesium beam in this configuration has the double function of sputtering and modifying the surface work function.

Important progress was possible by separating these, i.e. the cesium is supplied from an external reservoir and the sputtering is done by an auxiliary plasma. The temperature of the reservoir regulates the Cs flux into the source, and to a certain extend the Cs coverage of the surface. Figure 2 presents the schematic of a surface plasma source as it is generally employed. An arc is struck between the filaments and the chamber wall. To improve the confinement of the plasma, the outside of the chamber is covered by permanent magnets, see section below. Such a discharge chamber is referred to as a "bucket". A special electrode, called the converter, is immersed in the plasma. The converter is biased negatively. This bias has two functions. Positive ions produced in the gas discharge are accelerated in the sheath in front of the converter before bombarding and sputtering the converter. Second, in the same sheath the negative ions formed on the converter surface are accelerated into the plasma. With the energy thus gained, the negative ions rapidly traverse the plasma and exit through the extraction aperture. The short plasma transit time means little detachment losses. At the extraction aperture the negative ions emerge from the plasma with the energy they gained in the sheath. Therefore, this scheme is named "self extraction" [Leung and Ehlers, 1982]. One can even apply a counter electric field to prevent the co-extraction of plasma electrons. Providing the converter with a spherical shape allows geometric focusing of the ions on the extraction aperture.

For production of H- ions the discharge is struck in hydrogen gas. Important development work in Novosibirsk, USSR, employed these principles, and as a result H­currents reaching the 0.3 A level could be extracted at current densities of 4 Ncm2 [Belchenko et al., 1974, 1975]. Note that without the use of Cs, the current densities in these experiments attained 1 AI cm 2. Further, because of the power densities involved these were pulsed discharges with ... 10 ms duration.

Continuous operation with this type of surface plasma source at significant current levels has been achieved at Lawrence Berkeley Lab, USA [Kwan et al., 1986]. Extracting a single sheet beam, the current amounted to ~ 1 A at 80 keY, 10 mA/cm2, and 5 eY beam ion temperature. A 15 x 35 cm2 converter was employed, and under dc source conditions the cesium consumption was as low as 0.1 gram per hour and per amp of extracted current. Due to power supply limitations the duration of the extraction was limited to 30 secs. For further information on LBL results see Leung [1989].

In Novosibirsk the studies on cesiated surface sources has continued into the present. The research concentrates on sources in which the cathode assumes in addition the function of converter. Using multi aperture extraction in combination with geometric focussing, the extracted H- current could be extended to 11 A at 180 mNcm2 in short pulse operation, and 1 A at 60 mNcm2 in long pulse operation [Belchenko and Kupriyanov, 1990].

189

Page 192: Plasma Technology: Fundamentals and Applications

________ ~Gm=N·~··1 I~CJ=_ ______ __

Figure 2. Schematic of a bucket-converter type source

Stability of cesium layer

It is well known that under high vacuum conditions, the system of half a mono-layer of cesium on top of a clean refractory metal surface is highly stable. It will last for hours and is spoiled only by the co-deposition of other gases from the environment.

In a source the surface is in contact with a plasma, and one must ask why it is possible to have some degree of cesium coverage. The enhancement of the extracted current with cesium admission proves that fractional coverage must occur. Obviously, even if discharge cleaning with respect to the cesium does not occur, the fractional cesium coverage must be determined by the interaction with the plasma. The answer has been provided by Van Amersfoort et al. [1985-1], who pointed to a self-stabilizing mechanism.

Sputtered cesium particles leave a surface as a neutral or as a positive ion. On very low work function surfaces, also negative cesium ions may be formed, but these are neglected. The fraction of positive ions increases with the substrate work function, see Fig. 3. When the surface is in contact with a plasma of positive space charge, the Cs+ ions with energy less than the space charge potential will be reflected back to the substrate and have a certain probability to stick to it. We further note for a cesium coverage less than half a mono-layer that the work function decreases with increasing coverage.

Once in a surface plasma source a stationary condition is reached, the negative converter is bombarded by Cs+ and H+ ions from the plasma. Due to sputtering, desorption, etc., a flux of Cs particles returns to the plasma, which equals the incident flux. Now suppose the cesium coverage of the converter is less than that corresponding to the stationary situation. Then the work function is higher, and also the fraction of Cs+ ions in the sputtered flux. Thus there are less Cs neutrals that escape and return to the plasma. The imbalance in the cesium fluxes is used to restore the Cs coverage and bring it back to the value required for the stationary situation. The reverse is true for a positive excursion of the coverage. A too large coverage brings along a too low work function, a too small fraction of Cs+, and now there is a net flux of Cs toward the plasma. Again the stationary coverage is restored. We remark that this repair mechanism acts as well in a true surface plasma source as in a volume source with an additional cesium feed.

190

Page 193: Plasma Technology: Fundamentals and Applications

This stabilization mechanism was incorporated in a model describing the interaction of a plasma with the converter [Van Amersfoort et al., 1985-2; Van Os et al., 1991-2]. The model indicates that with a converter potential of a few hundred Volt and substrate temperatures below "" 800 K, it is difficult to obtain coverages with a half mono layer. However coverages of 0.2 to 0.3 mono-layer are readily obtained, for which the work function is between 2 and 2.5 eV, sufficiently low to have a reasonable H- ion production rate, but comparable to that of barium.

We conclude with a few remarks. 1) - The model describing the cesium coverage of a converter neglected the possibility of surface migration of cesium from a supply at a cold spots as a source for increasing the coverage to optimum values. For cesium seeded volume discharges this is worth investigating. 2) - The co-adsorption of hydrogen having a deleterious influence on the H- yield [Van Amersfoort et al., 1986] does not change the conclusion regarding the cesium coverage, because it has little influence on the work function. 3) -Measurements of energy spectra proved that H- ions originate from sputtered hydrogen atoms that picked up an extra electron when receding from the surface. 4) - There is evidence that the cesium flux from the plasma to the converter not only determines the cesium coverage, but also the flux of hydrogen from the converter to the plasma by way of cesium impact desorption [see below and Van Os et al., 1987].

1.0 a a IJ. a

~ IJ. 6 r;-.-.-·--....

• 0.8 Cs· I Cs~ CsO

~ I

I ;

0.6 ? ~

"' ,I'll u F ./6

0.4 /a

" Il /. I

D.2 I

/. a I a I a (1=13= 75 • I ,

• C1=j3=45° 0 -A. • • I all

• 2 3 4 5

• leV! -Figure 3. The positive-ion fraction llCs in a beam of Cs particles scattered from a cesiated W surface as function of the work function <1>. The incident energy is 1 keY. The broken line is an extrapolation of the results to an angle of incidence IX = 00 [Yan Amersfoort et aI., 1985].

Comparison of the barium and the cesiated tungsten converter

In the previous section we have explained that under discharge conditions it is difficult if not impossible to maintain an optimum coverage of half a mono layer of cesium on a converter. As a result, the coverage is below optimum, and the work function is much above 1.45 eV. This recognition stimulated the search for other converter materials.

Comparative studies on a few materials [Van Os et aI., 1991-1] have indicated that Ba is the best alternative to Cs/W system. In-depth studies [Van Os et al., 1991-2] have revealed several physical parameters of the Ba or Cs/W converters that influence their operation, but have values that are orders of magnitude different in the two systems. A prime example is the diffusion velocity of hydrogen in Ba or W: 3 x 10-9 and"" 10-15 m2/s, respectively. The high diffusion velocity in Ba means that the sputtering of hydrogen is now in competition with diffusion of H into the bulk and with thermal desorption. This has several consequences: 1) -when drawing positive ion currents to a clean converter, with a current density j+ "" 100

191

Page 194: Plasma Technology: Fundamentals and Applications

mA/cm2, it takes about 10 min to reach the steady state hydrogen surface concentration in the Ba converter, and therefore in the extracted H- current, a phenomenon absent with CsIW [Heeren et al., 1991-1]; 2) - the higher j+, the higher the surface concentration ofH that can be

built up in the Ba, and the higher the H- current. On Ba converters, the conversion efficiency T\ is proportional to j+, where as it is constant in CsIW [Van Os et al., 1991-2].

The absence of hydrogen diffusion into the substrate would mean that the Cs/W converter has higher hydrogen surface concentrations and, therefore, higher H- yields. This is not the case. In different experiments T\ ranges between 4 and 5%, for CsIW where as values> 6% have been obtained for the Ba converter, without signs of saturation [Van Os et al., 1991-2]. Van Os has provided an explanation by making the bold assumption that for each sputtered H atom also a cesium atom must be removed. Then the hydrogen yield is limited by the small sputter coefficient for Cs. Cs sputtering by hydrogen is extremely inefficient The need for the simultaneous sputtering of Cs and adsorbed H arises from the tendency of the H atoms to take up positions between the Cs atoms and the substrate [Van Amersfoort et al., 1986]. Being in the shadow of the large Cs atoms, the hydrogen is shielded from a direct hit by the bombarding ions leading to a strong reduction in the hydrogen sputter coefficient.

Precisely the opposite situation is true for the Ba converter, with important implications for the converter life time. The increase of T\ with time during the formation of a clean Ba converter is accompanied by a decrease of the Ba light emitted by the plasma in front of the converter [Heeren et al., 1991-1]. This is interpreted as a decrease in the Ba sputtering when the hydrogen surface concentration in the Ba substrate increases. The effect is substantial. Working with a deuterium discharge for instance, the effective Ba sputter coefficient was found to decrease from ... 10-2 in the case of a clean Ba substrate to 10-3 for Ba loaded with deuterium. In principal this is what one would expect from preferential sputtering. However, the deuterium surface concentration increases with increasing D+ ion flux from the plasma.

Therefore, the sputter coefficient rD-Ba ' for the sputtering of Ba by deuterium, decreases with increasing D+ ion flux incident on the converter, or with increasing D- ion current produced on the converter. A very crude estimate of the erosion rate of a Ba converter drawing a current of 1 Ncm2 suggests'" 1 mono-layer per sec.

Isotope effects

For the fundamental process of H-/D- formation, there is no isotope effect. Because the formation probability depends on the substrate density of states and the normal velocity of the reflected particle, D and H have the same value of T\ at the same value of V.L.

However, in a real source other processes playa role, and an isotope effect does arise. We mention the following aspects. To draw the same space-charge limited current to a converter in an H or D discharge, one needs a "2 larger converter voltage with a D plasma, and further differences are introduced through the sputtering process. In the deuterium case, th~ energy of the incident particles is larger than the binding energy, which is equal for Hand D, and the mass ratio with respect to Ba is smaller.

Experimentally, Heeren et al. [1991-2] found an effective conversion efficiency for deuterium, which for the same converter voltage is about 50% larger than for hydrogen. With an 8 cm2 Ba converter area, a 250 V converter voltage, and single aperture extraction, the extracted currents and current densities are 120 rnA at 17 mA/cm2 and 80 rnA at 12 mA/cm2, respectively for Hand D discharges. Similar results were obtained at Lawrence Berkeley Lab., where with a 36 cm2 converter 145 rnA ofD- has been extracted from a single aperture [ITER IDS # 19, 1991].

Surface-plasma metal ion sources

The basic principle of the Middleton source is still in use to obtain metal ion beams, but with the important addition of separating the two functions of sputtering and work function modification. An example is the work by Tsuji et al. [1990]. The sputtering is done by an independent Xe+ beam. Several types of metal negative ion beams have been produced, with currents reaching 0.3 rnA in the case of Cu-. However, the need of an independent 20 keV

192

Page 195: Plasma Technology: Fundamentals and Applications

source of heavy (positive) ions, generally restricted to single aperture extraction, makes the system complex, and restricts the negative ion current to a level = 1 rnA.

A recent line of metal-sputter sources uses the bucket-converter type configuration, depicted in Fig. 2. The discharge is in a gas of heavy inert atoms like Ar or Xe to have good sputtering of the converter material. Like in the hydrogen plasma surface sources, cesium is added to facilitate negative ion formation. With a single converter of 50 mm diameter, metal ion current as high as 10 mA of Au- ions have been achieved [Alton et aI., 1990; Mori et al., 1989]. The source has been tested with many different converter materials, and with some 20 elements of the periodic table currents in excess of 1 rnA were obtained. In a few cases like C, As or AI, the beam is contaminated by dimers. The sputter mechanism requires converter voltages of about 1 kV, much higher than in H- sources. Operating pressure may be as low as 1 mTorr.

VOLUME SaJRCES

Volume sources for the production of negative hydrogen ions are the first candidate in Europe for fusion application. The European design of a neutral beam system for ITER is based on this type of source [ParaH et al., 1991; Holmes, 1992]. Much fundamental research necessary to bring this technique to maturity has been performed by the group of M. Bacal at Ecole Poly technique, Palaiseau, France, followed by J.R. Hiskes, Lawrence Livermore Nat. Lab., USA, A.J.T. Holmes's group at AEA Technology Culham Laboratory, UK, the FOM Institute of Atomic and Molecular Physics, Amsterdam, The Netherlands, and others. Many problems had to be solved. In a random order these are: physics understanding and description of the negative ion formation process [Capitelli et aI., 1991] including the resulting H- ion temperature [Bacal et al., 1991], optimization of the source to reach reasonable current densities, plasma homogeneity at the extraction plane [Lea et aI., 1990-2], prevent co­acceleration of electrons when extracting negative ions [Lea et aI., 1990-1], stripping of extracted negative ions in collisions with the gas exhausting from the source, and the isotope effect (or the differences between H- and D-). A possibly important new approach is the so-' called pulse modulation technique. This and other aspects will be reviewed below.

Magnetic fields. cusps and filters

In the early seventies, the usefulness was demonstrated of cusp magnetic fields generated with permanent magnets [Goede et al., 1971; Limpaecher and MacKenzie, 1973]. They are used to shield the plasma from the walls to reduce the losses of fast electrons. The fields are in a multi-cusp arrangement, created by having rows of permanent magnets on the outside wall with an alternating polarity. See Fig. 4. Measurements [Leung et al., 1976] proved that the main effect is the improved confinement of the fast primary electrons; they may bounce some 75 times with the magnetic wall before being lost to the material wall. The resulting long life time leads to a good ionization efficiency which permits operation at lower pressures. The cusp

Figure 4. Multipole permanent magnet line cusp confinement system. [Hemsworth and Holmes, 1991].

193

Page 196: Plasma Technology: Fundamentals and Applications

fields also lead to much improved plasma homogeneity and reduced noise levels. In positive ion sources, magnetic fields have been applied since around 1975.

Besides cusp fields, which are restricted to the wall area and extend only some 4 cm into the source, use is made also of magnetic fields set up across the source, so-called filters [Ehlers and Leung, 1982]. With filters, the source volume is divided into two parts, and the filaments are positioned on one side of it. The filter field strength is chosen sufficiently low that ions easily pass through, but fast (primary) electrons are reflected. Slow plasma electrons are highly collisional and leak through the filter. So, even though the hot cathode discharge is confined to one side of the filter, the driver region, the other source part, the extractor region, is filled with a plasma, but one with a highly reduced electron temperature. In positive ion sources, in the absence of fast electrons in the extractor the production of H2+ through direct ionization of H2 molecules is stopped. However, the dissociation by the plasma electrons continues. As a result, the H+ content of the extracted beam has increased.

In the mid eighties it was realized that magnetic filters similar to those applied in positive ion sources [Holmes et al., 1987; Leung et al., 1983] would be beneficial for improving n(H-). Fast electrons are required to produce vibration ally excited molecules, but on the other hand they destroy the negative ions by collisional detachment. In the driver region, the fast electrons produce the plasma and the vibrational excitation. The cold electron plasma in the extractor is optimized for the dissociative attachment process. Of course, the density of excited molecules is not influenced by the filter. The negative ion beam is extracted from the extractor region.

Generally, filters are obtained by placing permanent magnets on the outer wall of the source, or inverting the polarity of some of the cusp field magnets. This way many different filter geometries can be generated and tested for optimization of the negative ion current [Hanada et aI., 1990; Hemsworth and Holmes, 1991]. The filter must have a sufficient strength to prevent fast electrons from leaking into the extractor; it is around 500 Gcm (or 5 x

10-4 Tesla x meter) [Inoue et al., 1990; Hanada et aI., 1990]. The filter geometry strongly influences the plasma homogeneity in front of the plasma

electrode. Therefore, the final arrangement of the permanent magnets must not only create an effective filter, i.e. a barrier for fast electrons, but also provide a homogeneous plasma. Good plasma uniformity is obtained with the "tent filter" [Lea et al., 1990-2], created by having a ring of magnets of one polarity all around the source at the plasma electrode, and magnets of the reverse polarity in the middle of the back plate of the source. Another approach, also resulting in uniform extracted currents, is to pass a current through the plasma electrode: the PG filter [Hanada et aI., 1990].

Electron sU1}1}ression

A problem specific to the volume source is the simultaneous extraction of electrons and negative ions. Because both have similar temperatures, they can not be separated by the use of electric fields like in the surface plasma sources, and one has to resort to magnetic fields. Without precautions, the extracted electron current would be larger by the square root of the mass ratio. Therefore, much ingenuity is invested to find ways to reduce the electron extraction.

Several ideas have been investigated. It appeared that electric fields are beneficial. A small positive bias of the plasma electrode showed a strong reduction in the extracted electron current and weakly affected the H- current. In experiments, the bias eliminates the sheath in front of the plasma electrode, and there is no more a potential barrier obstructing the extraction of H-. Likewise, the electron confinement has disappeared, leading to the reduced electron current. Applying the bias, the electron life time is reduced to a value comparable to that of the negative ion.

Local magnetic fields in the extraction opening are a further means 'of improving L/le [Leung et aI., 1983]. These fields act in the same way as the filter: their strength must be such that ions pass unimpeded, but electrons only via collisions. Experiments with small coils generating a variable magnetic field have proved that the electrons reach the extraction aperture by classical collisional diffusion, and confIrmed a simple theory to describe the extraction [Lea et al., 1990-1; McAdams et aI., 1990]. The data supplied suggest fields ~ 500 Gcm, similar to the main filter. In addition to the coil, a local electron collector was used in these experiments. The experimental evidence for classical diffusion is in contrast with the work of Pamela [1991], who had to assume Bohm diffusion in order to obtain agreement between his code

194

Page 197: Plasma Technology: Fundamentals and Applications

predictions for the extracted current and beam divergence with data from several experiments. There is experimental evidence that localized magnetic fields in the extraction aperture enhance the negative ion yield [Bruneteau et al., 1990-1].

Strivvin~

About 1987 it was realized why the current density of H- beams extracted in different experiments showed an inverse scaling with current or aperture radius. With the size of the extraction area increases the amount of gas exhausted from the source. The separation of the first two electrodes between which the extraction potential is applied, is short. Therefore, the pumping resistance is high, resulting in a high gas pressure in the extraction gap, and as a consequence an important ion loss due to collisional detachment of the H- ions. Source performance is deduced from the measured accelerated currents by unfolding these with a calculated gas pressure profile [McAdams et aI., 1988]. The problem is typical for pure H-/D­volume sources, which operate at high gas pressure. The pressure for maximum D- current is typically 10 mTorr [Holmes, 1992; Hanada et aI., 1990]. In high current sources, the electrode areas are large and the problem is aggravated. A solution is the construction of slender sources, narrow in one direction and long in the other, allowing lateral pumping. For instance, the EC design of the NET/ITER source [Holmes et aI., 1990] has an aperture array of 6 by 20 holes, which can be extended in the long direction without further deterioration of the current. Nevertheless, numerical estimates predict a loss of D- ions in excess of'" 40% due to stripping on the gas [R.S. Hemsworth, CEN Cadarache, France, private communication], even though a gas pressure of 5 mTorr was chosen, which is lower than the optimum, to alleviate the stripping problem.

The stripping reduces the source efficiency, but it also causes heat dissipation problems on the electrodes that capture the electrons. For both reasons the stripping needs to be reduced. It appeared that the injection of cesium into a volume source increased the extracted current, and also the optimum pressure was reduced to about 5 mTorr [Okumura et al., 1990; Takeiri et aI., 1991]. However, demanding the same extracted current as without Cs addition, the operating pressure is reduced to about 3 mTorr. Below this value, pressures would become technologically acceptable. From the data presented by the JAERI group [Okumura et al., 1991] one infers a cesium consumption in these experiments of'" 20 mg/hA, which is smaller than the consumption quoted by Kwan et aI. [1986].

Temperatures

Besides detailed measurements on the electron energy distribution function and the temperature of atoms in the discharge [Bruneteau et aI., 1990-2], recent work has paid attention to measuring and understanding the B- temperature in a volume source. At Ecole Poly technique, Palaiseau, a special technique was developed to measure H- densities and diffusion velocities by detaching all negative ions in a small volume with two successive laser pulses and using a classical Langmuir probe to measure the resulting excess of electrons [Devynck et aI., 1989]. This elegant technique yielded data from which H- ion temperatures were deduced. It was found that they can be as low as 0.1 eV [Bacal et aI., 1991]. The temperatures were seen to increase with discharge power, but to decrease with gas pressure. At high discharge power, temperatures are appreciably larger than the values expected on basis of the molecular dissociative dissociation process which is direct proof of the discharge heating of the H- ions. This statement is supported by the observed proportionality of electron and H­temperatures. The low temperatures obtained at Ecole Poly technique are in agreement with indirect data by Eenshuistra et aI. [1990], who found negative ion drift velocities as low as 5000 m/s for the transport out of the discharge to the extraction plane.

Isotope effects

Early experiments at Culham Laboratory [Holmes et aI., 1987] did show a strong isotope effects leading to lower negative ion currents and higher extracted electron currents in deuterium than in hydrogen. With a practical electron suppression system, as described above, experimental values for the ratio of the electron and negative ion current as low as 0.5 in hydrogen and 2 in deuterium have been obtained. At the same time, the D- current density is found to be about half that of H- [Hemsworth and Holmes, 1991]. Only in 1990, these results

195

Page 198: Plasma Technology: Fundamentals and Applications

were confinned by other groups. The experiment of Graham and Mullan [1990] indicates a factor two larger current for H- under identical discharge conditions. Inoue et al. [1990] compares the extraction from individually optimized H- and D- discharges in a volume source. The ratio of the current densities in both discharges is J(R)/J(D-) = 1.24. The electron to ion current ratios are 13 versus 38 for H- and D- ,respectively.

So far, the most consistent comparison has been made by Graham and Mullan [1990, 1991]. In low current discharges (Id < 20 A), they find identical electron energy distribution functions in the driver, but a higher electron density for deuterium. This is because the positive ion loss rate is expected to be through diffusion to walls, and should be faster for H2 than D2. So in D2, ne and n+ are both larger. Further, at constant Id, also Te and ne in the extractor are larger for D2. As a consequence the plasma potential Vp "" 4 Te is larger in D2, a result also obtained by Inoue et al. [1990]. Further, because of the higher Te, the negative deuterium ion density n(D-) is smaller than n(H-). The smaller n(D-) and higher ne in the driver lead to the problems mentioned above. The question that arises from these observations is why the electrons in hydrogen cool down stronger while diffusing through the filter, than in deuterium. Part of the answer may have been provided by Leroy et al. [1991], who proved a stronger coupling between electrons and the lighter isotope. For various pressures, the temperatures of the negative ions are roughly proportional to Te, but with a larger slope for H-. It seems that the key to understanding the physics of the isotope effect is a good description of the filter.

A major task will be to incorporate data on deuterium in the modelling of hydrogen negative ion sources, because it means the replacement of all the cross sections and their energy dependences. In addition, for many processes the cross sections or reaction rates have not been detennined experimentally or calculated, and one has to find or to fonnulate the appropriate expressions. The groups of M. Capitelli, Bari University, Italy, and M. Bacal, Ecole Poly technique, Palaiseau, France, have embarked on this task (see these proceedings).

Discharge pulsing

An encouraging new development is discharge pulsing. Basically, the idea is to exploit the time dependence of species densities in a discharge as observed in the simulations by Gorse et aI. [1985]. There it was shown that on switching on the discharge both the negative ion, n(H-), and the atom density, n(H), rise with time. However, around a 100 Jls the negative ion density reaches a maximum, decreases and saturates. This behaviour is attributed to (associative) detachment in collisions with atoms, of which the density continues to rise. If in a repetitive discharge the current would be switched off at the time of maximum n(H-) for a duration sufficiently long to affect the atom density, one would obtain a higher mean value of n(H-). It must be noted that this is correct as long as the atom life time, which is determined by wall recombination, is shorter than that of the negative ion or of the vibrationally excited molecule. A second aspect of discharge modulation is related to the primary energetic electrons. As soon as the discharge is switched off their production stops and their density drops rapidly. Then also fast electron detachment, one of the main n(H-) destruction processes, is halted. Because of the similarity with the magnetic filter, in which there is a spatial separation of fast and slow electrons, Hopkins and Mellon [1991] called the procedure of separating in time the required hot and cold electron distributions a temporal filter. The temporal filter, however, has the potential to be more effective than the spatial, because it affects two H- destruction processes.

The positive effects have been demonstrated in at least three different experiments. The first verification was done et Ecole Poly technique, Palaiseau [Hopkins et aI., 1991]. In a low current discharge (1 A), it was shown that the fast electrons disappear rapidly in the after glow, while at the same time the n(H-) shows a small increase. This increase was more prominent at low pressures of 0.5 mTorr than at 5 mTorr. Also, at the low pressure the mean H- current extracted from a pulsed discharge is larger than that from a dc discharge for the same time averaged discharge current, pointing to the possibility to improve the discharge efficiency. Finally, the ratio of electrons to H- ions is essentially unchanged when applying modulation.

196

Page 199: Plasma Technology: Fundamentals and Applications

The second experiment at Dublin University [Hopkins and Mellon. 1991] gave a clearer demonstration of the temporal filter. For a discharge current of 15 A (during the on-time) and gas pressure of 2.4 mTorr. both the modulation frequency and the duty cycle were optimized. The result is a fourfold increase in the mean extracted D- current density by discharge-current modulation at 10 kHz and a 24% duty cycle. Note that the modulation of the D- ion beam current is small. only 5%. Contrary to the previous experiment. the increase in negative ion current leads to a ratio of extracted electron to D- ion current that is significantly reduced due to modulation.

The explanation of these very interesting results is cooling of the plasma electron temperature during the discharge-off period [Hopkins and Graham. 1991]. in addition to the reduction of the mean atom and primary electron densities mentioned above. As the cross section for dissociative attachment is higher for lower energy electrons. the D- production increases. This is correct as long as the discharge-off period is smaller than the characteristic decay time of the density of vibration ally excited molecules. so that the latter remains constant despite the discharge modulation.

The third experiment [Heeren et al .• 1991-3] at the FOM-Institute. Amsterdam. aimed at extending the ob.servations to higher arc power dens~ty .and current (40 AI- In this experiment the power denSIty reaches'" 1 W/cm3• a value SImIlar to that used In large D- sources [Hemsworth and Holmes. 1991; Inoue et aI.. 1990]. and an order of magnitude above that of the Dublin experiment. The results are less encouraging. At 5 mTorr. see Fig. 5. the time averaged extracted H- ion current as function of the time averaged discharge current is equal to that of the dc discharge. At 2.5 mTorr there is an enhancement of 35 %. At high pressure.

<' 0.25 g E • ~ o. • ::s 0.2 ~o~ u c::

.!2 ~ I¥:;. ., "i 0.15 .0 1:;'"

0", I:; & & && ., • c:: &

~ 0.1 ~ & -@ & &

g 1& >< ., 0.05 -

~ b e '" ;>

0 -< 0 5 10 15 20 25 30 35 40

(average) Arc current (A)

Figure 5. A 15 kHz discharge modulation experiment [Heeren et a!.. 1991]. Open symbols: with modulation; closed symbols: without. Circles: 5 mTorr gas pressure; triangles: 2.5 mTorr.

presumably. the reduction in losses due to discharge modulation increases the H- current sufficiently to compensate for the effect of modulation. Only at low pressure. for which the electron temperature is higher during the discharge than at high pressure. electron cooling takes place. leading to enhanced extraction of H- ions in the modulated discharge compared to a DC discharge. It seems that plasma electron cooling by discharge modulation allows a reductio in the working pressure whilst maintaining approximately constant extracted H- current.

At the maximum possible modulation frequency ('" 20 kHz) of the FOM experiment. it was found in that contrary to the Dublin experiment the extracted H- current was strongly modulated. indicating that the condition of nearly constant density of vibration ally excited

197

Page 200: Plasma Technology: Fundamentals and Applications

molecules was violated. This points to the need of applying much higher modulation frequencies in high power density discharges in order to profit from the initial rise in H­density in the post discharge. Moreover, the experiments need to be extended in source size by an order of magnitude before definitive conclusions on the applicability of discharge modulation are possible. An increase of source size leads to a relative reduction of the surface area, and therefore to a reduced loss rate of vibrationally excited molecules and H atoms. Results so far tend to indicate that modulated sources operate at lower gas pressures. Technically, this would mean a significant improvement, because the gas load from large sources has prevented study groups [see Parail et aI., 1991] from considering volume sources for fusion applications because of the severe stripping losses.

Availability and radio frequency plasma generation

The present generation of large neutral beam injection systems [Hems worth, 1990] delivers more than 20 MW of neutrals to the plasma in tokamak devices like JET, JT-60, TFTR, and DIII-D, The main purpose is plasma heating, and to some degree the beams are used for driving a part of the plasma current necessary for plasma confinement. All these systems are in routine operation with high reliability and availability. For example, the JET system delivers the requested power for> 80% of the shots.

However, this availability will not be sufficient in a future fusion experiment like NET or ITER, where the beams will have to drive the current in the plasma center for periods possibly in excess of an hour. Deleterious plasma instabilities develop as soon as the current decreases, and a 100% system availability becomes an essential requirement. A major part of the NBI development effort will have to be directed at this aspect. In addition these systems will be modular, and each module will be designed with excess power [Parail et aI., 1991]. In case one module drops out, the other modules can be boosted to guarantee a constant system power output.

As explained above there will come extreme demands on availability of negative ion sources in fusion, and source maintenance has to be reduced to the ultimate minimum. However, the hot cathodes usually used in negative ion sources have a finite life time of order of 200 hours, but it is no longer easy to exchange these filaments. In the radioactive environment around the fusion machine, the replacement of filaments would become a major operation by means of time consuming remote handling techniques. The plan is to replace the hot cathode arc discharge by an RF discharge. In space propulsion very good experience with this type of approach has been gained [Freisinger et aI., 1987], as well as with smaller sources in fusion research [Divergilio et at, 1986]. Stimulated by the positive results on pulse modulation, at several places in Europe one is going to investigate the RF technique for H­production [private communication of J. Freisinger, Justus Liebig Universitiit Giessen, FRO; A.J.T. Holmes, AEA Technology Culham Lab, UK; M.B. Hopkins, Dublin City University, Ireland].

The question arises whether an RF driven source operating without filaments does yield negative ions. Preliminary experiments at Berkeley [Leung et aI., 1991] have provided a positive answer. While feeding 20 kW of 2 MHz power into a small bucket ion source, ". 6 rnA, 1 ms H- pulses were extracted with a current density up to 180 mA/cm2. It is even stated that at constant power input, the current extracted from an RF discharge exceeds that of an arc discharge by ". 40%. Further, the fraction of negative ions in the extracted current is the same for both types of discharge: the ratio e/H- increases from 40 to 60, when the power increases from 2 to 25 kW.

The discharge modulation technique discussed in the previous section makes clear that there are additional reasons to investigate the application of RF plasma generation. Moreover, the frequency of the applied RF must have a major influence on the source performance. Therefore, further experiments are needed to optimize the frequency of the applied RF, in view of reducing the working pressure of the source and increasing the extracted D- current.

CONCLUSIONS

In describing results about the two lines of negative hydrogen sources with which the authors are familiar, the volume source and surface plasma source, no alternatives have been

198

Page 201: Plasma Technology: Fundamentals and Applications

mentioned. The most important one is the "Elizarov" source with a cesiated hollow cathode discharge [ITER, 1991; ParaH et al., 1991], which has a demonstrated capability of 3 A (H-) at 100 mA/cm2 for periods of minutes or 1 A for hours. The source forms the basis for the USSR design for the ITER NBI.

In the past few years the progress in understanding H-ID- beam generation has been impressive, and the same is true for the value of the extracted current. However, we are not yet where we need to be. Research needs to be directed much more to the conditions prevailing in large multi-ampere sources. The role of surfaces is a mystery and should be clarified. Work on simulation of deuterium plasma is just starting, whereas the strong isotope effect in volume sources is a big unknown. The work on source improvement by modulation of the discharge is very interesting, but what is ultimately needed is an RF driven source. The designs of NBI's shows that we can cope with effective current densities of;; 10 mA/cm2, but we urgently need sources that give such results at gas pressures of;; 1 mToIT. Cesium may possibly be a solution, but the really long term effects of Cs are unknown. We still have lots of work ahead.

Acknowled~ements. The authors thank Dr Ron Hemsworth (DRFC, CEN de Cadarache, France) for his careful reading of the manuscript. They thank Drs. Wim Van Amersfoort, Ron Van Os, Andrew Holmes for permission to use there results. This work was performed as a part of an association agreement between the Stichting FOM and Euratom, and was made possible through financial support of NWO and Euratom.

REFERENCES

"Production and Neutralization of Negative Ions and Beams", 5th Int. Symp., Brookhaven, NY, 1990, A. Hershcovitch, Ed., AlP Conf. Proc. No. 210.

"Production and Application of Light Negative Ions", Proc. 4th European Workshop 26th - 28th March 1991, W.G. Graham Ed., The Queen's University of Belfast, Northern Ireland.

Alton, G.D., Morl,Y., Takagi, A., Ueno, A., and Fukllmoto, S., Rev. Sci. Instrum. 61 (1990) 372. Bacal, M., and Hamilton, G.W., Phys. Rev. Letters 42 (1979) 1538. Bacal, M., Bruneteau, A.M., Graham, W.G., Hamilton, G.W., and Nachman, M., J. Appl. Phys. 52 (1981)

1247. Bacal, M., Physica Scripta T2/2 (1982) 467. Bacal, M., Skinner, D.A., Comments At. Mol. Phys. 23 (1990) 283. Bacal, M., Berlemont, P., Bruneteau, A.M., Leroy, R., and Stem, R.A., J. Appl. Phys. 70 (1991) 1212. Belchenko, Yu.I., Dimov, G.I., and Dudnikov, V.G., Nuclear Fusion 14 (1974) 113; Sov. Phys. Techn. Phys.

20 (1975) 40. Belchenko, Yu.l., and Kupriyanov, A.S., Rev. Sci. Instrum. 61 (1990) 484. Bruneteau, A.M., Leroy, R., Bacal, M., and Whealton, J.H., ref. 1 (1990), p. 266. Bruneteau, A.M., Hollos, G., and Bacal, M., J.AppI.Phys. 67 (1990) 7254. Capitelli, M., Gorse, C., Berlemont, P., Skinner, D.A., and Bacal, M., Chern. Phys. Letters 179 (1991) 48. Delaunay, M., Geller, R., Jacquot, C., Ludwig, P., Sermet, P., Rocca, J.C., Zadworny, F., Bergstr1>m, J.B.,

Hellblom, G., Pauli, R., Wilhelmsson, H., in "Production and Neutralization of Negative Ions and Beams", 3rd Int. Symp., Brookhaven, NY, 1984, K. Prelec, Ed., AlP Conf. Proc. No. 111.

Devynck, P., Auvray, J., Bacal, M., Berlemont, P., Bruneteau, J., Leroy, R., and Stern, R.A., Rev.Sci.Instrum. 60 (1989) 2873.

Divergilio, W.F., Goede, H., and Fosnight, V.V., Rev. Sci. Instrum. 57 (1986) 1254, 1261. Eenshuistra, P.I., Heeren, R.M.A., Kleyn, A.W., and Hopman, H.I., Phys. Rev. A40 (1989) 3613. Eenshuistra, P.I., Gochitashvili, M.R., Becker, R., Kleyn, A.W., and Hopman, H.J., J.AppI.Phys. 67 (1990)

85. Ehlers, K.W., and Leung, K.N., Rev. Sci. Instrum. 52 (1982) 1452. Freisinger, J., Wb, H., and Scharmann, A., Kerntechnik 51 (1987) 125. Geerlings, IJ.C., Van Amersfoon, P.W., Kwakman, L.F.Tz., Granneman, E.H.A., Los, J., and Gauyacq, J.P.,

Surf. Sci. 157 (1985) 151. Goede, A.P.H., Brakenhoff, GJ., Hopman, H.I.and Massmann, P., Phys. Rev. Letters 27 (1971) 1044. Gorse, C., Capitelli, M., Bretagne, J., and Bacal, M., Chern. Phys. 93 (1985) 1. Gorse, C., Capitelli, M., Bacal, M., Bretagne, J., and Lagana, L., Chern. Phys. 117 (1987) 177. Graham, W.G., and Mullan, A.A., ref. 1 (1990), p. 214. Graham, W.G., and Mullan, A.A., ref. 2 (1991), p. 19.

199

Page 202: Plasma Technology: Fundamentals and Applications

Hanada, M., Inoue, T., Kojima, H., Matsuda, M., Ohara, Y., Okumura, Y., Watanabe, K., and Seki, M., Rev. Sci. Instrum. 61 (1990) 499.

Heeren, R.M.A, Ciric, D., Hopman, H.J., and Kleyn, A.W., Appl. Phys. Letters 59 (1991) 158. Heeren, R.M.A, Ciric, D., Meyler, D., Hopman, HJ., and Kleyn, ref. 2 (1991), p. 67. Heeren, R.M.A, Mellon, K.N., Hopkins, M.B., Ciric, D., and Kleyn, A.W., accepted for publication in

Europhys. Letters. Hemsworth, R.S., Nuclear Fusion 31 (1991) 199. Hemsworth, R.S., and Holmes, A.I.T., accepted for publication in Nuclear Energy 30 (1991). Hiskes, I.R., Karo, A.M., Bacal, M., Bruneteau, A.M., and Graham, W.G., I. Appl. Phys. 53 (1982) 3469. Hiskes, I.R., Comments At. Mol. Phys. 19 (1987) 59. Hiskes, I.R., and Karo, A.M., I. Appl. Phys. 67 (1990) 6621; also in "Nonequilibrium Processes in Partially

Ionized Gases", M. Capitelli and I.N. Bardsley Eds., Plenum Press, New York, 1990, p. 517, 525. Holmes, AJ.T., Lea, L.M., Newman, A.F., and Nightingale, M.P.S., Rev. Sci. Instrum. 58 (1987) 223. Holmes et al. in Report lTER-IL-HD-4-0-33 (November 1990), containing the proposals for neutral beam

systems designed by the EC, lapan, the USSR, and the USA, respectively. Holmes, AJ.T., accepted for publication in Plasma Physics and Control1ed Fusion (1992). Hopkins, M.B., and Graham, W.G., I. Appl. Phys. 69 (1991) 3461. Hopkins, M.B., Bacal, M., and Graham, W.G., I.AppI.Phys. 70 (1991) 2009. Hopkins, M.B., and Mellon, K.N., Phys. Rev. Letters 67 (1991) 449. Hopman, HJ., in "Nonequilibrium Processes in Partially Ionized Gases", M. Capitelli and I.N. Bardsley Eds.,

Plenum Press, New Tork, 1990, p. 227, 241. Inoue, T., Ackerman, G.D., Cooper, W.S., Hanada, M., Kwan, I.W., Ohara, Y., Okumura, Y., and Seki, M.,

Rev. Sci. Instrum. 61 (1990) 496. ITER Documentation Series # 19 "Physics and Technology R&D for the ITER Conceptual Design", I. Doggett

Ed., lAEA, Vienna, 1991. Karo, A.M., Hiskes, I.R., and Hardy, RJ., I. Vac. Sci. Technol. A3 (1985) 1222. Kleyn, A.W., ref. 1 (1990), p. 3. Klimenko, E.V., and Mevedev, V.K., Sov. Phys. Solid State 10 (1969) 1562; 12 (1970) 301. Kwan, I.W., Ackerman, G.D., Anderson, O.A., Chan, C.F., Cooper, W.S., deVries, GJ., Lietzke, A.F.,

Soroka, L., and Steele, W.F., I. Appl. Phys. 57 (1986) 831. Lea, L.M., Holmes, AJ.T., Thornton, M.F., and Naylor, G.O.R., Rev. Sci. Instrum. 61 (1990) 409. Lea, L.M., Holmes, A.I.T., and Thornton, M.F., ref. 1 (1990), p. 233. Leroy, R., Berlemont, P., Courteille, C., and Bacal, M., ref. 2 (1991), p. 7. Leung, K.N., Hershkowitz, N., and MacKenzie, K.R., Phys. Fluids 19 (1976) 1045. Leung, K.N., and Ehlers, K.W., Rev. Sci. Instrum. 53 (1982) 803. Leung, K.N., Ehlers, K.W., and Bacal, M., Rev. Sci. Instrum. 54 (1983) 56. Leung, K.N., in "The Physics and Technology of Ion Sources", I.G. Brown Ed., Iohn Wiley & Sons, New

York (1989), p. 355-369. Leung, K.N., Hauck, C.A., Kunkel, W.B., and Walther S.R., Rev. Sci. Instrum. 61 (1990) 1110. Leung, K.N., DeVries, GJ., DiVergilio, W.F., Hamm, R.W., Hauck, C.A., Kunkel, W.B., McDonald, D.S.,

and Williams, M.D., Rev. Sci. Instrum. 62 (1991) 100. Limpaecher, R., and MacKenzie, K.R., Rev. Sci. Instrum. 44 (1973) 726. Los, I., Overbosch, E.A., and Van Wunnik, I., in "Production and Neutralization of Negative Ions and Beams",

2nd Int Symp., Brookhaven Natl Lab., NY, 1980, Th. Sluyters, Ed., BNL Report 51304, p. 23. McAdams, R., Holmes, A.I.T., Newman, A.F., and King, R.F., in "Production and Application of Light

Negative Ions", Proc. 3rd European Workshop 17 - 19 Febr. 1988, HJ. Hopman and P.W. Van Amersfoort Eds., FOM -Institute for Atomic and Molecular Physics, Amsterdam, The Netherlands, p.15.

McAdams, R., King, R.F., and Newman, A.F., ref. 1 (1990), p. 255. Middleton, R., and Adams, C.T., Nucl. Instrum. Methods 118 (1974) 329. Mori,Y., Takari, A., Ueno, A., Ikegami, K., Rokugawa, A., and Fukumoto, S., Proc. Ion Sources and Ion

Assisted Technology, Tokyo (1989). Okumura, Y., Hanada, M., Inoue, T., Kojima, H., Matsuda, M., Ohara, Y., Oohara, Y., Seki, M., Suzukki,

Y., and Watanabe, K., 16-th SOFT, London, UK, 3-7 September 1990. Okumura, Y., Hanada, M., Inoue, T., Mizuno, M., Ohara, Y., Suzukki, Y., Tanaka, H., Tanaka, M., and

Watanabe, K., 4-th Int. Conf. Ion Sources, Bensheim, FRG, 1-4 October 1991. Pamela, I., ref. 2 (1991), p. 103. Papageorgopoulos, C.A., and Chen, I.M., Surf. Sci. 39 (1973) 283.

200

Page 203: Plasma Technology: Fundamentals and Applications

ParaH, V., Fujisawa, N., Hopman, H., Kimura, H., Lindquist, W., Nevins, W., Rebuffi, L., Sironi, M., Swain, D., and Wegrowe, J.-G., "ITER current drive and heating system", ITER Documentation Series, No. 32, lAEA, Vienna, 1991; More details on injectors is found in "Neutral Beam Conceptual Design Proposals for ITER from Euratom, Japan, Soviet Union, United States" Report lTER-IL-4-0-33, November 1990.

Stutzin, G.C., Young, A.T., Kunkel, W.B., and Leung, K.N., ref. 1 (1990), p. 451. Tsuji, H., Ishikawa, J., Maekawa, T., and Takagi, T., Rev. Sci. Instrum. 61 (1990) 427. Takeiri, T., Ando, A., Kaneko, 0., Oka, Y., Akiyama, R., Kawamoto, T., Karita, A., Mineo, K., and Kuroda,

T., ref. 2 (1991), p. 78. Van Amersfoort, P.W., Geerlings, ]J.C., Kwakman, L.F.Tz., Granneman, E.H.A., and Los, J., J. Appl. Phys.

58 (1985) 2312. Van Amersfoort, P.W., Ying Chun Tong, and Granneman, E.H.A., J. Appl. Phys. 58 (1985) 2317. Van Amersfoort, P.W., Geerlings, ]J.C., Rodink, R., Granneman, E.H.A., and Los, J., J. Appl. Phys. 59

(1986) 241. Van Os, C.F.A., Granneman, E.H.A., and Van Amersfoort, P.W., J. Appl. Phys. 61 (1987) 5000. Van Os, C.F.A., Van Amersfoort, P.W., and Los, J., J. Appl. Phys. 64 (1988) 3863. Van Os, C.F.A., Van Pinxteren, H.M., and Los, J., in "Production and Application of Light Negative Ions",

Proc. 3rd European Workshop 17 - 19 Febr. 1988, H.J. Hopman and P.W. Van Amersfoort Eds., FOM -Institute for Atomic and Molecular Physics, Amsterdam, The Netherlands, p. 166.

Van Os, C.F.A., Leung, K.N., and Kunkel, W.B., J. Appl. Phys. 69 (1991) 3485. Van Os, C.F.A., Kunkel, W.B., Leguijt, C., and Los, J., J. Appl. Phys. 70 (1991) 2575. Wadehra, J.M., and Barsley, J.N., Phys. Rev. A 20 (1979) 1398. Young, A.T., Stutzin, G.C., Leung, K.N., and Kunkel, W.B., ref. 1 (1990), p. 450.

201

Page 204: Plasma Technology: Fundamentals and Applications

QUASI-STATIONARY OPTICAL DISCHARGES

ON SOLID TARGETS

V.B.Fedorov

General Physics Institute USSR Academy of Sciences Vavilov st., 38, Moscow, 117942, USSR

The experimental results of millisecond optical discharges on solid target under Nd glass laser radiation are discussed.

It is known that laser beam focusing on solid target sur­face results in creation of hot plasma moving away from the target. Absorbed laser beam energy creates the heating and vaporization of solid target surface, ionizes the vapour and heats plasma. Plasma in the laser radiation field used to be called the optical discharge by analogy with microwave or electrical discharges known long before the laser plasma stu­dies.

Here we will discuss optical discharges at moderate range of laser radiation intensity I (w/cm2) only. This intensity range is the lowest one for plasma initiation and the support under laser beam. There is no difference between electron and ion temperature (T = T = T). Plasma is in equilibrium and the ionization is etherfual.

Another feature of the discharges studied here consists in their quasi-stationarity. The laser pulse duration (t - 1 mc) is much longer than plasma movement transient time. So, the spatial distributions of density and temperature are close to quasi-stationary, and laser radiation in the time scale of the observed processes can be treated as quasi-continuous.

GENERAL VIEW ON OPTICAL DISCHARGE

Time integrated picture of discharge emitted radiation is shown in Fig.1. Massive metal target is placed in the vacuum chamber (Fig.1b), which capacity exceeds many times the dis­charge volume. Gas pressure in the chamber can be made both lower or higher, than P = 1 atm. Nd glass laser beam (A = 1.064 ~) falls normally to the target. Laser radiation inten­sity is about I ~ 107 w/cm2• Under these conditions the bright plasma plume radiation is observed. The target is destroyed under the laser beam action and a crater in the target arises.

Plasma Technology, Edited by M. Capitelli and C. Gorse Plenum Press, New York, 1992 203

Page 205: Plasma Technology: Fundamentals and Applications

The experiments presented in Fig.1 have some important methodical features. The use of high energy (~ to 10 kJ) laser allows the power density of I ~ 107 W/cm in large focal spot d ~ 1 cm to be obtained. Due to the application of long­focus lens the beam is almost parallel at the length of about 1 = 10 cm from the target (Fig.1b). These experimental condi­tions allow the possibility to obtain large scale discharges, which are easy to investigate. At the large value of d the low depth crater condition d > h is realized because the depth crater value is about h = 1 rom. It decreases the side effects contribution to the target destroying process and makes it nearly one dimensional and therefore easily treated.

Let us discuss the general structure of optical discharge shown in Fig.1. Plasma movement in the case of Fig.1a is simi­lar to hot gas flowing from a missile jet. One can see the spherical shock wave standing relatively to the target. On the left side of it, near the surface at x ~ d there is plasma bright radiation. There is dark space between near target plasma and the shock wave. Here due to plasma expansion its temperature and pressure decrease, the velocity increases; supersonic flow, which transforms to subsonic flow behind the shock wave front, is formed. On the right side of the shock wave one can see the plasma luminosity in the laser beam path. It is subsonic plasma support by laser radiation. In this region plasma pressure is equal to gas pressure in the cham­ber. The distance from the shock wave to the target depends on density and temperature of near target plasma, which, in its turn, depends on absorbed laser radiation power. We observe, that under conditions in Fig.1a, the shock wave size is appro­ximately constant for the main part of laser pulse. It means that the laser power absorption near the target is also appro­ximately constant. So, the screening effect for target due to laser radiation absorption of subsonic plasma is negligible. Thus, the supporting of plasma discharge by laser beam is stable.

Now, let us discuss Fig.1c. If we increase gas pressure in the chamber, for example, 10 times in comparison with the case in Fig.1a, plasma optical thickness at laser wavelength will be so large, that almost full screening of target by subsonic plasma takes place. One can see that there is no luminosity at the focal spot, no shock wave, plasma is isolated from the target. The target cools and its evaporation sharply decreas­es. It means that plasma created at the beginning of the pulse effectively screens the target during the main part of pulse. One can say about the instability of discharge in laser beam or about another discharge regime - self screening discharge.

It is interesting to mention, that the target 'knows' about relatively low gas pressure (P ~ 1 + 10 atm) in the chamber, although the vapour pressure near the target at the beginning of pulse (P ~ 100 atm) is much higher than in the chamber. Screening effect leads to rapid cooling of target and the decrease the pressure up to gas pressure in the chamber. Therefore, it is possible to control the screen effect by changing the chamber pressure.

204

Page 206: Plasma Technology: Fundamentals and Applications

x-d .. I I ...

r --f-r- h - 1 mm

P(He) - Ol+1O atm

l . , , ' .

l dz1cm I ,: ® ' '

1 ,.',

L=10cm -

b ~

c~ ________________________________ ~

Fig.1. optical discharges under Nd-glass laser beam (area of discharge plasma luminosity is shown by solid lines).

(a) laser radiation intensity I = 5.5 MW/cm2 , pulse duration t ~ 1 ms, helium pressure in chamber P = 0.25 atm (Bi-target); (b) experimental chamber (1) with Bi, Al targets (2) and laser beam (3); 2

(c) I = 5.7 MW/cm, P = 2.4 atm, t - 1 ms (Bi - target).

I - near target plasma (P 1 » P), II - 'standing' shock wave, III - subsonic plasma (P P asma~ P).

plasma

Thus, we have discussed the qualitative picture of quasi stationary discharges under Nd glass laser beam. The quantita­tive results will be presented below. Now some words about the scientific and applied importance of such discharges.

Firstly, these discharges are beautiful natural phenomena. During the experiment we deal with a lot of different physical processes. These are: the initiation and supporting of plasma under laser beam, gas dynamic and radiation processes in laser plasma, plasma front autowave movements, nonlinear plasma optics or self-interaction effects, plasma-surface interaction and phase transitions at the target surface.

205

Page 207: Plasma Technology: Fundamentals and Applications

Secondly, about applied possibilities of such discharges. These are some aspects in laser technology. For example, laser drilling, laser plasma etching,evaporation of materials for deposition on the substrata and for material testing by means of spectroscopy and other techniques. On the present optical discharge basis there exists a possibility to have power ultraviolet pointed or large-scaled sources, with size up to 5 + 10 cm. Such sources are suitable for plasma chemical ap­plication, including ecological problems too. When in the nearest future super power (up to 1 MW and higher) infrared lasers of continuous-wave action (or of repeated pulse regime) are in practice, optical discharge physics will be necessary for laser rocket engine creation.

Finally,optical discharges have a very interesting and real application for power laser systems. One means plasma mirror laser operation, which is based on plasma self reflec­tion effect. Some available experimental data will be presen­ted in this paper.

IONIZATION WAVE NEAR THE TARGET

The stationary target destroying process, when small depth crater (h < d) is formed, is one dimensional subsonic wave 'pushed' by the laser beam into the target. The velocity of this wave is constant and equal to u ~ hit - 102 cm/s. At the wave front there exist expansion and heating of matter up to neutral gas or plasma with evaporation energy A or ioniza-tion energy A ,correspondently. The first wav~Pis an evapo­ration wave, A~a the second one is laser plasma combustion wave or, in short, the ionization wave. In fact, both these waves are similar to a great extent to subsonic ionization wave in gas media, that has been studied up to now quite tho­roughly.

It is known that the ionization in such a wave can be thermal, when T ~ T ~ T. The last condition takes place, when laser inteftsityiis not very high. The upper limit of the correspondent intensity range (it is called moderate intensity range) is given by the relation:

aI

2~ . e~

This inequality means that electron-ion relaxations is faster, than electron heating in the laser field. Since plasma absorp­tion is equal to

N _ Z3 2 InA T e- 3 / 2 ~ n i

and electron-ion relaxation time is equal to

- A T3 / 2 / n. Z2 InA e ~

one can obtain the moderate intensity limit

206

Page 208: Plasma Technology: Fundamentals and Applications

* I < I

If atomic w~ight is A = 1~ + 150 and plaswa temperature is T = 1.5(104 + 10 } K we have I = (0.04 + 4}10 W/cm. In the experiments under discussion the laier intensity I - 107 w/cm2

satisfies the above condition I < I .

To determine wave front velocity and energy balance in target plasma one needs to measure temperature and density profiles along the ionization wave. The temperature profile is qualitatively shown in Fig.2. We must point out, that such a profile has not been measured up to now. (This experimental problem has been solved only for simpler case of gas medium). However, using some diagnostics technique of optical discharge one can find velocity V , temperature T and density n behind the subsonic wave front~ which are the ~nitial parametgrs for plasma movement in all the discharge volume.

T

Fig.2. Ionization wave on solid target (T - threshold temperature for thermal ionization of cold vap&ftr .in wave front).

If the pressure near the target strongly exceeds the external gas pressure, the wave velocity and other parameters are determined only by incident laser intensity. When the vapour or plasma absorption behind the wave front is small

8 = a 6x < 1, evaporation and ionization waves have the same l&ser °intensity dependence. These dependences according to the theory are the following:

TO' Vo - const(I}

Po 2

- POVo - PoTo - I (80 < 1)

h - j 0 P v -o 0

I-

207

Page 209: Plasma Technology: Fundamentals and Applications

!!P & 10 /.~

1 Pb

AI B~r

OlL-------~~----~------~ 106 107

!!P & 10 l-

I. - . I . Bi

Pb

AI • 1 - h,

1J4cm

0.2

.. ~ ...-=?n-:R . . . • .

7 , . , 5 ,

3~

• I ,

BI

,-.. : .. • . . . .

I

109

I (W/cm2)

Fig.3. Recoil pulse Io (and crater depth) (a) laser radiation wavelength A = 1.06 ~, external pressure P = 1 atm, laser pulse duration t ~ 1 ms; (b) A = 10.6 ~, P « 1 atm, t ~ 1 ~s.

L

Fig.4. Diagnostics of discharge with 'standing' shock wave. Measurements of subsonic plasma parameters v , T ,n behind the shock wave front and the size of shock 2wav~ L Allow to obtain near target plasma parameters v 0' To ' no·

208

Page 210: Plasma Technology: Fundamentals and Applications

When plasma density increases with laser intensity and ionization wave absorption rises, the case of high optical density of ionization wave (8 = a ~x > 1 at A = 1 ~) takes place. This case has no theore~icaIOtreatment. The following relations are obtained on the experimental basis:

h - io = Povo - const(I)

Po - i v - I1/2 o 0

To - v~ - I

The experimental data presented below are given for Al and Bi targets mainly. Their vaporization heats differ by an order of magnitude, so the cases of weak (AI) and strong (Bi) ab­sorption are realized.

The simplest experiments, that give information on plasma parameters near the target are recoil pulse (J ) and crater depth (h) measurements. The results are presen~ed in Fig.3. Plasma initiation thresholds for Bi and Al targets are I (2 + 3)106 w/cm2 and - 5 106 w/cm2, correspondently, andt~re nearly the same for laser radiation of wavelengths of 1 and 10 ~. One can see in Fig.3 that relation J /e = P /I for Al tar­get corresponds to the optically thin i8nizati8n wave (8 < 1) (see the relation above). At the same time the recoil pRIse decrease and weak dependence of crater depth on intensity at I > 3 106 w/cm2 for Nd laser and I > 108 for CO laser can be understood, if one assume an essential laser2radiatioA absorp­tion and plasma heating near the target to be v _ Tl 2 _ Il/2

(see the relation above for 8 0 > 1). 0 0

Another data have been obtained by speed photography tech­nique and by plasma plume spectrometry with spatial resolu­tion. If we measure velocity v, plasma temperature T behind the shock wave front and the shack wave size L (see Fi~.4), plasma parameters near the target v , T ,i = P v can be calculated using the following simp~e r&lat~ons:o 0

L

d

7 + 1

and then plasma absorption coefficient for ~x < d can be ob­tained. The shock wave diagnostics results for Bi target are presented in Fig.5. One can see that the temperature T does not depend on external pressure (Fig.5a). The last fac~ is clear, since near target region is isolated from external gas by supersonic plasma flow, when near target pressure P is o

209

Page 211: Plasma Technology: Fundamentals and Applications

much higher than pressure in the chamber P »P. The tempera­ture T linearly increases with the laser °intensity I. It is importint that this in~rease starts at the value T = 4 10 K and intensity I = 3 10 w/cm2 , when the recoil pul~e anomaly begins to be observed (see Fig.3). Weak dependence of gas flow jo on laser intensity (Fig.5b) correlates with the crater depth h dependence on I, that has been also measured, because of h - j t and h > d. The values of T ,n are T = 2.5 104K,

. 0 19 -3 7 0 ~ 0 no = J 0/ H v 0 = 10 cm at I = 2 10 W/cm. One has to con-clude here that shock wave diagnostics data on Bi target are in agreement with the conception of an optically dense (at A 1 ~) ionization wave near the target, which was supposed on the basis of data in Fig.3.

To K (-vf)

3 5

I (W/cm2)

(~:: ~:::rr::::::::-::·:::·:,:··:x::·:·::·:::1 2 5 ~7

I (W/cm2)

Fig.5. Results of shock-wave diagnostic for Bi-target plasma (x - P = 0.25 atm, A - 0.5 atm, 0 - 1.0 atm).

Now let us discuss the spectral diagnostics results for the optical discharges on Bi and Al targets. The plasma plume luminosity spectra along the discharge axis, corresponding to the diameter of plasma central part of about 0.1 rom, have been obtained. They are time integral in relation to laser pulse. The spectral lines intensity ratio for twice ionized atoms is used to measure the plasma temperature. These spectral lines are excited during the main part of laser pulse, when its amplitude is nearly constant. That is why the measured tempe­rature, which is average in time, does not essentially differ from the true value. Thermal ionization calculations together

210

Page 212: Plasma Technology: Fundamentals and Applications

with experimental observations are also used for plasma tempe­rature determination. The optical discharges at the targets are in the helium atmosphere, the luminosity spectrum of which is not excited through the used temperature range.

Plasma spectrum along the discharge completely corresponds to the discharge gas-dynamic structure both at low and high pressure in the chamber.

In the case of Bi target and external pressure P = 0.1 + 1 atm the lines of twice ionized atoms Bi III appears for the first time in the shock wave location at the laser intensity I = (6 + 8) MW/cm2 • The corresponding calculated plasma tempera­ture is equal to T - (1.1 + 1.3) 104 K if thermal ioniza-

• • t: 2 -2 -3 k . . t t th 1 . t10n degree 1S ~ - 10 +10 . Ta 1ng 1n 0 accoun e 1near dependence T - I (see Fig.5), one can obtain T = 2.4 104 K at I = 1.5 2 107 w/cm2 • It is in a good agreement with the va-

4 t 7 / 2. • lues T = 2.5 10 K a I = 2 10 W cm 1n F1g. 5. °

At the high pressure P = 5 atm the discharge structure change is observed in the spectrum. There is an increase of background intensity due to the increase of plasma density. Plasma luminosity near the target at x = 1 + 2 cm is absent. When x > 2 cm strongly widened lines of Bi III and another lines are observed. This line intensity increases and reaches the maximum at the distance x = 4 + 5 cm from the target and then slowly diminishes. This behavior corresponds to the plasma temperature profile along the discharge. The maximum plasma temperature is about T = 2 104 K (at I = 107 w/cm2 , P 5 atm). This value was found from both theory and the experi­ment as above. Another temperature estimation is made by means of plasma absorption measurements. It was found that the averaged over laser pulse absorption coefficient is equal to a

-1 4 = 0.4 cm . So, one can get T = 2.3 10 K at pressure P = 5 atm, that is close to the spectral measurement result.

In the case of Al target and discharge with standing shock wave the temperature profiles along discharge axis are ob­tained. These profiles have maximum at the location point of shock wave. The Al plasma temperature increases before the front and decreases after it. The obtained dependence L _ Il/2

(see Fig.6a) corresponds to optically thin (at A = 1 ~) ionization wave near the target, when j = n v - I, and velocity T and temperature T (see Fig~6b) ~egkly depend on I. Using tRe above data we fiRd the plasma density n • At P = 0.25 atm and I = 1 107 w/cm2 using Fig.6a one can fina shock wave size of L = 3.4 cm. The corresponding temperature T is equal to T = 1.3 104 K (see Fig.6b). Moreover, using th~ shock waveoformula, we get value j v = 11.7 atm. Since v is ° ° 18 -3 determined from To' we find no ~ / H 1[; = 2 10 cm.

The obtained data n , T for Bi and Al targets can be used to find near target pla~ma 8ptical thickness e = a ~x. Absorp­tion caused by inverse bremsstrahllung effect is a = 20 cm-1

for Bi and a = 1 + 3 cm -for Al. The value of ~x f8r Al is experimental~y estimated by means of shadow graph and cross testing by auxiliary beam and ~x = 0.1 cm at I = 1 107 w/cm2

is obtained. So, the plasma optical thickness for Al is e 0.1 + 0.3 < 1. supposing 0.1 < ~x < 1 (cm) in the case of oBi we find 2 < e < 20. So, optical thickness for Bi is e > 1.

° °

21 1

Page 213: Plasma Technology: Fundamentals and Applications

Thus, the conception of subsonic ionization wave near the target in the optical discharge with standing shock wave is established experimentally.

SCREENING OF TARGET FROM LASER BEAM AND DISCHARGE INSTABILITY

Now we know that the quasi-stationary discharge structure depends on the laser radiation intensity and the chamber pressure. One can say about different regimes of the discharge in a laser beam. The discharge with standing shock wave takes place at relatively high intensity and rather low external

L(cm)

8 t:::: -5 ~ f-

3 f-

2 ~

2'106

~ I

107 I (W/cm2)

I

I (W/cm2)

Fig.6. Results of spectral diagnostics for AI-target plasma (A - P = 0.1 atm, 0 - 0.25 atm, 0 - 0.5 atm)

pressure. In this case almost all laser energy is absorbed near the target. At the high pressure range there is another structure of optical discharge. The main part of laser energy is absorbed rather far from the target in dense laser plasma. Regime boundaries can be presented in the (p,I) coordinate system. For Bi target it is shown in Fig.7. One can single out three different discharge regimes. The first one (marked as I) is the discharge with shock wave. The second one (II) is an equilibrium between target and subsonic dense plasma. It will be discussed later. And now we discuss the third regime (III), in which the plasma supporting instability takes place. The following fact should be stressed. The range of discharge

212

Page 214: Plasma Technology: Fundamentals and Applications

existence is limited at the bottom by the threshold laser intensity I = 3 MWfcm2 at the pressure of 1 atm. When P > 1 atm the value I = Q fa does not depend on pressure. Here Q is radiatiO~rloss~~sof plasma energy.

los

The plasma time evolution in regime III is presented in Fig.s for I = 9 MWfcm2 , P = 4.6 atm and in Fig.9 for I = 5.5 MWfcm2 , P = 2.7 atm. The numbers at the left bottom corners of pictures in Fig.s indicate the time delay from the beginning of the laser pulse in the relative units (60 corresponds to 1

100

40

20

10

4

2

I (MW/crrf)

• •

x

x II

x • •

• Ithr -,....c;.... - ..0.- - - - - -

2

• x

Fig.7. optical discharge regime boundaries (solid lines) for Bi-target case. (x - experiments presented in Fig.10, 0, - experiments presented in Fig.S,9 and others).

ms). At the beginning of pulse there is an isolation of plasma from the target and plasma plume movement toward the laser beam (frames number 3,11). Such an isolation means the strong screening of target from laser radiation. The isolated plasma expands quickly and its pressure P becomes equal to external pressure P. pI

Plasma supporting process, when P = P , is shown in frames 23,31. Plasma optical density at ~p~ 1 ~ is equal to about e = 2.4. However, long time support is unstable because of the thermal self-focusing development on the left side of plume. Plasma instability evolution is a periodic process. It can consist of few instability cycles over a laser pulse. Under the conditions of the experiment in Fig.s there is only one such cycle.

213

Page 215: Plasma Technology: Fundamentals and Applications

f

I:::C=-J 10

l~:~-c=:J I 1:::---:<:)

1/7

~ I::-.:~'-~

,

1== :~ ------C) I«9l

ll~::~<J1 ~I~:D~-<JI

bl ::~D -<)1

"'- --r-'\ -..... ."",...~

6,5CM

Fig.8. Screening of the target from laser beam and discharge instability (speed photography frame patterns of optical discharge); 2 P = 4.6 atm, I = 9 MW/cm (e = 3.7 kJ, d = 0.8 em, t = 0.8 ms).

214

Page 216: Plasma Technology: Fundamentals and Applications

Self-focusing is observed as a plume sharpening along the laser beam (frame 47). The sharpening time t is about 10-4

s. Such self-focusing is possible due to small plasma thermo­conductivity. In fact, the relaxation time for temperature and density profile, ~enerated by laser beam is t - dr/~ ~ 3 ms »t (here X - T 12/p - electron temperatureTdiffusion,

sf e

T 2 104K). At the same time electron thermoconductivity

~cm~~ ____________________________________________ --,

" (a)

z

10 (b)

5

t Fig.9. Streak-camera patterri of optical discharge2 {a) and

plasma side expansion (b); P = 2.7 atm, I = 5.5 MW/cm.

(I) x is along and y is across laser beam direction; (2) plasma luminosity area is covered by sloped lines; (3) laser pulse trace is shown at the same time scale (a);

the marked parts of this trace correspond to time intervals when target luminosity is observed;

(4) velocities of the forward and back plasma fronts are equal to (SSO :t40) m/s and (360:t 80) m/s correspondently; velocity of plasma side expansion is equal to (220 :t30) m/s.

There are seven I - VII discharge instability cycles over a laser pulse time.

limits the final size of self-focused laser beam. In frame 47 in the plasma lens focus the intensity is equal to I = 15 MW/cm2 (taking into account the absorption). The las~f ra­diation self-focusing in plasma initiates the ionization explosion in a cold vapour flow, that is situated between the target and the left side of plasma (frame 48). Time evolution

215

Page 217: Plasma Technology: Fundamentals and Applications

J9 a

b

l

d

., • )-.......... ~X

.... , ., ..... . .

e 1#7

c Fig.10. Optical discharge of a constant pressure (P(x) ~ P)

(The bright luminosity of plasma is shown by solid lines and surrounding zone of weak luminosity - by dotted lines).

2 (a) - P = 10 atm, I =212 MW/om , (b) - 10 atm, 22 MW/om2, (0) - 10 atm, 80 MW/om2, (e) - 20 atm, 10 MW/om , (d) - stable disoharge phase (drawing)

216

Page 218: Plasma Technology: Fundamentals and Applications

of this new created plasma, its expansion and propagation towards laser beam terminate the instability cycle (frames 49 + 5S).

The multiple repetition of instability cycles is observed in Fig.9. There are seven cycles during the laser pulse. The increase of cycle number in comparison with the previous experiment takes place, because the laser intensity between plasma and target is more than in the case in Fig.S. This fact takes place because the pressure decrease considerably dimi­nishes plasma absorption. One can see in Fig.9, that at the end of pulse the cycle periods become longer. It is explained by the plasma length increase and therefore its absorption also increases.

EQUILIBRIUM BETWEEN PLASMA AND TARGET AT HIGH PRESSURE

We have just seen, that the plasma supporting under the laser beam is unstable, when plasma optical thickness is B ~ 1 at pressure P ~ 3 atm and at laser intensity more than the threshold of plasma support. So, some questions arise. Is it possible to have a continuous supporting of large scale plasma (x > d) near the target? The pressure in such plasma would be equal to external one along all the plume length including the target. It is shown that this situation can be realized when intensity I ~ 10 + SO MW/cm2 and P ~ 7 + 20 atm (see Fig.7).

Table 1 The speed photography results of the discharge luminosity

are presented in Fig.10. There are four experiments marked as a - d in Fig.4. In Fig.4e the drawing of a stable discharge phase is presented. That phase takes place for a middle part or for a second half part of a laser pulse (see Fig.10c). The experimental conditions are the following.

Fig.10 P (atm) d (cm) c (KJ)

a 10 O.S 5.4 b 10 O.S 9 c 10 0.4 S d 20 O.S 6

In Fig.10 one can see the discharge to be supported under the laser beam over a cone volume. The cone flat base of diameter D touches the target (it's shown by a solid line in Fig.10e). The bright plasma in the cone body is surrounded by the zone of weak luminosity (it is shown by a dotted line in Fig.10e). This cone discharge body can be interpreted with the help of a self-focusing effect of the laser beam, which we have already discussed above for discharge plasma of high pressure. The cone body volume corresponds to a piece of space occupied by laser beam. The top of the cone is the beam self-focusing point. The cone base size corresponds to dia­meter D of the defocused and expanded beam at the target surface, so that inequality D/d > 1 takes place. The self­focusing plasma lens locates near the contact surface between the discharge and surrounding gas.

217

Page 219: Plasma Technology: Fundamentals and Applications

Laser intensity at the target is very low, because of strong plasma absorption. Plasma optical thickness a can be much greater than one a » 1 at pressure P ~ 10 atm. strong screening effect at the target is also confirmed by small mass of target material, that is carried out by the laser beam from the target. The usual crater at the target is absent now. After the laser pulse action one can see only the melting metal over a spot of size D. During the stable phase of discharge evolution the plasma has approximately constant in time length 1 and defocusing ratio DId. The measurements of these parameters are presented in Fig.11 and result in two following empiric relations:

So, one can come to the conclusion that the discharge plasma is almost unmovable for a stable discharge phase. It means that the pressure at the target is near the external. The target surface is rather cold. Therefore, the maximum temperature profile T(x) along the discharge is rather far from the target. The corresponding temperature gradient is low and energy transfer flow to the target through the plasma is small too. Absorbed plasma energy is carried out to sur­rounding space by radiation and thermoconductivity processes.

Let us discuss the energy balance relation in such dense plasma. One can treat plasma energy losses to be due to its radiation emission process mainly if P = 10 atm, I ~ 107 w/cm2

and the supposed value of temperature is about T ~ 1 eV. Under these conditions the optical thickness for real plasma size of about D,1 ~ 3 cm can be rather great: a ~ 1. Thus, the dis­charge plasma radiation may be near to the black body radia­tion emission. So, the energy conservation relation is of the following form:

Table 2. We take into account only the side surface of cone, since D < 1. We see that the pressure value does not influence tempera­ture magnitude, because the product (1D) does not depend on pressure (see Fig.11). Calculation results are given in Table:

P (atm) I (MW/cm2 ) d (cm) 1 (cm) D (cm) T (eV)

10 80 0.4 14 2.8 1.33 10 22 0.8 8.7 2.3 1.62 10 12 0.8 7.2 1.4 1.64 20 10 0.8 4.5 2.4 1.55

The discharge plasma temperature is close to the value T = 1.5 eV. Real diagnostics of such discharges is to be carried out. But now it is clear, that dense plasma discharge under laser beam can be a high power ultraviolet source.

218

Page 220: Plasma Technology: Fundamentals and Applications

1 (em) D/d

10

4

2 D 1/2

cfP

(a)~ ____ ~~ __ ~ __ ~

1 10 20 Platm)

1 I em) D/d

10 ]'1 1/3

2

(b)L-_...L...-_-1-_--L.. __ .....J

5 10 20 100 Fig.11. High pressure discharge size

(the discharge length in Fig.11a is shown for the middle part of laser pulse (low curve) and for the end part of pulse (upper curve);

(a) - I 12.5 MW/cm2 , d = 0.8 em, C = 5kJ, (b). - P = 10 atm.

219

Page 221: Plasma Technology: Fundamentals and Applications

APPLICATION: NO-GLASS LASER WITH PLASMA MIRROR

In conclusion of the paper, several short remarks will be made on application of optical discharge study results for power laser systems. Let us consider the near target plasma back reflection. Now we deal wi~h the carbon target at laser intensities in the range I = 10 + 108 w/cm2 and at external pressure p ~ 1 atm.

We have an interest in laser radiation reflection from such plasma. The result is presented in Fig.12. There is an incident radiation of one microsecond spike of Nd glass laser (upper trace in Fig.12) and also the reflective radiation. The reflection has a background and very short pulse, the ampli­tude of which is much greater than the background. This short pulse appearance is a low-threshold nonlinear reflection effect. What is important here? Not only the low laser inten­sity for reflection effect. The observation of short pulse above the background means the stable plasma support to be failed. The plasma state near the target changes very sharply. For a short time it becomes denser, more reflective and then it relaxes to background parameters again.

a

b r.:----:SO hS

Fig.12. Low-threshold nonlinear reflection;

(a) - incident laser radiation, I - (107 + 108 ) w/cm2 ,

(b) - reflected radiation trace, r - 10-4 •

If one directs this reflective pulse back into the laser, a question arises whether this pulse can effectively interact with laser system. It would mean the change of its state in such a way, that it can make its quasi-continuous generation unstable and induce new operation states of laser system. Under some conditions the experiment gives us the positive answer. A new physical system composed of laser and target plasma arises. It is a laser-target system, otherwise named as the laser with nonlinear plasma mirror. The examples of such a system are shown in Fig.13. It can generate one or several giant laser pulses and also mode-locking pulse train. The laser energy level of this system is rather high (see Fig.13). It is important that there is not any problem on radiation damage in the relation to plasma mirror.

220

Page 222: Plasma Technology: Fundamentals and Applications

1 r I I

IT (II § § I if ~T lj 1~

I

o a La a a*a I'" I

j===~00 ~,s monopulse _

--~ ---

three pulses JJJ~

10 ns -train

, I 1111111111111 II ,

E=77 J

E=131 J

14 2 1"'10 I,.Jlcm

t=20 ps

E=(0.1-1)J

Fig.13. Nd-glass laser with plasma mirror, (a) - two real schemes of 4-step Nd-glass laser with plasma mirror at the carbon target (Nd-glass rods of 70 cm length and of 4.5 cm diameter are used), (b) - oscilloscopic traces of laser operation and laser pulses parameters (for the first optical scheme).

221

Page 223: Plasma Technology: Fundamentals and Applications

The study of optical discharges , laser plasma experiments became possible due to power laser progress. And now one can say that laser plasma itself works for powerfull laser.

Now this application of optical discharge is rather advanced. I hope that other applied perspectives of optical discharges will be realized.

The results of our research and investigations of the other authors, which I used in this paper, are in the Pro­ceedings of General Physics Institute (Moscow, USSR), v.10.

222

Page 224: Plasma Technology: Fundamentals and Applications

INDEX

Accelerating potential, 30 Actinometric optical emission

spectroscopy, 95 Activation energy, 99 Ar-N2 plasmas, 127 Boltzmann equation, 47, 61 Collision frequency, 47 Converter, 189 Cusp magnetic field, 193 DC plasmas, 112, 182 Debye screening, 2, 30 Deposition rate, 104, 111,

119 Differentiating networks, 33-

34 Double probe, 33 ECR

plasmas, 114 reactors, 180-181

Effective electric field, 168 Electric arc plasmas, 115 Electron

beam, 52 degradation spectra, 48 energy distribution

function, 29, 40-42, 45

saturation region, 32 Electron-molecule collision

integrales, 47 Emission spectroscopy, 128 Excimer laser kinetics, 65-67 Floating potential, 31 Flue gas cleaning, 54, 157 Fluid models, 73 Fluorescent lighting systems,

84 Green function method, 50 H-/D- sources, 69-70, 193,

197 H2 vibrational

distribution, 71 High pressure lamps, 86 Interferometry, 12

Ion bombardment, 101 saturation region, 32

Ionization wave, 206 Ionosphere, 6 Lamps, 81-91 Landau damping, 5 Langmuir

oscillation, 4 probes, 27

Laser doppler anemometry, 118 Layer thickness, 137 Magnetic filter, 194 Metal halide lamps, 88 MHO generators, 56 Microwave

cavity, 176 discharges, 127., 167-184 torch, 179

Monte Carlo method, 75 N-atom recombination, 129 Negative ion

surface production, 187 volume production, 67, 188

Nitrided layers, 137 Nitrogen after-glow, 63-65 NOx removal, 143, 146-149,

153-166 Oil dynamics mechanism, 150 Operating point, 170 Optical discharges, 203-222 Plasma

absorption coefficient, 207 definition, 1 deposition, 109-123 diagnostics 11-26, 27-44,

93-107, 118-120, 128-136

etching, 93-107 frequency, 4, 12 jet, 115 optical thickness, 211 parameters, 1-2 potential, 32, 128 spraying, 115

223

Page 225: Plasma Technology: Fundamentals and Applications

Poisson equation, 30, 73 Polarimetry, 21 Polarization degree, 22 Polymerization rate, 98, 104 Post-discharge reactors, 125 Power absorption, 113, 115,

168-169 Probe contamination, 36 Pulse power generator, 161 Pulsed discharge, 196 Rayleigh scattering, 21, 119 Retarding potential, 29 RF discharges, 37, 73, 112,

198 Second kind collisions, 49,

61 Sheath, 28, 112

224

Soot removal, 146, 149 SOx removal, 145, 146, 149,

153-166 Sputtering, 111 Stability criterion, 170 Stopping power, 53 Subsonic plasma, 204 Superelastic collisions, 49,

61 Surface treating, 54 Surfaguide, 177 Thermonuclear plasma, 7 Thompson scattering, 15, 119 Time dependent Boltzmann

equation, 71 Triple probe, 37 Wave propagation, 3