10
Patterning and Templating for Nanoelectronics By Kosmas Galatsis,* Kang L. Wang, Mihri Ozkan, Cengiz S. Ozkan, Yu Huang, Jane P. Chang, Harold G. Monbouquette, Yong Chen, Paul Nealey, and Youssry Botros 1. Introduction A major contributor to the success of the the semiconductor industry in sustaining Moore’s Law is attributed to the advancement of lithography technology. Lithography is used to define features and patterns for devices, vias, and interconnects that contribute to a working microprocessor, memory, or application specific integrated circuit. The semiconductor industry is often referred to as the backbone of the information age and generated worldwide revenues of $262B in 2008. Lithography is the single largest cost factor in the manufacturing food chain, and hence is the most impor- tant. Current state of the art patterning found in microprocessors is based on lithography technology capable of creating 45 nm feature sizes. Most fabrications today employ exotic techniques such as immersion technology and phase shift masks to push patterning to the nanometer length scale. Next genera- tion lithography systems are planned to be based on extreme ultraviolet (EUV) light using a wavelength of 13.5 nm, which promises to give the industry the quantum leap forward into the 22 nm node. [1] Working with such a small wavelength has been hampered by the development of adequate light sources, optics, resists, and mask blanks. From an economic point of view, profound impacts are also expected with the progression to EUV, for instance, patterning tools are expected to fetch up to $100M, more than triple the cost of current immersion tools. Other more common patterning alternatives for the 22 nm node and beyond include electron beam lithography (EBL) and scanning probe lithography (SPL). These techniques can attain the required high resolutions, but are currently quite limited in their practical application by slow throughput. The recently developed nanometer-scale RESEARCH NEWS www.MaterialsViews.com www.advmat.de [*] Dr. K. Galatsis FCRP Center on Functional Engineered Nano Architectonics University of California Los Angeles, CA 90095-1595 (USA) E-mail: [email protected] Dr. K. Galatsis, Dr. K. L. Wang Electrical Engineering Department University of California Los Angeles, CA 90095 (USA) Dr. M. Ozkan Electrical Engineering Department University of California Riverside, CA 92521 (USA) Dr. C. S. Ozkan Mechanical Engineering Department and the Materials Science and Engineering Program University of California Riverside, CA 92521 (USA) DOI: 10.1002/adma.200901689 Dr. Y. Huang Materials Science and Engineering University of California Los Angeles, CA 90095 (USA) Dr. J. P. Chang, Dr. H. G. Monbouquette Chemical and Biomolecular Engineering University of California Los Angeles, CA 90095 (USA) Dr. Y. Chen Mechanical and Aerospace Engineering Materials Science and Engineering University of California Los Angeles, CA 90095 (USA) Dr. P. Nealey Chemical and Biological Engineering University of Wisconsin Madison, WI 53706 (USA) Dr. Y. Botros Intel Corporation Los Angeles, CA 90095 (USA) The semiconductor industry will soon be launching 32nm complementary metal oxide semiconductor (CMOS) technology node using 193 nm lithography patterning technology to fabricate microprocessors with more than 2 billion transistors. To ensure the survival of Moore’s law, alternative patterning techniques that offer advantages beyond conventional top-down patterning are aggressively being explored. It is evident that most alternative patterning techniques may not offer compelling advantages to succeed conventional top-down lithography for silicon integrated circuits, but alternative approaches may well indeed offer functional advantages in realising next-generation information processing nanoarchitectures such as those based on cellular, bioinsipired, magnetic dot logic, and crossbar schemes. This paper highlights and evaluates some patterning methods from the Center on Functional Engineered Nano Architectonics in Los Angeles and discusses key bench- marking criteria with respect to CMOS scaling. Adv. Mater. 2010, 22, 769–778 ß 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 769

Patterning and Templating for Nanoelectronics …drl.ee.ucla.edu/wp-content/uploads/2017/08/Patterning... · 2017. 8. 11. · self-assembly processes, such graphoepitaxy using diblock

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • R

    www.MaterialsViews.comwww.advmat.de

    ESE

    Patterning and Templating for Nanoelectronics

    ARCH

    NEW

    By Kosmas Galatsis,* Kang L. Wang, Mihri Ozkan, Cengiz S. Ozkan,

    Yu Huang, Jane P. Chang, Harold G. Monbouquette, Yong Chen,

    Paul Nealey, and Youssry Botros

    S

    The semiconductor industry will soon be launching 32 nm complementary

    metal oxide semiconductor (CMOS) technologynodeusing 193nm lithography

    patterning technology to fabricate microprocessors with more than 2 billion

    transistors. To ensure the survival of Moore’s law, alternative patterning

    techniques that offer advantages beyond conventional top-down patterning are

    aggressively being explored. It is evident that most alternative patterning

    techniques may not offer compelling advantages to succeed conventional

    top-down lithography for silicon integrated circuits, but alternative approaches

    may well indeed offer functional advantages in realising next-generation

    information processing nanoarchitectures such as those based on cellular,

    bioinsipired, magnetic dot logic, and crossbar schemes. This paper highlights

    and evaluates some patterning methods from the Center on Functional

    Engineered Nano Architectonics in Los Angeles and discusses key bench-

    marking criteria with respect to CMOS scaling.

    1. Introduction

    A major contributor to the success of the the semiconductorindustry in sustaining Moore’s Law is attributed to theadvancement of lithography technology. Lithography is used todefine features and patterns for devices, vias, and interconnectsthat contribute to a working microprocessor, memory, orapplication specific integrated circuit. The semiconductorindustry is often referred to as the backbone of the information

    [*] Dr. K. GalatsisFCRP Center on Functional Engineered Nano ArchitectonicsUniversity of CaliforniaLos Angeles, CA 90095-1595 (USA)E-mail: [email protected]

    Dr. K. Galatsis, Dr. K. L. WangElectrical Engineering DepartmentUniversity of CaliforniaLos Angeles, CA 90095 (USA)

    Dr. M. OzkanElectrical Engineering DepartmentUniversity of CaliforniaRiverside, CA 92521 (USA)

    Dr. C. S. OzkanMechanical Engineering Department and the Materials Science andEngineering ProgramUniversity of CaliforniaRiverside, CA 92521 (USA)

    DOI: 10.1002/adma.200901689

    Dr. Y. HuangMaterials ScienceUniversity of CalifoLos Angeles, CA 9

    Dr. J. P. Chang, DrChemical and BiomUniversity of CalifoLos Angeles, CA 9

    Dr. Y. ChenMechanical and AeMaterials ScienceUniversity of CalifoLos Angeles, CA 9

    Dr. P. NealeyChemical and BiolUniversity of WiscoMadison, WI 5370

    Dr. Y. BotrosIntel CorporationLos Angeles, CA 9

    Adv. Mater. 2010, 22, 769–778 � 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

    age and generated worldwide revenues of$262B in 2008. Lithography is the singlelargest cost factor in the manufacturingfood chain, and hence is the most impor-tant. Current state of the art patterningfound in microprocessors is based onlithography technology capable of creating45 nm feature sizes.

    Most fabrications today employ exotictechniques such as immersion technologyand phase shift masks to push patterning tothe nanometer length scale. Next genera-tion lithography systems are planned to bebased on extreme ultraviolet (EUV) lightusing a wavelength of 13.5 nm, whichpromises to give the industry the quantumleap forward into the 22 nm node.[1]

    Working with such a small wavelengthhas been hampered by the development ofadequate light sources, optics, resists, and

    mask blanks. From an economic point of view, profound impactsare also expected with the progression to EUV, for instance,patterning tools are expected to fetch up to $100M, more thantriple the cost of current immersion tools. Other more commonpatterning alternatives for the 22 nm node and beyond includeelectron beam lithography (EBL) and scanning probe lithography(SPL). These techniques can attain the required high resolutions,but are currently quite limited in their practical application byslow throughput. The recently developed nanometer-scale

    and Engineeringrnia0095 (USA)

    . H. G. Monbouquetteolecular Engineeringrnia0095 (USA)

    rospace Engineeringand Engineeringrnia0095 (USA)

    ogical Engineeringnsin6 (USA)

    0095 (USA)

    769

  • RESEARCH

    NEW

    S

    www.advmat.dewww.MaterialsViews.com

    Figure 1. a) Similar to conventional lithography, a thin film of resist (in thiscase electric resist) is first coated onto a substrate. A mask of patternedconductive and insulating surfaces is then pressed onto the electric resistthin film. An electric field is applied between the conductive patterns on themask and the conductive layer on the substrate to configure (or ‘expose’)the electric resist by an electrochemical reaction, thereby transferring themask pattern to the electric resist film. The electric resist in the unexposedarea is subsequently dissolved. The patterned electric resist film can befurther processed by chemical and/or physical methods to transfer thepattern to other materials on the same substrate. b) SEM images showingthat all four characters ‘N’, ‘A’, ‘N’, ‘O’ were all addressed on the mask andtransferred to the resist, and (bottom) only three characters ‘N’, ‘A’, ‘O’were addressed on the mask and transferred to the resist.

    770

    imprint lithography (NIL) technique provides a new avenue fornano-manufacturing with both high resolution and throughput,however, it still falls short with unsatisfactory yield.

    As lithography attempts to push the boundaries of currentscalings, it drags with it the baggage of maintaining device yieldswith smaller amounts of allowable process and dimensionalvariations. Therefore, as conventional complementary metaloxide semiconductor (CMOS) scaling approaches the atomic andmolecular levels, new processing paradigms begin to warrantmore discussion such as ‘‘Can self-assembly based technologies offeradvantages beyond conventional top-down lithography approaches?’’and ‘‘Do alternative patterning techniques favorably couple toalternative nanoarchitectures for beyond CMOS information proces-sing?’’. In an attempt to address these vexing questions, theCenter on Functional Engineered Nano Architectonics (FENA)has been focusing on some alternatives to traditional patterningtechniques. In this report, we will highlight our researchand discuss key benchmarking criteria with respect to CMOSscaling.

    2. Top-down Patterning

    Many emerging top-down nanopatterning technologies havediverged from optical and charged particle beams, and can beclassified under the umbrella of physical contact lithography,which involves a 1� process where a patterning element isbrought into contact with a resist-coated wafer. The pattern istransferred into the resist through application of an appropriatefield, generally either an electric field or simply by mechanicalforce, both of which overcome the limitations of opticaldiffraction. Electric-field lithography is one such example andthe closest relative to conventional photolithography whenconsidering alternative approaches. However, instead of trans-mitting light through a 4�mask and exposing a reduced image inthe photo-resist, the electric lithography process in Figure 1utilizes a 1� mask as a conductive active element, which whenbiased, initiates an electrochemical polymerization process toexpose the image into an electro-resist.[2] Similarly, directpatterning of metal substrates can be achieved when the maskingelements are superionic conductors.[3,4] Under an applied bias, anelectrochemical reaction releases metal ions that migrate fromthe substrate into the mask. Eventually all metal within theexposed regions is removed and the complementary stamppattern remains in the substrate. The electrodemasking principleenables direct overlay control by capacitance readings betweenthemask and grounding bottom electrode. In addition, the maskscan be constructed to allow selectively biased regions forturning-on or turning-off specific mask elements duringexposure, this enables on-demand patterning where multiplemasking layers can be printed with only a single mask. Theresolution of electric lithography is limited by the size of the maskelements, the field gradient within the resist, and the properties ofthe resist itself. For large-area masks, fringe effects at the edges ofthe mask elements may reduce image contrast and soften theresulting sidewall profile in the developed resist. The electro-resist itself must be developed with the necessary conductivityproperties and sharp reactive thresholds for high-contrastimaging. Furthermore, to minimize fringe-field distortions, theresist must function at sub-100 nm film thicknesses, which

    � 2010 WILEY-VCH Verlag Gm

    requires enhanced etch selectivity for pattern transfer, relative tocurrent photo-resists that generally exceed 100 nm film thick-nesses. Chen and co-workers at UCLA have recently demon-strated a sub-10 nm resolution function with nanometer-scaleelectric lithography (NEL) (Figure 2).[5,6] The NEL process is usedto assemble nanoparticles by applying voltages on nanoelec-trodes. As a result, charged nanoparticles are assembled andimmobilized onto the nanoelectrodes by electrophoretic deposi-tion. The novelty lies in that distinct nanoparticles/biomoleculescan be assembled onto different nanoelectrodes by selectivelyapplying the appropriate electrical potential on the nanoelec-trodes and supplying the corresponding nanoparticles coatedwith distinct biomolecules.

    A patterning approach that also employs electric fields is aregime using quantum dots (QDs) on a photocatalytically reactivesurface for patterning. Recently, the Monbouquette researchgroup at UCLA has incorporated the use of QDs as nanoscopicprobes for SPL.[7] This concept employs surface-adsorbed,photocatalytic QDs as pens on a reactive self-assembledmonolayer (SAM), illustrated in Figure 3. The QDs are

    bH & Co. KGaA, Weinheim Adv. Mater. 2010, 22, 769–778

  • RESEAR

    www.MaterialsViews.comwww.advmat.de

    electrophoretically driven about the SAM by a surface-parallelelectric field, whose orientation may be controlled to createcomplex, two-dimensional patterns. In the presence of light, theterminal groups of the SAM react with the QD to form a moiety

    Figure 2. SEM images of a) two parallel 8-nm-wide Au nanoelectrodes onb) streptavidin-coated 10nmnanoparticles immobilized onto the Au nanoelectrosurfaces were coated with a 2-nm-thick Au film to eliminate charge effectsobservation. Fluorescence microscopy images of c) green fluorophore-labeledon nanoparticles immobilized along the Au nanowires on the template; ande-labeled biotins reacted with the streptavidin on the nanoparticles transferredglycol) (PEG)polymersubstrate.ThecorrespondingNELprocess isshownschemcolumn.

    Figure 3. The schematic shows the QD-based electric patterning regime.The QD is guided by a 2D electric field plane. As the QD moves about theplane, theQD reacts with the photocatalytically surface species. A pattern isthen achieved by standard developing and etching processes. It is envi-saged that a large number of QDs could be spread on the surface to writethe same pattern simulateneously.

    Adv. Mater. 2010, 22, 769–778 � 2010 WILEY-VCH Verlag Gm

    that can be selectively developed with respect to the unreactedareas. The path traversed by the QDs produce a trail of reactedmolecules that form 2–3-nm-wide lines, whose lengths can becontrolled by intermittently shielding the light source, which

    CH

    NEW

    S

    a template, anddes. The templateduring the SEMstreptavidin (SA)

    d) red fluorophor-to a poly(ethyleneaticallyonthe right

    bH & Co. KGaA, Wein

    halts the reaction and ceases printing. Similarto the massively parallel cantilever arrays usedin dip pen nanolithography, the Monbouquetteresearch group is working to develop a processwhere large numbers of QDs (> 109 cm�2)

    could be positioned across a surface to eitherwrite a single pattern in concert or manypatterns in parallel. While the resolution of QDpatterning is exceptional, and reasonablethroughput may be achieved with arrays ofmultiple QDs, perhaps the greatest challengefor utilization as a direct lithographic technol-ogy is the SAM. Generally, an organic resistfilm needs to be �100 nm thick to withstandtypical plasma etching; a SAM that is �onetenth of that thickness will need to be veryrobust to plasma etching. However, carefultailoring of the SAM chemical transition couldserve to provide a chemical template forsubsequent directed self-assembly in bot-tom-up technologies like those discussed inthe next sections.

    3. The Middle Ground

    Several patterning techniques that depend onboth top-down patterning and elements ofself-assembly processes, such graphoepitaxyusing diblock copolymer patterning, haveemerged as very interesting patterning candi-dates. Di-block copolymer technology is anexample of a self-assembly process and istypically used as a template. The copolymersare generally two short-chain, chemically

    distinct polymer chains linked together with a covalent bond,such as polystyrene (PS) and poly(methyl methacrylate) (PMMA).Being chemically distinct, the two polymers have a mutualrepulsion and thus have the interesting thermodynamic drive tospontaneously form ordered structures with domain dimensionsof 5–50 nm. The size and shape of the domains are dependent onthe molecular weight and composition of the copolymer buttypically assume morphologies of lamellae, spheres, andcylinders. One strategy to integrate block copolymers withconventional lithography recently developed is known asgraphoepitaxy and was first demonstrated for sphere-formingblock copolymers.[8] In this technique, grooves are patterned onthe substrate by photolithography and etching. The walls of thesetopographic features are chemically tailored to serve as a wettingsite for one of the block copolymers, so when films are cast ontothe structures, a phase segregation ensues, nucleating on thevertical walls and propagating outward so as to be well orderedacross the width of the grooves and along their axes. The primarypurpose of graphoepitaxy is to enhance the resolution of theconventional lithographic process by causing a subdivision within

    heim 771

  • RESEARCH

    NEW

    S

    www.advmat.dewww.MaterialsViews.com

    Figure 5. Block copolymers have been directed to assemble into, clockwisefrom top-left: a) 908 bends, b) arcs, c) T-junctions, and d) lines that end in a

    772

    the patterned features and to improve the perfection of orderingof the dense periodic arrays of nanostructures that are naturallyformed by block copolymers. A comparison amongst conven-tional lithography, di-block with pre-patterning, and diblockgraphoepitaxy is presented in Figure 4.[9] Another way to engageblock copolymers is to direct their assembly by chemical surfacepatterns as presented in Figure 4b. Integrated circuits andmemory arrays contain elements that are more complexgeometrically than the simple periodic arrays of lines or spotsavailable from pure block copolymers. The gate layer of mostintegrated circuits, for example, requires the patterning of denselines, bent lines with sharp corners, lines that end at specificpositions, T-junctions, jogs, and arrays of spots. All such essentialpatterning shapes have been created as presented in Figure 5using di-block copolymers. Self-assembling materials such asblock copolymers are particularly attractive for nanolithographybecause they offer potential improvements in critical dimensioncontrol and line edge roughness (LER) of the fabricatedstructures. Conventional lithography with chemically amplifiedphotoresists is a diffusion-limited process. Small deviations in theresist chemistry, exposure dose, or post-exposure bake tempera-ture can all lead to relatively large variations in the final structuredimension. However, with thermodynamically controlled pro-cesses such as self-assembly, the process proceeds until the

    Figure 4. Comparison of three different polymer-based patterning methods. From left to right: a) conventional lithography using PMMA. b) Directeddi-block copolymer patterning used litho exposure to direct the copolymer segregation. One of the advantages shown includes improved line edgeroughness by 100%. c) Graphoepitaxy makes use of predefined conventional lithography patterns to guide di-block copolymer assembly at scales below thelithography resolution.

    fixed position. The width of each AFM image is 1mm.

    � 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2010, 22, 769–778

  • RESEARCH

    NEW

    www.MaterialsViews.comwww.advmat.de

    resulting morphology achieves a minimized free energy atequilibrium. The thermodynamics of the block copolymer systemdetermines the overall shape and size of the domains. The blockcopolymer assembly process is, therefore, able to somewhatcorrect or self-heal for irregularities, e.g., dimensional variationsor defects, in the chemical surface pattern. The LER of theself-assembled structures is also a thermodynamic property of thesystem and is theoretically predicted to be sub-1 nm indimension.[9]

    S

    4. Bottom-up and Self-assembly Patterning

    Self-assembly methods can be divided into two distinct functionalcases, 1) sacrificial processes, where the nanocomponentsresponsible for self-assembly are sacrificed (removed) and donot actively participate in the function of the nanostructure (such asself-assembled templates) and 2) active processes, where thenanocomponents responsible for self-assembly also become theactive elements within an integrated circuit. In both cases, variousintermolecular forces such as hydrogen-bonding, van der Waals,hydrophobic/hydrophilic, andp�p interactions are responsible forassembly which in all cases aim to minimize thermal and kineticenergy resulting in some type of pattern formation. The followingmethods require a pre-pattern inorder to establish a reference (withrespect to the substrate) by a top-down approach. Sometimes thesemethods are referred to as directed self-assembly.

    S-layer proteins provide the opportunity to create featuresdown to the molecular level. S-layers are the outermost

    Figure 6. Demonstrating the use of S-layer based templates to achieve HfOreassembled with S-layer proteins from supernatant with 10� 10�3 M CaCl2 fd) after 30 Å HfO2 deposited on sample (c), and e) HfO2 nanopatterns on Si sscans in (a–e) show the AFM cross-section profiles along the lines shown in

    Adv. Mater. 2010, 22, 769–778 � 2010 WILEY-VCH Verlag Gm

    component of the cell envelope of many archaea and bacteriaand are composed of a single protein or glycoprotein crystal.S-layer proteins are able to reassemble into two-dimensionalarrays on solid supports, exhibit different lattice symmetries withlattice parameters ranging from 3–30 nm, possess a thickness of5–15 nm, and form nanopores with identical size of 2–10 nm.These properties make protein architectures interesting fordeployment as sacrificial nanotemplates for fabricating nano-structures. The Chang group at UCLA has demonstrated theassembly of S-layer proteins with a unit cell dimension of 20 nmthat formed 2D arrays with ordered pores of 9 nm diameter.[10]

    Using these structures as a nanotemplate, they were able to usearea-selective atomic layer deposition (ALD) of HfO2 to constructpatterned, high dielectric constant (high-k) materials on Si, asshown in Figure 6. ALD HfO2 a few nanometers thick wasdeposited in the pores defined by the S-layer protein, formingnanostructues on the order of �9 nm after selective thermaldecomposition of the protein, thereby showing the S-layerproteins’ potential for creating periodic nanostructures below10 nm.

    Biodirected assembly is based on a bottom-up approachwhereby components that have complementary molecularrecognition come together to form devices and nanostructures.The challenge in this assembly process is in defining thenucleation location, orientation, and alignment relative toreference markers to assist with overlay, metallization layers.Regardless of the challenges, biodirected assembly methodolo-gies are amongst the most prominent for redefining the futureof nanopatterning. Some interesting biobased assembly

    2 nanopatterns: a) HF-cleaned Si substrate, b) HF-cleaned Si substrateor 1 h, c) sample (b) subsequently modified by 10� 10�3 M ODTS for 2 h,ubstrate generated by annealing sample (d) in air at 600 8C for 2 h. The lineeach image.

    bH & Co. KGaA, Weinheim 773

  • RESEARCH

    NEW

    S

    www.advmat.dewww.MaterialsViews.com

    774

    components include peptide nucleic acid (PNA), deoxyribonu-cleic acid (DNA), ribonucleic acid (RNA), and viruses such asbacteriophages.

    Of the different biological molecules having molecularrecognition properties that can be used for assemblingnanostructures, nucleic acids are the most widely used.Nucleic acids have a predictable self-assembly mode viaWatson–Crick hybridization, are well defined dimensionally,and offer the opportunity for functionalization by conjugation.DNA origami is one such bio-self-assembly approach. Thistechnique entails the folding of long (up to 100 nm), single-stranded DNA molecules into arbitrary 2D nanoshapes.[11] A 2Dshape is possible by raster-filling the shape with a long singlestranded DNA and by using short oligonucleotide ‘staple strands’to hold the scaffold in place. The resulting DNA structures areroughly 100 nm in diameter with 6 nm resolution. Numerousshapes have been produced as shown in Figure 7. The art of thistechnology comes from designing the scaffold DNA origami. Thedesign is fed into a computer program, which calculates theplacement of individual staple strands. Each staple binds to aspecific region of the DNA template, conferring the property ofself-assembly to the process. For pattern transfer purposes, theDNA patterns would be used as sacrificial templates. Registration

    Figure 7. ExamplesofseveralDNAorigami.Top row, foldingpaths:a) square, b)and f) sharp trianglewith trapezoidal domains and bridges between them (red linrow from top, diagrams showing the bendof helices at crossovers (wherehelicesthe base-pair index along the folding path; red is the first base, purple the 7000tstacking. White brackets in (a) mark the height of an unstretched square and thfeatures in(f) arehairpins.All imagesandpanelswithoutscalebarsare thesames

    � 2010 WILEY-VCH Verlag Gm

    control still needs to be addressed in this technology so that DNAnucleation occurs at pre-defined sites. From a design point ofview, DNA origami lends itself well to automated design andmanufacture using CAD tools for the DNA origami.[12] Recentprogress from the Rothemund and Winfree groups was themating of carbon nanotube (CNT) elements with DNA origami inan effort to control the 2D positional orientation to obtainstructures such as crossbars.

    In addition to DNA origami, some interesting DNA sequenceshave been used as active components for nanoelectronics.[13–16]

    Hybrid nanoarchitectures based on single-walled carbon nano-tube (SWNT)-DNA or SWNT-PNA conjugates combine theelectrical properties of SWNTs with the self-assembling proper-ties of the oligonucleotides.[17,18] The carboxylic end groups ofSWNTs provide the necessary recognition capability for covalentconjugation to terminal amino groups of single-stranded DNAsequences by amide linkages and achieve a viable bioinorganicinterface.[19] The intrinsic low conductivity of bare DNA restrictsits utilization in electronic circuits, but this can be overcome byproviding metallic linkages though selective deposition of metalnanoparticles along the backbone of DNA.[20] Interestingprogress in the synthesis of end-specific SWNT-DNA andSWNT-PNA complexes is shown in Figure 8: these have been

    rectangle, c)star, d)diskwith threeholes, e) trianglewith rectangulardomains,es in inset). Dangling curves and loops represent unfolded sequence. Secondtouch) and away fromcrossovers (where helices bendapart). Colour indicatesh. Bottom two rows, AFM images. White lines and arrows indicate blunt-endat of a square stretched vertically (by a factor >1.5) into an hourglass. Whiteize, 165 nm� 165nm.Scalebars for lowerAFMimages:b)1mm,c–f)100nm.

    bH & Co. KGaA, Weinheim Adv. Mater. 2010, 22, 769–778

  • RESEARCH

    NEW

    S

    www.MaterialsViews.comwww.advmat.de

    Figure 8. SEM images of a) a single-walled carbon nanotube(SWNT)-DNA-SWNT conjugate, b) a SWNT-PNA-SWNT conjugate.(c) SWNT-DNA-SWNT nanoarchitecture extended betweenmetal contacts,and d) a triple junction architecture for three terminal devices.

    Figure 9. TEM images of various nanoarchitectures based on the M13bacteriophage. Gold nanoparticles (about 5 nm) bind to proteins along thebacteriophage body, while the end of the bacteriophage binds to strepta-vidin-coated nanoparticles. Arrows show the conjugations of gold nano-particles and CdSe QDs.

    studied for the first time at UCR.[21] Nanoelements such as CNTsand QDs have been self assembled into I-junction and T-junctionarchitectures as shown in Figure 8. As reported by the Ozkangroup, these structures have shown negative differentialresistance (NDR) characteristics with potential applications asresonant tunneling diodes with 2-terminal architecture.[22] Someremaining challenges to be overcome include defining nucleationsites for registration, orientation, and alignment relative toreference markers to assist with overlay.

    Viruses are also part of the biological family that have highlyspecificmolecular recognition and templating capabilities used toorder nanoparticles or QDs towards self-assembled buildingblocks and devices. Viruses can be utilized either in their natural(wild) form, or they can also be programmed through geneticengineering. The protein groups residing at different locations ofa virus capsid can be independently altered by geneticengineering to enable selective attachment to different nanoma-terials and impart a variety of functionalities. One of the firstpopular viral templates used for assembly processing has beenthe M13 bacteriophage.[23,24] A bacteriophage is a bacterial virusmade up of a single-stranded DNA enclosed within a protein hull.The functionalities of protein groups residing at differentlocations can be independently altered by genetic engineeringfor selective attachment to different nanomaterials. For instance,Belcher et al.[24] demonstrated that engineered viruses canrecognize specific semiconductor surfaces through themethod ofselection by combinatorial phage display.[25] For nanoelectronicapplications, typically the phage body is metallized to conductcurrent, and at one end, a semiconducting nanoparticle is placedto create a junction.[23] The viral template itself becomes part ofthe final assembled nanoarchitecture. An example of this isshown in Figure 9 where transmission electron microscopy(TEM) images present various templated phages self assembledwith gold nanoparticles on the protein body and a QD assembledat the phage end.[26] Other interesting self-assembled viruses

    Adv. Mater. 2010, 22, 769–778 � 2010 WILEY-VCH Verlag Gm

    includes work by the Ozkan and Yang groups incorporatingplatinum nanoparticles over tobacco mosaic virus (TMV)[27] andQDs deposited on cow-pea-mosaic virus (CPMV), which in bothcases exhibited interesting bistable electrical behavior potentiallyuseful for 2-terminal ‘hybrid’ memory applications.[28]

    5. Implications to Nanoarchitecture Assembly

    As top-down patterning approaches advance further into thenano-regime, it is still unclear at this point how alternativepatterning approaches could potentially fit into the CMOSroadmap. Real world patterning metrics of novel patterningapproaches such as yield and line edge roughness and their abilityto scale for manufacturing are key unknowns at this point. Toshed light on areas of improvement for some of the upcomingpatterning and templating approaches we have undertaken acollective effort to make some benchmark comparisons forunconventional patterning methodologies shown in Table 1. Wecompare the presented exotic patterning techniques with somekey criteria and have colored the blocks with yellow and red.

    bH & Co. KGaA, Weinheim 775

  • RESEARCH

    NEW

    S

    www.advmat.dewww.MaterialsViews.com

    Table 1. Benchmarking criteria for next generation lithographies.

    a) Some key criteria and their definitions include: a) Patterning mechanism: The underlying physical principle by which the pattern is created. b) Purpose: The generated pattern

    is either i) a sacrificial etch/implant mask, as in conventional photolithography, or ii) an active element within the integrated circuit, as with bioassisted assembly using DNA

    conjugation. c) Minimum Half-Pitch (Periodic): The CD of the smallest feature that can be printed in a 1:1 dense, periodic manner (typical for memory applications). d)

    Minimum Critical Dimensions (Isolated): The CD of the smallest feature that can be printed as an isolated feature (typical for logic applications). e) Scaling Limiter(s): The

    physical limit that prevents further reduction of CD without impacting yield. This could be i) fundamental limits as governed by the laws of physics (in contrast to Moore’s

    empirical trend); ii) dimensional limitations to material and transport properties; or iii) process limitations such as purity, viscosity, surface tension, etc. f) Registration (Direct or

    Pre-pattern): The method by which the pattern is aligned to existing features on the substrate. Alignment is direct when the pattern is created with specific reference to key

    markers from a previous pattern, which is typical of top-down methods. Alignment is indirect when a separate pre-patterning step is required to create a template that directs

    nucleation and self-assembly processes, which is typical of bottom-up approaches. g) Defect Criteria: The type/origin of defects that significantly impact yield, e.g., resist pattern

    collapse. h) Technology Roadblocks: Challenges precluding high volumemanufacturability, e.g., adequate etch selectivity for mask pattern transfer into the underlying substrate,

    or thermal stability of self-assembled organic or biological active elements.

    776

    Yellow indicates an area of potential technology improvement andred indicates a major challenge for the technology. Forcomparison purposes, Table 1 compares these exotic techniquesto conventional 193 nm lithography patterning. This comparisontable also highlights that no matter how exotic a patterningtechnique may be, it is clear that some key criteria andperformance metrics must be fulfilled to offer any possibilityof competing or going beyond existing top-down lithography.Some of these include minimum half-pitch, minimum criticaldimensions, scaling limitations, registration, and defect origina-tion. For self-assembly methods, the critical dimensions aremostly limited by the geometric dimensions of the physicalbuilding blocks employed. For example, the adoption ofcomponents such as bacteriophages limits critical dimensionsto 10 nm, which clearly are not suitable for the sub-nanometerregime. More appropriate candidates would include S-layerproteins (3 nm) and DNA building blocks (1 nm). Another aspect

    � 2010 WILEY-VCH Verlag Gm

    of deep concern is process variability/repeatability. Variability ofLER and CD are becoming ever so much harder to control, and itis no surprise that it is the leading cause for chip failures anddelayed production schedules. For instance, conventionallithography with chemically amplified photoresists is a diffu-sion-limited process. However, for the block copolymer system,thermodynamics determines the overall shape and size of thedomains. The block copolymer assembly process is, therefore,able to correct or self-heal for irregularities. One such exampleincludes work by Nealey that demonstrates a LER of 2.22 nmusing a poly(styrene-block-methyl methacrylate) copolymer,shown to be two times better than the LER obtained using thestandard lithography polymer of choice, PMMA.[29] Anotherinteresting example with respect to variability is electriclithography. One of the show stoppers foreseen are its electricalfield fringe effects caused by the mask onto the electric resist.However, the built-in capacitance measurement that comes with

    bH & Co. KGaA, Weinheim Adv. Mater. 2010, 22, 769–778

  • RESEARCH

    NEW

    S

    www.MaterialsViews.comwww.advmat.de

    Figure 10. The architecture level drives the patterning techniques required to realize it. Existingplanar non-regular structures have been conducive to conventional lithography top-downapproaches. Architectures such as CMOL demand both conventional and self-assembly pro-cesses, magnetic dot logic requires sequential patterns, cellular architectures require regularpatterns with nearest neighbor interconnection, and crossbar architecture requires a 3D stack ofbottom-up grown CNTs or nanowires.

    electric lithography (by variation of the electric field potential withrespect to the target substrate) offers an extremely useful in-situmetrology capability that would allow additional control to combatsources of patterning variability.

    Other self-assembly methods are still in their infancy inaccumulating enough quantitative information to conclude onissues such as yield. Although the yield of DNA origami hasincreased in the past few years, registration and control of thepatterns relative to a 2D plane is still improving, likewise fornucleic acid conjugation and S-layer protein methods.

    6. Conclusions

    An area where alternative patterning techniques could benefitand offer an advantage over conventional top-down lithography isin the space of alternative nanoarchitectures for beyond CMOSinformation processing. Such architectures include neuralnetworks (bioinspired architectures) and self-healing faulttolerant approaches. For instance, CNT crossbar assembly bynanosphere dot lithography has been shown useful in photoniccrystals,[30] DNA self-assembly has been shown conducive foractive network-based architectures that are able to overcome

    Adv. Mater. 2010, 22, 769–778 � 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Wein

    imperfect assembly and defects of DNA,[31]

    and the assembly of crossbar architectureshold promise to have superior density proper-ties when fabricated using self-assembledCNTs and nanowires.[32,33] These uniquepatterning benefits have not been capturedin Table 1, but some possible examples of novelarchitecture patterning compatibility are pre-sented in Figure 10. Hybrid architectures suchas (CMOS/molecular) CMOL[34,35] are depen-dant on both conventional and self-assemblyregimes. Here bothmemory and logic could beclosely coupled (neural network) therebyoffering new capabilities and increased per-formance for applications currently limited bythe classic logic–memory (datapath) VonNeumann bottleneck.[36]

    Based on Table 1, it is evident that some keysteps are required towards a useful self-assembled architecture. First, the need to workwith nano-building blocks with the same orsmaller geometric dimensions than that of thecritical dimensions required to be patterned.Second, the need to have desirable materialproperties with respect to both sacrificial andactive self-assembled components. For exam-ple, the need for desirable di-block polymers tocreate necessary features with a minimumdefect density, or the need of virus componentswith desirable electronic properties and criticaldimensions without the requirement for asecond metallization step. Third, the need tomerge patterning with the necessary compo-nent/building block delivery to constructnecessary devices/circuits. Ideally, the self-assembly patterning elements would also have

    an active role within a device to minimize additional componentdelivery requirements.

    In conclusion, it is evident that most alternative patterningtechniques currently may not offer compelling advantages tosucceed conventional top-down lithography for silicon integratedcircuits. However, alternative approaches may well indeed offerfunctional advantages in realizing next-generation information-processing nanoarchitectures such as those based on cellular,bioinsipired, magnetic dot logic, and crossbar schemes.

    Acknowledgements

    This work was supported by the Focus Center Research Program (FCRP)Center on Functional Engineered Nano Architectonics (FENA). We wouldlike to acknowledge inputs from Erik Winfree (CALTECH) and PaulRothemund (CALTECH). In particular, the authors acknowledge theirfruitful collaboration with Dr. Scott Sills and Steven Kramer from MicronTechnology, Inc., which has been integral to their patterning andtemplating benchmark initiative.

    Published online: November 20, 2009

    heim 777

  • RESEARCH

    NEW

    S

    www.MaterialsViews.com

    778

    www.advmat.de

    [1] Semiconductor Industry Association (SIA), International Technology Road-

    map for Semiconductors 2007, www.itrs.net (last accessed October 2009).

    [2] L. P. Ma, W. J. Yang, S. S. Xie, S. J. Pang, Appl. Phys. Lett. 1998, 73,

    3303.

    [3] A. N. Broers, A. C. F. Hoole, J. M. Ryan, Microelectron. Eng. 1996, 32,

    131.

    [4] K. H. Hsu, P. L. Schultz, P. M. Ferreira, N. X. Fang, Nano Lett. 2007, 7, 446.

    [5] Y. Chang, S. Huang, Y. Chen, Small 2009, 5, 63.

    [6] Z. Gu, S. Huang, Y. Chen, Angew. Chem. Int. Ed. 2009, 48, 829.

    [7] C. Radhakrishnan, M. Lo, M. Warrier, M. Garcia-Garibay,

    H. Monbouquette, Langmuir 2006, 22, 5018.

    [8] R. A. Segalman, A. Hexemer, E. J. Kramer, Phys. Rev. Lett. 2003, 91, 196101.

    [9] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

    [10] J. Liu, Y. Mao, E. Lan, D. R. Banatao, G. J. Forse, J. Lu, H. O. Blom,

    T. O. Yeates, B. Dunn, J. P. Chang, J. Am. Chem. Soc. 2008, 130, 16908.

    [11] P. W. K. Rothemund, Nature 2006, 440, 297.

    [12] P. W. K. Rothemund, ICCAD-2005, IEEE/ACM Int. Conf. on Computer-

    Aided Design, November 2005, pp. 471–4478.

    [13] M. R. Arkin, E. D. A. Stemp, R. E. Holmlin, J. K. Barton, A. Hormann,

    E. J. C. Olson, P. F. Barbara, Science 1996, 273, 475.

    [14] J. R. Heath, M. A. Ratner, Phys. Today 2003, 56, 43.

    [15] N. C. Seeman, Ann. Rev. Biophys. Biomol. Struct. 1998, 27, 225.

    [16] N. C. Seeman, Nature 2003, 421, 427.

    [17] C. Dwyer, M. Guthold, M. Falvo, S. Washburn, R. Superfine, D. Erie,

    Nanotechnology 2002, 13, 601.

    [18] M. Zheng, A. Jagota, E. D. Semke, B. A. Diner, R. S. McLean, S. R. Lustig,

    R. E. Richardson, N. G. Tassi, Nat. Mater. 2003, 2, 338.

    [19] F. L. Xu Wang, G. T. Senthil Andavan, X. Jing, K. Singh, V. R. Yazdanpanah,

    N. Bruque, R. R. Pandey, R. Lake, M. Ozkan, K. L. Wang, C. S. Ozkan, Small

    2006, 2, 1356.

    [20] E. Winfree, F. Liu, L. A. Wenzler, N. C. Seeman, Nature 1998, 394, 539.

    � 2010 WILEY-VCH Verlag Gm

    [21] S. Ravindran, S. Chaudhary, B. Colburn, M. Ozkan, C. S. Ozkan, Nano Lett.

    2003, 3, 447.

    [22] X. Wang, F. Liu, G. T. S. Andavan, X. Jing, K. Singh, V. R. Yazdanpanah,

    N. Bruque, R. R. Pandey, R. Lake, M. Ozkan, K. L. Wang, C. S. Ozkan, Small

    2006, 2, 1356.

    [23] Y. Huang, C.-Y. Chiang, S. K. Lee, Y. Gao, E. L. Hu, J. D. Yoreo,

    A. M. Belcher, Nano Lett. 2005, 5, 1429.

    [24] S.-W. Lee, C. Mao, C. E. Flynn, A. M. Belcher, Science 2002, 296, 892.

    [25] S. R. Whaley, D. S. English, E. L. Hu, P. F. Barbara, A. M. Belcher, Nature

    2000, 405, 665.

    [26] S.-K. Lee, D. S. Yun, A. M. Belcher, Biomacromolecules 2006, 7, 14.

    [27] R. J. Tseng, C. Tsai, L. Ma, J. Ouyang, C. S. Ozkan, Y. Yang, Nat.

    Nanotechnol. 2006, 1, 72.

    [28] N. G. Portney, A. A. Martinez-Morales, M. Ozkan, 2008, 2, 191.

    [29] C.-C. Liu, P. F. Nealey, Y.-H. Ting, A. E. Wendt, J. Vac. Sci. Technol. B 2007,

    25, 1963.

    [30] K. Kempa, B. Kimball, J. Rybczynski, Z. P. Huang, P. F. Wu, D. Steeves,

    M. Sennett, M. Giersig, D. V. G. L. N. Rao, D. L. Carnahan, D. Z. Wang,

    J. Y. Lao, W. Z. Li, Z. F. Ren, Nano Lett. 2003, 3, 13.

    [31] J. P. Patwardhan, C. Dwyer, A. R. Lebeck, D. J. Sorin, in Foundations

    of Nanoscience: Self-Assembled Architectures and Devices (Ed. J. Reif)

    ScienceTechnica, Snowbird, UT (USA), 2004, pp. 344–358.

    [32] S. E. Lyshevski, ‘‘Nanotechnology and Super High-density Three-

    dimensional Nanoelectronics and NanoICs’’, presented at IEEE-NANO

    2003, Third IEEE Conf. on Nanotechnology 2003, 2, 655.

    [33] M. B. Tahoori, DFT 2005, 20th IEEE Int. Symp. on Defect and Fault

    Tolerance in VLSI Systems, Boston, October 2005, pp. 3–11.

    [34] K. K. Likharev, ‘‘CMOL: A New Concept for Nanoelectronics’’, presented at

    the 12th Int. Symp. on Nanostructures Physics and Technology, St. Petersburg,

    Russia, June 2004.

    [35] K. K. Likharev, Interface 2005, 14, 43.

    [36] K. L. Konstantin, Microelectron. J. 2008, 39, 177.

    bH & Co. KGaA, Weinheim Adv. Mater. 2010, 22, 769–778