13
1932-4510/19©2019IEEE DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 21 Digital Object Identifier 10.1109/MNANO.2019.2941034 Date of current version: 10 October 2019 A ADVANCES IN PLASMA-PROCESS technology have contributed directly to advances in the miniaturization and integration of semiconductor devices. As semiconductor devices reach the nanoscale domain, however, defects or damage can be caused by charged par- ticles and ultraviolet (UV) rays emit- ted from the plasma, severely impairing the characteristics of nanodevices that have a larger surface than a comparable bulk material. Therefore, it is essential to develop methods for suppressing or controlling charge accumulation and UV damage in plasma processing. The neutral-beam (NB) process I developed suppresses the formation of defects at the atomic-layer level on the processed sur- face, allowing ideal surface chemical reac- tions to take place at room temperature. It is indispensable for creating future innovative nanodevices. In the fabrication of semiconductor devices, reactive plasmas are widely used SEIJI SAMUKAWA BACKGROUND—©ISTOCKPHOTO.COM/IVANASTAR Neutral-Beam Technologies for Novel Nanomaterials and Nanodevices Suppressing the formation of defects at the atomic layer level.

Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

1932-4510/19©2019IEEE DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 21

Digital Object Identifier 10.1109/MNANO.2019.2941034

Date of current version: 10 October 2019

AADVANCES IN PLASMA-PROCESS technology have contributed directly to advances in the miniaturization and integration of semiconductor devices. As semiconductor devices reach the nanoscale domain, however, defects or damage can be caused by charged par-ticles and ultraviolet (UV) rays emit-

ted from the plasma, severely impairing the characteristics of nanodevices that have a larger surface than a comparable bulk material. Therefore, it is essential to develop methods for suppressing or controlling charge accumulation and UV damage in plasma processing. The neutral-beam (NB) process I developed

suppresses the formation of defects at the atomic-layer level on the processed sur-face, allowing ideal surface chemical reac-tions to take place at room temperature. It is indispensable for creating future innovative nanodevices.

In the fabrication of semiconductor devices, reactive plasmas are widely used

SEIJI SAMUKAWA

BA

CK

GR

OU

ND

—©

IST

OC

KP

HO

TO

.CO

M/I

VA

NA

STA

R

Neutral-Beam Technologies for Novel Nanomaterials

and NanodevicesSuppressing the formation of defects at the atomic layer level.

Page 2: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

22 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

in key processes, such as etching, surface modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows control of structures

at the molecular level. For ultraminia-ture nanoscale devices that will become mainstream in the future, however, the use of plasma processes can cause seri-ous problems, such as abnormal etching

and breakdown of insulating films by the accumulation of ions or electrons emitted from the plasma (Figure 1) as well as for-mation of surface defects (e.g., dangling bonds) to depths of more than a few tens of nanometers, caused by exposure to UV emissions [1]–[5]. In particular, because nanoscale devices have a larg-er surface area than a comparable bulk material, plasma processes can have a large influence on the electrical and opti-cal properties of devices through process-induced defects caused by UV exposure. Furthermore, future nanodevices will require size control of 3D structures with high precision and selectivity at the atomic-layer level.

NB-process technology has attracted attention as a way of solving these issues [6]–[18]. An NB suppresses the incidence of charged particles and UV-photon radiation onto the substrate so that the substrate is exposed only to the energy-controlled NB. [The NB’s kinetic ener-gy (KE) can be precisely controlled by the ion-acceleration energy obtained with the applied electric field before neutralization.] In turn, this enables ultraprecise nanoscale processing that can suppress the forma-tion of defects at the atomic-layer level and control surface chemical reactions with high precision. This article reviews the NB-generation technique [8], [9] that I developed and discusses recent work on its applications for atomic-layer etching (ALE) and deposition (ALD).

NB-GENERATION SOURCEA conceptual illustration of the pro-posed NB source, which evolved from a pulse-modulated plasma with an on/off switching time of 50 µs, is shown in Fig-ure 2 [16]. It uses an inductively coupled plasma (ICP) source and has carbon ion acceleration electrodes situated at the top and bottom of the quartz plasma chamber. Gas is introduced from the upper electrode in the form of a shower, and ions accelerated from the plasma pass through apertures (1 mm in diameter, 10 mm long) formed in the lower graph-ite carbon electrode, where the ions are neutralized by colliding with the aper-ture sidewalls. In a plasma modulated by 50-µs pulses, electrons lose energy during the “off” periods and undergo

Electron Ione

eeeeee

e e e

e eee

eeee

eeee

Mask

Mask

SiO2

SiO2

100 nm

100 nm

Defect

UV Photon

P

10 nm

10 nm

Defect Profileson Sidewall

FIGURE 1 An overview of etching-shape abnormalities and surface-defect-generation profiles caused by charge accumulation and UV exposure in PE [35].

Upper Electrode

Lower ElectrodeCarbonAperture

Si Substrate

Stage

RF

RFPlasma

600 kHz

13.56 MHz

FIGURE 2 An NB-generation source developed from new concepts. For the first time, I have achieved a practical neutralization rate and energy by using positive ions generated efficiently by a pulse-modulated plasma. The red circles indicate negative ions, and the blue circles reflect the NB [35].

Page 3: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 23

dissociative attachment with a halogen gas having a large electron affinity [chlo-rine (Cl), bromine, or f luorine]. As a result, even in high-density, low-pressure plasma, an afterglow plasma consisting of both positive and negative ions is formed during “off” periods.

In this case, when the 600-kHz radio-frequency (RF) electric field with dc bias applied to the plasma is varied and the positive and negative ion beams in the Cl plasma pass through the car-bon apertures, almost 100% of the neg-ative ions are efficiently neutralized [8], [9], whereas roughly 70–80% of the positive ions are neutralized [6], [7]. By using the time-dependent Kohn–Sham equations to perform a detailed anal-ysis of the neutralization mechanism [19], it has been found that the nega-tive ions transition into electrons with high probability. This is due to resonant transitions between orbitals with ener-gies close to those of graphite, thus neutralizing negative ions with high probability. On the other hand, positive ions are neutralized less frequently by low-probability electron transitions, due to multistage Auger transitions between orbitals with disparate energy levels. I found that an NB formed by neutral-izing mainly negative ions with a pulse-modulated plasma has higher density and lower energy than one formed with positive ions.

This article introduces the applica-tion of sub-10-nm structure-fabrication technology for devices [12]–[23], a low-k film deposition technique based on con-trol of polymerization reactions at the atomic level [24], and an etching tech-nique for magnetic materials and transi-tion metals that controls oxidation and complexing reactions at the atomic-layer level [25].

UV IRRADIATIONI quantitatively investigated the effects of photon irradiation with a UV lamp dur-ing a high-density Cl NB etching (NBE) process for silicon (Si) [26]. The goal was to understand the pho-ton-wavelength range for enhancement of surface reactions during the Cl-plasma Si etching process. I found that UV light (3 × 1016 photons/cm2·s) from 220 to 380 nm

dramatically enhanced Si surface reactions under the Cl atom beam. This result sug-gests that irradiation with UV photons enhances surface chemical reactions dur-ing Cl plasma-etching (PE) processes.

The UV lamp was set at a position of 90° from the NB source, as shown in Figure 3. I used a short-arc xenon flash lamp (pulse discharge) for irradiation of UV photons on the Si sample sur-face. Figure 4 shows the spectra (from 200 nm to the visible region) irradiated from the lamp to the substrate surface. Higher photon intensity was observed in the UV region from 220 to 400 nm than in the visible region. The power density of the irradiated photons was monitored with a calorimeter and fixed at 38 mW/cm2. The photon irradiation

frequency was fixed at 8 Hz [the “on”-time pulsewidth was 25 ms in full width at half maximum (FWHM)].

Additionally, to clarify the effects of UV and visible photons on the sur-face reactions, I cut UV photons below 380  nm with a UV photon f ilter. As shown in Figure 5, I investigated the effects of photon irradiation on etch-ing depth as a function of the RF bias power and irradiated photon wavelength with and without application of the UV photon filter in the region from 220 to 380 nm. By varying the RF bias power from 0 to 80 W, the Cl beam energy could be controlled from 10 to 100 eV. In this experiment, the Si etching depth was measured by atomic force microscopy (AFM). Irradiation of UV photons (from

NB Source

UV Source

LL200

mm

TMPAperture

220 mm

NB

45°

FIGURE 3 An illustration of an experimental setup combining the developed NB source and a UV lamp [26]. TMP: turbomolecular pump; LL: load lock.

0.90.80.70.60.50.40.30.20.1

0

Spe

ctra

l Irr

adia

tion

(AU

)

200 300 400 500Wavelength (nm)

600 700 800

UV Visible Light

FIGURE 4 The photon spectra (from 200 to 800 nm) irradiated from a short-arc xenon flash lamp to the Si-substrate surface. The photon irradiation power density and pulse frequency ranged from 0 to 50 mW/cm2 (3 × 1016 photons/cm2/s) and 0 to 8 Hz, respec-tively (the “on” time was fixed at 25 ms) [26]. AU: arbitrary units.

Page 4: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

24 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

220 to 380 nm) dramatically increased the etching depth under any RF bias con-dition, whereas irradiation of visible pho-tons did not increase the etching depth under the same Cl beam condition. This result suggests that UV photon irra-diation effectively enhanced the surface chemical etching reactions of Si with Cl. The increase in the etching rate corre-sponds to an increase in the defect den-sity on the Si surface.

In summary, I am the first to find that UV light from 220 to 380 nm dra-matically enhances Cl reactions with Si. These results suggest that UV photon irradiation of the surface dramatically enhances surface reactions during Si etching processes with a Cl NB. I con-sider this to be due to generation of crystal defects by UV irradiation on the Si surface, which means that UV irra-diation plays a very important role in surface reactions, even in the Cl PE pro-cesses. Specifically, this developed NB process can be a mainstream approach for atomic-layer, defect-free, top-down

processes in sub-10-nm nanofabrication, in place of plasma processing.

ATOMIC-LAYER GERMANIUM ETCHINGThe mainstay of group IV semiconductors is Si, the use of which has been sustained. The performance of Si semiconduc-tor devices is limited, however, by short-channel effects in conventional planar-type metal–oxide-semiconductor (MOS) tran-sistors because of the short gate length [27]. In recent years, the 3D fin field-effect transistor (FinFET) has been devel-oped to overcome the short-channel effect problem for highly scaled MOSFETs [28]. Moreover, germanium (Ge) has the poten-tial to replace Si as a new channel material because of its higher carrier mobility [29]. Much attention has been given to Ge Fin-FETs as MOSFETs with promising high-mobility channels. Ge fin structures with very tiny widths of less than 100 nm have already been fabricated [30]–[32].

Unfortunately, Ge channel forma-tion for FinFETs has not been deeply

investigated because Ge etching reactions are not known very well compared with Si etching reactions. For Ge, carrier mobility degradation was observed to result from electron scattering due to sur-face roughness and defects on channel sidewall surfaces etched by convention-al PE [33], [34]. In PE, energetic-ion bombardment and UV-light irradiation caused surface defects and surface rough-ness. Large side etching on the Ge sidewall also occurred. As a result, the channel width and taper angle of the fin structure could not be controlled. The sidewall defects, roughness, and undercut profile thus degrade the Ge carrier mobility and current–voltage characteristics. To achieve higher-performance electrical character-istics without compromising the intrin-sic high carrier mobility of Ge, future sub-10-nm Ge FinFETs will require atomic-layer, defect-free, roughness-free, profile-controlled etching [17].

My developed NBE technique is an appropriate method for solving such prob-lems because it can achieve defect-free, roughness-free etching without irradiation of any charged particles or UV and vacu-um UV (VUV) photons from the plasma. I have already successfully applied NBE in Ge FinFET fabrication, and excellent device performance was achieved [30].

The etching characteristics of Ge for 3D FinFETs by using pure Cl2 gas chemistry were thus investigated by com-paring the NBE and PE methods [17], [32]. The NB system consisted of an ICP source and a carbon aperture plate where energetic ions are effectively converted into an NB. The high-aspect-ratio (e.g., 10) carbon aperture could neutralize the negative and positive ions in the plas-ma, while their KE was maintained by applying RF bias power (600 kHz). By changing the aspect ratio of the carbon aperture from 0.7 to 10, I could control the neutralization ratio (from 0% to more than 95%, respectively) and the UV irra-diation intensity (from 100% to nearly 0%) on the sample substrates. The effects of different aspect ratios on the carbon aperture have previously been reported in [17] and [30]. The numbers of radicals and energetic particles (either acceler-ated ions or an NB) passing through the aperture to the surface depend on the

200

180

160

140

120

100

80

60

40

20

0

Etc

hing

Dep

th (

nm)

0 0.5 1 1.5 2 2.5 3Vpp (kV)

NB

NB + Photon

0 20 40 60 80CI Atom-Beam Bias Power (W)

Etching Time: 20 minLuminescence: 50 mW/cm2

FIGURE 5 The effects of photon irradiation (power: 38 mW/cm2, frequency: 8 Hz) on etching depth as a function of RF bias power during a Cl NBE process. The irradiated photon wavelength was also changed by applying a UV photon filter. In this experiment, UV photons below 380 nm could be eliminated with the filter [26]. Vpp: peak-to-peak voltage.

Much attention has been given to Ge FinFETs as MOSFETs with promising

high-mobility channels.

Page 5: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 25

aperture ratio. Both apertures had the same ratio of 50%. Under this condition, the difference between the two tech-niques was only the UV/VUV photon irradiation on the surface. In the case of using a low aspect ratio of 0.7 for the carbon aperture, the conditions for par-ticle irradiation almost corresponded to the conventional high-density PE (i.e., “plasma mode”).

The Ge fin structures were etched by using SiO2 hard masks (HMs), which were patterned by electron-beam lithog-raphy or a nanoimprint technique and etched by conventional ICP etching processes. Both single-crystal Ge wafers and Ge-on-insulator (GeOI) wafers were used, as shown in Figure 6. The sample substrate was set on a cooled stage whose temperature was varied from –20 to 150 °C. The etching characteristics of the Ge were evaluated by changing the sub-strate temperature. To compare the etch-ing characteristics and damage for NBE with those for PE, some samples were etched by using the plasma-mode con-figuration, in which the low-aspect-ratio carbon aperture plate (aspect ratio: 0.7) had the same open area as in the NBE configuration. In plasma-mode operation (i.e., the pseudo-PE condition), the sam-ple substrates were immersed in an after-glow plasma, which passed though the low-aspect-ratio apertures where the UV light and charged particles (electrons and ions) existed. For this article, the gas flow rate was fixed at 40 standard cm3/min. The gas pressures in the plasma source and etching chamber were 1 and 0.09 Pa, respectively. The ICP source power was fixed at 400 W. The pulse time modula-tion had a cycle time of 100 µs and a duty ratio of 50%. The bottom electrode bias operated at 600 kHz and 18 W.

Figure 7 shows the etching-rate depen-dence for Ge, Si, and SiO2 by using NBE [Figure 7(a)] and PE [Figure 7(b)] on the substrate at temperatures from –20 to 150  °C. The SiO2 etching rate was observed as a reference because it roughly corresponds to the physical bombard-ment energy (ion energy and NB energy). The SiO2 etching rate showed that the physical bombardment energy was almost the same under all conditions. Basical-ly, my previous reports revealed that the

etching yield of Ge with Cl+ ions was three times higher than that of Si with Cl+ ions because the Cl absorption layer on the Ge surface was thicker than that on the Si surface [14], [15]. For both NBE and PE, the Ge etching rate was almost three times higher than the Si etching rate regardless of the temperature. These results correspond reasonably well to the etching yield. Additionally, the etching rate of Ge by PE was drastically increased compared with that by NBE.

In both processes, the energetic par-ticles, such as accelerated positive ions and NBs, have almost the same flux and bombardment energy. In the case of the PE process, however, UV/VUV photons were also irradiated onto the Ge surface. This caused higher-density defect gen-eration on the Ge surface by UV/VUV photons from the plasma. Consequently, this result suggests that surface-defect generation enhances the surface chemi-cal react ion with Cl. Furthermore,

60

50

40

Etc

hing

Rat

e (n

m/m

in)

Etc

hing

Rat

e (n

m/m

in)

30

20

10

0

Ge Ge

Si Si

SiO2 SiO2

–50 0 50 100 150 200Temperature (°C)

(a)

–50 0 50 100 150 200Temperature (°C)

(b)

0

50

100

150

200

FIGURE 7 The etching rates of Ge, Si, and SiO2 with (a) NBE and (b) PE as a function of sub-strate temperature from –20 to 150 °C. The SiO2 etching rate was observed as a reference because it roughly corresponded to the physical bombardment energy, such as that from the ions and NBs [17].

CI2 NBs

HM

GeFinGe

HM

Box

Si

Box

Si

FIGURE 6 A schematic illustration of a fabrication process for Ge fin structures on a GeOI wafer [17].

I have already successfully applied NBE in Ge FinFET fabrication, and excellent device

performance was achieved.

Page 6: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

26 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

the activation energy of the Ge etch-ing reaction for PE [activation energy (Ea) = 0.008 eV] seemed reduced to almost half that for NBE (Ea = 0.016 eV) by the UV/VUV irradiation, whereas the activation energy for Si in PE (Ea < 0.004 eV) was almost the same as that in NBE

(Ea < 0.004 eV). Owing to the thick chlorinated layer on the Ge surface, the etching reactivity is more sensitive to defect generation on the surface as com-pared with the Si surface.

Figure 8 shows the sidewall-etching rate of a Ge Fin structure for NBE and PE under temperatures from –20 to 150 °C. In the case of NBE, sidewall etching did not occur at –20 and 30 °C. On the other hand, the sidewall-etching rate for PE was 8.7 nm/min at –20° C. These results suggest that the UV/VUV irradiation induced defects on the etching surface and, thus, enhanced isotropic etching. The activation energy of sidewall etching for NBE was 0.22 eV from 90 to 150 °C. At a substrate temperature of less than 90  °C, sidewall etching did not occur. Therefore, the activation energy of side-wall etching for NBE at low temperature was infinite. Conversely, the activation energy of sidewall etching for PE was also calculated, and it was 0.043 eV even at the lowest substrate temperature of –20  °C.

The activation energy of sidewall etching for PE was, therefore, drastically reduced by the UV/VUV irradiation. Therefore, NBE could successfully achieve vertical etching without any sidewall etching.

Figures 9 and 10 show SEM ob -servations of the Ge Fin structures obtained with NBE and PE, respectively, at each temperature. As shown in Fig-ure  9, NBE could obtain highly aniso-tropic Ge fin structure etching even over a wide temperature range from –20 to 150  °C. Conversely, as shown in Fig-ure 10, PE clearly enhanced side etching on the Ge sidewall surface, even when the sample was cooled to –20  °C. In the case of 150 °C, strong undercutting and bowing occurred under the mask. On the Ge sidewall surface, in the case of PE, higher chemical reactivity and spontaneous reactions were caused by Cl radicals. Therefore, I found that the Ge etching reaction is more sensitive to surface-defect generation and that defect generation on the Ge sidewall caused by UV/VUV photons must be eliminated for future nanoscale Ge fin structures.

These distinctive characteristics of Ge etching by a Cl NB suggest that the etching reaction is limited by saturated adsorption coverage of Cl on the Ge surface and desorption of the byprod-uct GeCl .4 That is, the etching reaction occurs only when the chlorinated surface is bombarded by an energy-controlled NB. As the surface chlorination cover-age and etching yield of Ge are much larger than those of Si, the etching rate of Ge seems much higher than that of Si. Because GeCl4 has a smaller vapor pres-sure than SiCl4 [17], the chlorination density on the Ge surface is much higher than that on the Si surface. Hence, the chlorinated Ge surface is effectively etched off only by incident collimated Cl-NB bombardment with a certain KE. As a result, undercutting of a Ge fin struc-ture can be almost eliminated by using Cl NBE. Conversely, as shown in Fig-ure 10, PE causes large undercutting of the Ge fin structure beneath the HM when the ion-bombardment energy is the same as the NB bombardment energy. The undercut profile is almost the same as the defect-generation layer caused by irradiating UV/VUV photons from the

200 nm

(a) (b) (c) (d)

FIGURE 9 Some cross-sectional scanning electron microscopy (SEM) images of Ge fin struc-tures obtained by NBE for various substrate temperatures from −20 to 150 °C [17]: (a) −20 °C, (b) 30 °C, (c) 90 °C, and (d) 150 °C.

200 nm

(a) (b) (c) (d)

FIGURE 10 Some cross-sectional SEM images of Ge fin structures obtained by PE for various substrate temperatures from –20 to 150 °C [17]: (a) –20 °C, (b) 30 °C, (c) 90 °C, and (d) 150 °C.

Sid

ewal

l Etc

hing

Rat

e (n

m/m

in)

PE

NBE

–50 0 50 100 150 200Temperature (°C)

0

5

10

15

25

20

FIGURE 8 The sidewall etching rate of a Ge Fin structure with NBE and PE as a func-tion of substrate temperature from –20 to 150 °C [17].

For both NBE and PE, the Ge etching rate was almost three times higher than the Si etching

rate regardless of the temperature.

Page 7: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 27

plasma [5], [14], [15]. Hence, I speculate that the UV/VUV photons also enhance desorption of the chlorination layer on the Ge surface. These photons are isotropi-cally irradiated on the etched sidewall sur-face. As a result, isotropic etching occurs in the case of conventional PE, whereas anisotropic etching occurs with NBE. The cause of the better directionality is not the irradiated UV/VUV photons on the sample surface. For these results, I found that vertical, smooth sidewalls for high-performance Ge FinFETs were suc-cessfully obtained by using my NBE tech-nique as compared with PE.

FABRICATION OF ATOMIC-LAYER DEFECT-FREE NANOSTRUCTURES It has been suggested that Moore’s law will break down by 2020 and that we will reach the physical limits of tran-sistor operation. Therefore, work is underway in several countries to devel-op nanodevices based on new princi-ples using quantum effects. To fabricate quantum-effect devices, it is essential to form defect-free nanostructures (dots and wires) with precision down to the atomic-layer level. Two approaches to the formation of quantum nanodots have hitherto been studied—a top-down approach that uses processes, such as PE, and a bottom-up approach that uses self-organization techniques based on pro-cesses, such as molecular beam epitaxy.

In top-down processing using a plasma, however, the plasma emits UV rays, and electrical charges accumulate at the sub-strate surface. This reduces the selectivity of the mask and the underlying substrate material and leaves a high density of defects deep within the processed sur-face, thus limiting processing to dimen-

sions of several tens of nanometers. On the other hand, although bottom-up processing has fewer problems related to defects and the like, the growth process involves lattice strains. Thus, it has prob-lems, such as nonuniformity and stress deformation of the arrangement and structure of the nanodots, which means

100 nm 100 nm

100 nm100 nm

(a) (b)

(c) (d)

FIGURE 12 An SEM image of defect-free ND structures (diameter: 7 nm) formed with a uniform density and regular arrangement by using ferritin-based cores arranged as an etching mask for (a) Si, (b) Ge, (c) GaAs, and (d) graphene [35].

–7 nm

–12 nm

Ferritin Iron Core

NanodiskDiameter 13 nm

Thickness1–3 nm(a)

(b)

(c)

FIGURE 11 The NBE process using the biological supermolecule ferritin (a protein), whose self-organizing properties result in 2D crystallization. (a) The etching mask was made from iron oxide cores encapsulated within the ferritin molecules. By using such cores with (b) a diameter of 7 nm, arranged as an etching mask, I can form defect-free ultrafine structures with (c) a size of less than 10 nm [35].

Page 8: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

28 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

that quantum effects can be achieved only with a limited range of materials and structures. To deploy these struc-tures in nanodevices, we must be able to fabricate nanostructures without relying on more accurate materials.

Therefore, I have proposed and am researching the formation of quantum nanodots of less than 10 nm by means of a top-down process using a low-energy NB capable of defect-free processing. An advan-tage of the top-down process is that it can form nanostructures with an arrangement that can be uniformly controlled no mat-ter what combination of materials is used. Instead of using photolithography, I used

a biotemplate, as proposed by Yamashita et al. [20], as an etching mask with dots of a few nanometers.

As shown in Figure 11, the biologi-cal supermolecule ferritin (a protein) has a diameter of 12 nm and a 7-nm internal cavity [35]. There is a nega-tive charge inside this cavity, and when ferritin is put into a solution contain-ing dissolved iron (Fe) ions, these ions are introduced into the cavities of fer-ritin molecules to form iron oxide cores. These cores are 7 nm in diameter. Fer-ritin molecules containing these cores were selectively placed in a 2D arrange-ment on a silicon oxide f ilm, and the

protein was then removed by UV/ozone or heat processing, leaving behind the 7-nm cores on the substrate to serve as an etching mask [21].

Finally, a Cl-based NB can etch any kind of surface material by using the etching mask consisting of 7-nm iron oxide cores. I am using this process to develop quantum-effect devices with a quantum nanodisc (ND) structure. An ND is a nanoscale cylindrical structure with a height (thickness) smaller than its diameter. Figure 12 shows ND struc-tures fabricated in this way of Si, Ge, gallium arsenide (GaAs), and graphene, with diameters of approximately 10 nm

1

0.8

0.6

0.4

0.2

0

PL

Inte

nsity

(A

U)

Inte

nsity

(A

U)

1.5 1.6 1.7

Photon Energy (eV) Energy (eV)

(b) (c)

1.8

29 meV35 meV

1.617 eV 1.642 eV

4 nm8 nm

7,000

6,000

5,000

4,000

3,000

2,000

1,000

01.78 1.785 1.79 1.795 1.8

10 K

100 K

300 K

Excitation Wavelength: 532 nm

10-nm Graphene Nanodisk Array

Emission Spectra of GaAs-NDλexc = 400 nm, Pulse = 6,000

0 5 10Thickness (nm)

15

2.5

2

1.5

1

0.5

Ban

dgap

(eV

)

AIGaAs

Si

Ge

10 nm

2–10

nm

(a)

FIGURE 13 (a) The variation of bandgap energy with disk thickness in ND structures of Si, Ge, graphene, and AlGaAs and the PL of (b) GaAs and (c) graphene ND structures [35].

Page 9: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 29

[35]. As the f igure shows, sub-10-nm quantum NDs were formed in an array configuration with uniform spacing.

Figure 13(a) shows the precise con-trol of bandgap energy in these ND structures with different materials when varying the thickness of the dots but keeping the diameter f ixed at 10  nm. Figure 13(b) and (c) also shows the pho-toluminescence (PL) from GaAs/alu-minum gallium arsenide (AlGaAs) and graphene ND array quantum dots. We can observe that the bandgap could be controlled over a wide range with high precision by varying the ND size and material [23], [35]. No other quantum-dot-fabrication technique can offer this kind of f lexible, precise bandgap con-trol. Also, this is the first time PL has been observed from GaAs quantum dots fabricated by a top-down process. This light originated from the quantum dots themselves and not from defects [23], [35]. These results show that the ben-ef its of the top-down process can be achieved for any material, with defects fully suppressed at the surface interface of sub-10-nm quantum dots formed by an NB process. I am currently develop-ing a high-efficiency quantum-dot solar

cell and a quantum-dot laser with a flex-ible band structure [22], [23], [35].

CONTROLLING SURFACE CHEMICAL REACTIONSFor future atomic-layer processes, such as ALE and ALD, my developed NB process will be essential to improving atomic-layer-based pure surface reac-tions. This is because the UV photon irradiation from plasma obstructs such pure reactions and promotes multilayer chemical reactions due to surface-defect generation. As a result, it is impossible for conventional plasma processes to achieve control of atomic-layer chemical reactions. I introduce pure atomic-layer processes using my developed NB tech-

nique. Specifically, to confirm the real atomic-layer reactions induced by this technique, I consider a polymerization reaction for low-k material deposition and a complexing reaction for transition metal etching [24], [25].

CONTROLLING MOLECULAR STRUCTURES IN FILM GROWTHAs semiconductor integrated circuits are scaled down to produce devices with higher processing speeds, the parasitic capacitance of the interlayer dielectrics between metal electrodes becomes increasingly problematic. This capacitance has caused pronounced problems, whereby signals inside the devices are delayed by the effects of

2.5

2.4

2.3

2.2

2.1

2

1.9

The

oret

ical

Die

lect

ric C

onst

ant

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3CH3

CH3

OO

OSi

SiO O O O

Si Si Si

0 1 2 3 4

Number of Monomer of Polymer (O–SiMe2–O)

(c)

Vm and a are calculated by ab initioDFT (B3LYP/tzv).

Clausius–Mosotti Formula

Dielectric Constantk = (1 + 2 K )/(1 – K )

K = 4π/3 × α /Vm

α : Polarizability

Vm: Molecular Volume

(a)(b)

FIGURE 14 The results of calculating the dipole moments of different molecular structures and their ideal dielectric constants: (a) a highly sym-metrical SiOCH molecule structure, (b) an SiOCH molecule structure with low symmetry, and (c) the theoretical dielectric constants of SiOCH molecular structures [24]. Me: methane.

To fabricate quantum-effect devices, it is essential to form defect-free nanostructures

(dots and wires) with precision down to the atomic-layer level.

Page 10: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

30 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

the interconnections (i.e., resistance–capacitance delay). The parasitic capac-itance between interconnections can be reduced by decreasing the dielec-tric constant of the interlayer insulation film or by reducing the resistance of the interconnection metal. In particular, the material SiOCH (a silicon oxide film with added carbon) is used as an interlayer insulation f ilm, and pores are introduced into the film to reduce its dielectric constant. Such introduc-tion of pores is known, however, to impair a f ilm’s mechanical strength, whereby peeling of the film during the interconnection process can become a serious issue. Various other problems can also arise, including an increase in

the dielectric constant owing to plasma damage after the interconnection stage or degradation of the insulating proper-ties by diffusion of metal from the heat-ing process. Therefore, this approach of introducing pores is impractical, and it is essential to find some way of reduc-ing the dielectric constant in the film without forming pores. I aim to achieve a low dielectric constant without pores by using an NB to control the molecu-lar structure with high precision [24].

Figure 14 shows the results of theoretical calculations of the dipole moments of two small-scale SiOCH molecules—one with a highly symmetri-cal structure [Figure 14(a)] and one with low symmetry [Figure  14(b)]. As the

sum of the molecular dipole moments is reflected in the material’s polarizabil-ity, it is possible to gain insight into the optimal molecular structure of SiOCH by finding the dipole moments of small-scale molecules. In these calculations, I estimated the dipole moments by using the B3LYP density function (based on first principles) and the 6–31 G(d) basis set for molecular orbitals to optimize the molecular structure and analyze the vibration frequencies. From the results of Figure 14, Y. K ikuchi et al. [24] could reduce the dipole moment by a factor of approximately seven for a struc-ture having low symmetry as compared with a structure having high symmetry. Y. Kikuchi et al. [24] also calculated the theoretical dielectric constant of SiOCH molecules with high symmetry. As shown in Figure 14(c), the calcu-lated dielectric constants suggest that a low value of approximately two can be achieved, even with a large molecu-lar structure. From these calculat ions, Y.  Kikuchi et al. [24] expect that the dielectric constant can be reduced with a nonporous structure if it is possible to increase the symmetry of the molecular structure inside the SiOCH film.

To control the molecular structure in a thin film, it is necessary to polym-erize the film while directly ref lecting the molecular structure of the materia l gas inside the f i lm. In th is study, Y. Kikuchi et al. [24] used an argon (Ar) NB to form a nonporous SiOCH film. Normally, it is very difficult to maintain the molecular structure of the material gas in a plasma, which undergoes exces-sive decomposition in the gaseous phase and at the substrate surface because of exposure to UV light and charged par-ticles. Therefore, it is difficult to control the chemical reactions at the substrate surface, making it impossible to control the molecular structure of the deposited film. On the other hand, when using an NB, it is possible to excite and polymer-ize the material gas with the KE of the Ar NB while maintaining the molecular structure of the material gas introduced into the lower deposit ion chamber. The Ar NB is generated through a car-bon plate with many apertures. There-fore, a large, easily tunable NB can be

SiC SiO2-C2

C-C

Methyl-MethylLinking

Si-C4 SiO-C3SiO3-C

280 281 282 283 284 285 286 287 288 99 100 101 102Binding Energy (eV) Binding Energy (eV)

(a) (b)

103 104 105

FIGURE 15 The XPS spectra of films formed by NB excitation deposition to achieve ultralow dielectric constants: (a) the C 1s spectrum and (b) the Si 2p spectrum [24].

A comparison of the properties of SiOCH films deposited by plasma-vapor deposition and NB excitation [24].

PARAMETER METRICPOROUS SiCO BY PECVD

NONPOROUS SiCO BY NBECVD

k-Value Hg probe 2.6 2.2

Modulus (GPa) Nanoindenter 6 11.7

Density (g/cm3) XRR 1.27 1.54

Pore size (nm) Small-angle x-ray scattering (SAXS)

1.2 Not detected

T A B L E 1

I am currently developing a high-efficiency quantum-dot solar cell and a quantum-dot laser

with a flexible band structure.

Page 11: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 31

generated to cut UV light and electrons from the plasma off completely at the carbon aperture plate.

In this study, the Ar NB was irradi-ated onto a Si-wafer surface while a pre-cursor to the surface was directly injected in a chemical-vapor-deposited (CVD) process chamber. Y. Kikuchi et al. [24] used a precursor of dimethoxy-tetra-methyl-disiloxane (DMOTMDS), which has four Si CH3- and two SiO CH3- bonds. The O CH3- and Si CH3- bonds have 8 and 14 eV of bonding energy, respectively. Thus, for polymerizing the DMOTMDS, the Ar NB was tuned to 10 eV to cut only the O CH3- bonds and make Si–O–Si chains to keep the Si–CH3 bonds in the film [22]. Y. Kiku-chi et al. [24] also produced a sample of SiOCH by the ordinary PECVD method for comparison with the SiOCH deposited by NBECVD. Table 1 lists the properties of these two films. Y. Kiku-chi et al. [24] used mercury probes to evaluate the electrical characteristics, small-angle x-ray scattering (SAXS) to evaluate the porosity, a nanoindenter to evaluate the mechanical strength, and x-ray reflectivity (XRR) to evaluate the film density. Although no porosity was detected in the NBECVD SiOCH, its dielectric constant was lower than that of the PECVD SiOCH. Also, because of the lack of pores, the NBECVD SiOCH had greater mechanical strength and film density [24].

Y. Kikuchi et al. [24] also used x-ray photoelectron spectroscopy (XPS) to evaluate the detailed f ilm structure. When it had a polymethylsiloxane (PMS) structure, the C:Si and O:Si ratios in the film were 2:1 and 1:1, respectively. By examining the composition of the film by XPS, Y. Kikuchi et al. [24] found that the NBECVD SiOCH had a C:Si ratio of 2 and an O:Si ratio of 1.5. On the other hand, the PECVD SiOCH had a C:Si ratio of 0.6 and an O:Si ratio of 1.6. These results suggest that PMS grew in the NBECVD SiOCH film. The oxygen ratio, however, suggests the formation of an oxygen-rich network of Si atoms by these chained molecules in addition to the PMS structure.

Hence, for the NBECVD SiOCH, Y. K ikuchi et al. [24] analyzed this

molecular structure in detail by using the carbon C 1s spectrum and Si 2p spec-trum, as shown in Figures 15(a) and (b), respectively, to examine the bonding states of C and Si. In the C 1s spec-trum, Y. Kikuchi et al. [24] measured peaks at 282.3 eV for Si–C bonds and at 284.5 eV for C–C bonds. The C–C bonds indicate cross-linking between the methyl groups bonded to the Si atoms. In the Si 2p spect rum, Y. Kikuchi et al. [24] observed multiple peaks at 101.5, 102.5, and 103.5 eV, which are attributed to the 2SiO C ,2-

,SiO C3- and Si O4- bonds, respective-ly. The largest Si 2p peak was observed at 102.5 eV, indicating the growth of PMS inside the film. These results show that the NBECVD SiOCH f ilm structure mainly consisted of PMS chain growth

with Si–O and C–C bonds forming a network structure between the chain molecules. Accordingly, the process resulted in SiOCH that was nonporous yet had a low dielectric constant and high mechanical strength. The NB pro-cess is, thus, a technique for thin-film deposition in that, unlike conventional methods, it enables ideal bonding reac-tions with a controllable molecular structure to take place in a vacuum and at low temperatures.

ETCHING OF TRANSITION-METAL MAGNETIC MATERIALS In conventional memory devices, such as flash memories and dynamic random-access memory (DRAM), data are stored by using electrons inside memory cells. In a magnetoresistive RAM (MRAM)

(1)

(2)

Low-Temperature (<300 °C) Oxidation Reaction

Metallic-Complex Reaction (No Dissociation of Process Gas)

Metal + O + KE MeOxe.g., 2Ta + 5O + 12eV

+ 5O + 12eV

Ta2O5

H

Ta

Ta

Ta

MeOx + C2H5OH + KE (EtO)xMe

e.g., Ta2O5 + C2H5OH + 1.5eV Ta[(O(C2H5)]5C2H5 C2H5

+ 1.5eV+

Items Ta Ta[(O(C2H5)]5

Vapor Pressure at 23 °C (Torr) 0.3 at TaCI5 43.1

Ab initio Calculation:DFT = B3LYPBasis Set: Ta = LANL2DZ;

Software: Gaussian09C, H, O = 6–31 G(d )

KE

Before Metal Etching After Metal Etching Titled ImageResist

Resist

HM HM HM

HM HM

Ru Ru Ru

Si

Si

Pt Pt

(b)

(a)

(c)

FIGURE 16 (a) The mechanism of transition-metal etching by metal oxidation and complexing reactions, together with actual etched shapes: (b) Ru and (c) Pt [25]. Ta: tantalum.

Page 12: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

32 | IEEE NANOTECHNOLOGY MAGAZINE | DECEMBER 2019

storage device, data are stored by using the same sort of magnetic material found in hard disks and similar devices. Such a device operates by using the tunnel magnetoresistance effect whereby the resistance of a layer of magnetic mate-rial sandwiched between two layers of insulating material a few atoms thick is changed by varying the direction of a magnetic field applied from both sides (i.e., by the orientation of the magnet-ic f ield lines). An MRAM device can achieve address-access times of approxi-mately 10 ns and a cycle time of approxi-mately 20 ns, which is about five times faster than DRAM, and it can read and write at about the same speed as static RAM (SRAM). MRAM also consumes about one tenth as much power as flash memory and can be densely integrated. By offering such benefits, highly versatile MRAM devices are expected not only to replace SRAM and DRAM devices but also to contribute to energy savings and mitigate temporary power outages.

One of the biggest problems with MR AM devices, however, is the dif-ficulty of processing the transition met-als and magnetic films that are used as the storage medium. The production of MRAM requires stacking up transition metals, such as tantalum (Ta), rutheni-um (Ru), and platinum (Pt), and mag-netic materials, such as cobalt, nickel, and Fe in layers just a few nanometers thick. These materials have poor volatil-ity, however, and can be processed only by sputtering, using physical energy in the case of PE. As a result, instead of obtaining a processed vertical shape, undesirable phenomena occur, such as redeposition of etching products on the sidewalls. This imposes limits on the degree of miniaturization and integra-tion that can be achieved with these devices in the case of PE. Furthermore,

the use of high-temperature PE to improve the volatility of these materi-als causes other problems by impairing their magnetic properties.

Conventional PE processes have already been applied to most of the mate-rials used in semiconductor processing, and their reaction mechanisms are well understood. Transition metals and mag-netic materials, however, react with the halogen plasmas that are normally used, resulting in inadequate volatility and the formation of residual halide compounds after the etching process, leading to metal corrosion. Also, because a high substrate temperature is needed to evaporate the reaction products, the thermal history causes the magnetic properties to dete-riorate [33], [34]. On the other hand, in ion-milling methods using physical sput-tering with Ar ions, these materials have poor selectivity relative to the mask, and sputtered atoms become attached to the etched sidewalls so that precise processing is difficult to achieve in the first place.

To resolve these problems, I have pro-posed a method that can bring about chemical reactions at low temperatures with good efficiency. This method uses atomic-layer oxidation and complexing reactions [25] that are completely dif-ferent from conventional chemical reac-tions. According to a computational analysis, NB processing can bring about surface reactions at the ideal atomic-layer level. The key point of this process is that it is predicted to oxidize the surface before supplying ligands to the transition metal or magnetic material to achieve a metal-complexing reaction according to the B3LYP density functional (based on calculation from first principles) and the 6–31 G(d) basis set for molecular orbit-als. Normally, the metal’s solid surface has a densely packed crystalline struc-ture, so it is known to be very difficult

to cause a metal-complexing reaction directly there without spatial spread-ing to facilitate ligand access. On the other hand, metal oxides have larger bond lengths than the crystalline lattice constant as well as lower densities, so it should be possible to provide enough space for the ligands to gain access. Oxi-dation of transition metals and magnetic materials, however, normally requires temperatures of 300–800 °C when using ordinary thermal processes. In particular, it is known that Pt does not oxidize, even under these conditions.

Therefore, I developed an NBE process that can enable consistent oxidation and metal-complexing reactions. It does this by pre-exposing the metal and semicon-ductor to obtain metal oxide via an oxygen NB. I have verified that this process can form high-quality oxides at low tempera-tures and at the same time provide access for ligands (ethanol and others) that pro-mote the metal-complexing reaction.

In my actual experiment, O2 or Ar gas was introduced into the plasma chamber and excited by an ICP source. Accelerat-ed Ar+ or O2

+ ions then passed through the aperture with high flux (more than

/ ).6 10 cm s14 2# Highly neutralized (neutralization efficiency > 90%) Ar or O2 NBs were efficiently formed by maintain-ing the KE in the range of 10–100 eV. Next, ethanol ( ,C H OH2 5 also written as EtOH) gas was directly injected into the process chamber under the aperture with-out any dissociation in the generated NB. The gas was directly absorbed on the mate-rial surface at a temperature below room temperature. Finally, the etching of the transition metals was investigated by com-bining the effects of the surface oxidation of the metals and the metallic complexing reaction due to the /Ar O2 NB bombard-ment on the surface with EtOH absorbed. In principle, this should allow the metal-complexing reaction to proceed at room temperature with the KE of the O2 NB.

Figure 16 shows the reaction energies and etching shapes obtained from the oxi-dation and complexing reactions of transi-tion metals, such as Ta, Ru, and Pt [25]. The oxidation and complexing reactions proceeded even for Pt, which normally is difficult to oxidize. Furthermore, because ideal shapes were formed, matching the

Studying how to apply this technique to form ultrathin films and reform inorganic surfaces

is currently underway.

Page 13: Neutral-Beam Technologies for Novel …...modification, and film deposition. There is now demand for precise processing at the atomic-layer level and for deposition accuracy that allows

DECEMBER 2019 | IEEE NANOTECHNOLOGY MAGAZINE | 33

shape of the mask, the results verified that the proposed NB process could induce the oxidation and complexing reactions. I also found that the oxidation and com-plexing reactions used in NBE caused absolutely no deterioration of the mag-netic properties, unlike in PE [25].

CONCLUSIONThis article reviewed the research on fabricating cutting-edge nanodevices by using NBs. The advanced nanodevices of the future will require ideal surface chemical reactions that do not cause sur-face defects and can be controlled at the atomic-layer level. The proposed NB pro-cess is an intelligent nanoscale process that completely suppresses the UV rays and electrically charged particles emitted from a plasma. Therefore, it can achieve ideal surface atomic-layer reactions in agree-ment with computational analysis. Study-ing how to apply this technique to form ultrathin films and reform inorganic sur-faces is currently underway, and the hope is that it will make a significant contribu-tion to the development and implementa-tion of new devices in the future.

ACKNOWLEDGMENTSI would like to acknowledge the assis-tance on quantum NDs given by Prof. Ichiro Yamashita of the Nara Institute of Science and Technology, Prof. Aki-hiro Murayama of Hokkaido University, Prof. Kohei Ito of Keio University, and Prof. Akio Higo of Tohoku University’s Advanced Institute of Material Research. This study was performed jointly with Dr. Yoshiyuki Kikuchi, Dr. Xun Gu, and Dr. Toshihisa Nozawa of Tokyo Electron Ltd. It was partly commissioned by the Japan Science and Technology Agency and received funding via the CREST Project and the Revitalization Program. Thank you to everyone involved.

ABOUT THE AUTHORSeiji Samukawa ([email protected] .ac.jp) is with the Advanced Institute for Materials Research and Institute of Fluid Science, Tohoku University, Sen-dai, Miyagi, Japan.

REFERENCES[1] T. Nozawa, T. Kinoshita, T. Nishizuka, A. Narai,

T. Inoue, and A. Nakaue, “The electron charg-

ing effects of plasma on notch profile defects,” Jpn. J. Appl. Phys, vol. 34, no. 4B part 1, p. 2107, 1995. doi: 10.1143/JJAP.34.2107.

[2] J.-P. Carrere, J.-C. Oberlin, and M. Haond, “Topographical dependence of charging and new phenomenon during inductively coupled plasma (ICP) CVD process,” in Proc. IEEE Int. Symp. Plasma Process-Induced Damage, 2000, p. 164.

[3] T. Dao and W. Wu, “Charging of underlayer at via etch causing slow down in oxide etch rate,” in Proc. IEEE Int. Symp. Plasma Process-Induced Damage, 1996, p. 54.

[4] M. Okigawa, Y. Ishikawa, Y. Ichihashi, and S. Samu-kawa, “Ultraviolet-induced damage in fluorocarbon plasma and its reduction by pulse-time-modulated plasma in charge coupled device image sensor wafer processes,” J. Vac. Sci. Technol. B Microelectron. Nanometer. Struct. Process. Meas. Phenom., vol. 22, no. 6, p. 2818, 2004. doi: 10.1116/1.1827219.

[5] B. Jinnai, S. Fukuda, H. Ohtake, and S. Samu-kawaa, “Prediction of UV spectra and UV-radi-ation damage in actual plasma etching processes using on-wafer monitoring technique,” J. Appl. Phys., vol. 107, no. 4, p. 043302, 2010. doi: 10.1063/1.3313924.

[6] T. Mizutani and S. Nishimatsu, “Sputtering yield and radiation damage by neutral beam bom-bardment,” J .Vac. Sci. Technol. A, vol. 6, no. 3, p. 1417, 1988. doi: 10.1116/1.575717.

[7] F. Shimokawa, “High-power fast-atom beam source and its application to dry etching,” J. Vac. Sci. Technol. A, vol. 10, no. 4, p. 1352, 1992.

[8] S. Samukawa, K. Sakamoto, and K. Ichiki, “High-efficiency neutral-beam generation by combination of inductively coupled plasma and parallel plate dc bias,” Jpn. J. Appl. Phys, vol. 40, no. 7B part 2, p. L779, 2001. doi: 10.1143/JJAP.40.L779.

[9] S. Samukawa, K. Sakamoto, and K. Ichiki, “Gen-erating high-eff iciency neutral beams by using negative ions in an inductively coupled plasma source,” J. Vac. Sci. Technol. A, vol. 20, no. 5, p. 1566, 2002. doi: 10.1116/1.1494820.

[10] S. Panda, D. J. Economou, and L. Chen, “Aniso-tropic etching of polymer films by high energy (~100s of eV) oxygen atom neutral beams,” J. Vac. Sci. Technol. A, vol. 19, no. 2, p. 398, 2001.

[11] S. D. Park, C. K. Oh, D. H. Lee, and G. Y. Yeoma, “Surface roughness variation during Si atomic layer etching by chlorine adsorption fol-lowed by an Ar neutral beam irradiation,” Elec-trochem. Solid-State Lett., vol. 8, no. 11, p. C177, 0205. doi: 10.1149/1.2073667.

[12] S. Noda et al., “50 nm gate electrode patterning using a neutral-beam etching system,” J. Vac. Sci. Technol. A, vol. 22, no. 4, p. 1506, 2004. doi: 10.1116/1.1723338.

[13] K. Endo, S. Noda, M. Masahara, T. Kubota, T. Ozaki, and S. Samukawa, “Fabrication of Fin-FETs by damage-free neutral-beam etching technol-ogy,” IEEE Trans. Electron. Devices, vol. 53, no. 8, p. 1826, 2006. doi: 10.1109/TED.2006.877035.

[14] K. Endo et al., “Damage-free neutral beam etching technology for high mobil ity Fin-FETs,” IEDM Tech. Dig., 2005. doi: 10.1109/IEDM.2005.1609487.

[15] Y. Ishikawa, T. Ishida, and S. Samukawa, “Low-damage atomic layer modification of self-assem-bled monolayer using neutral beam process,” Appl. Phys. Lett, vol. 89, no. 12, p. 123122, 2006. doi: 10.1063/1.2357001.

[16] S. Samukawa, “Ultimate top-down etching pro-cesses for future nanoscale devices: Advanced neutral-beam etching,” Jpn. J. Appl. Phys, vol. 45, no. 4A, p. 2395, 2006. doi: 10.1143/JJAP.45.2395.

[17] D. Ohori et al., “Atomic layer germanium etch-ing for 3D Fin-FET using chlorine neutral beam,” J. Vac. Sci. Technol. A, vol. 37, no. 2, p. 021003, 2019. doi: 10.1116/1.5079692.

[18] B. Jinnai et al., “Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor,” J. Phys. D Appl. Phys, vol. 43, no. 46, p. 465203, 2010. doi: 10.1088/0022-3727/43/46/465203.

[19] T. Kubota et al., “Numerical study on electron transfer mechanism by collision of ions at graphite surface in highly efficient neutral beam generation,” J. Phys. D Appl. Phys, vol. 45, no. 9, p. 095202, 2012. doi: 10.1088/0022-3727/45/9/095202.

[20] I. Yamashita, “Fabrication of a two-dimensional array of nano-particles using ferritin molecule,” Thin Solid Films, vol. 393, no. 1–2, pp. 12–18, 2001. doi: 10.1016/S0040-6090(01)01083-5.

[21] T. Kubota et al., “Study of neutral-beam etching conditions for the fabrication of 7-nm-diameter nanocolumn structures using ferritin iron-core masks,” J. Vac. Sci. Technol. B Microelectron. Nano-meter. Struct. Process. Meas. Phenom., vol.  23, no. 2, p. 534, 2005. doi: 10.1116/1.1880232.

[22] M. Igarashi et al., “Effects of formation of mini-bands in two-dimensional array of silicon nanodisks with SiC interlayer for quantum dot solar cells,” Nanotechnology, vol. 24, no. 1, p. 015301, 2013. doi: 10.1088/0957-4484/24/1/015301.

[23] Y. Tamura et al., “Quantum size effects in GaAs nanodisks fabricated using a combination of the bio-template technique and neutral beam etch-ing,” Nanotechnology, vol. 24, no. 28, p. 285301, 2013. doi: 10.1088/0957-4484/24/28/285301.

[24] Y. Kikuchi, A. Wada, T. Kurotori, M. Sakamoto, T. Nozawa, and S. Samukawa, “Non-porous ultra-low-k SiOCH (k = 2.3) for damage-free integration and Cu diffusion barrier,” J. Phys. D Appl. Phys., vol. 46, no. 39, p. 395203, 2013. doi: 10.1088/0022-3727/46/39/395203.

[25] X. Gu, Y. Kikuchi, T. Nozawa, and S. Samukawa, “A new metallic complex reaction etching for transition metals by a low-temperature neutral beam process,” J. Phys. D Appl. Phys., vol. 47, no. 32, p. 322002, 2014. doi: 10.1088/0022-3727/47/32/322002.

[26] S. Samukawa, B. Jinnai, F. Oda, and Y. Morimo-to, “Surface reaction enhancement by UV irradia-tion during Si etching process with chlorine atom beam,” Jpn. J. Appl. Phys, vol. 46, no. 3 part 2, p. L64, 2007. doi: 10.1143/JJAP.46.L64.

[27] K. K. Young, “Short-channel effect in fully depleted SOI MOSFETs,” IEEE Trans. Electron. Devices, vol. 36, no. 2, p. 399–402, 1989. doi: 10.1109/16.19942.

[28] D. Hisamoto et al., “FinFET—A self-aligned double-gate MOSFET scalable to 20 nm,” IEEE Trans. Electron. Devices, vol. 47, no. 12, pp. 2320–2325, 2000.

[29] C. H. Lee, T. Nishimura, N. Saido, K. Nagashio, K. Kita, and A. Toriumi, “Record-high electron mobility in Ge n-MOSFETs exceeding Si univer-sality,” in Proc. IEEE Int. Electronic Devices Meet-ing, 2009. doi: 10.1109/IEDM.2009.5424323.

[30] W. Mizubayashi et al., “Impacts of plasma-induced damage due to UV light irradiation during etching on Ge fin fabrication and device performance of Ge fin f ield-effect transistors,” Appl. Phys. Express, vol. 10, no. 2, 2017. doi: 10.7567/APEX.10.026501.

[31] W. Wang, D. Lei, Y. Dong, X. Gong, E. S. Tok, and Y.-C. Yeo, Sci. Rep., vol. 7, p. 7, 2017.

[32] C. Porret et al., “A new method to fabricate Ge nanowires: Selective lateral etching of Gesn:P/Ge multistacks,” Solid State Phenom., vol. 282, pp. 113–118, Aug. 2018. doi: 10.4028/www .scientific.net/SSP.282.113.

[33] G. S. Oehrlein, R. M. Tromp, Y. H. Lee, and E. J. Petrillo, “Study of silicon contamination and near-surface damage caused by CF4/H2 reactive ion etching,” Appl. Phys. Lett., vol. 45, no. 4, p. 420, 1984. doi: 10.1063/1.95243.

[34] C. J. Petti, J. P. McVittie, and J. D. Plummer, “Characterization of surface mobility on the sidewalls of dry-etched trenches,” IEDM Tech. Dig., p. 104, 1988.

[35] S. Samukawa, “A neutral beam process for con-trolling surface defect generation and chemical reactions at the atomic layer,” ECS J. Solid State Sci. Technol., vol. 4, no. 6, p. N5089, 2015. doi: 10.1149/2.0131506jss.