naturephotonics-techfocus_jan2010

Embed Size (px)

Citation preview

  • 8/8/2019 naturephotonics-techfocus_jan2010

    1/16

    LITHOGRAPHY

    TECHNOLOGYFOCUSJANUARY 2010

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    2/16

    Nature Photonicscovers the entire spectrum of photonics

    research for scientists in academia and industry. Published

    monthly, the journal offers insights into everything from

    fundamental light properties to the latest designs of

    optoelectronic devices. Nature Photonicsis open to a broad

    range of topics whose central theme falls within the bounds

    of photonics.

    In addition to publishing original research, Nature

    Photonicsserves as a central source for top-quality

    information for the photonics and optoelectronic

    communities through the publication of Commentaries,

    Research Highlights, News & Views, Reviews

    and Correspondence.

    Nature Photonicsis committed to publishing top-tier

    original research in photonics through a fair and rapid review

    process. The journal features two research paper formats:

    Letters and Articles, and authors are encouraged to submit.

    Submissions: manuscripts should be submitted

    through our online submission system at

    http://mts-nphot.nature.com. Using this system,

    authors can upload manuscript files (text, figures and

    supplementary information, including video) directly to

    our office and check on the status of their manuscripts

    during the review process.

    Go to www.nature.com/naturephotonics to view our general

    guide for manuscript preparation and submission.

    Contact the editorial team at: [email protected]

    Editorial Team

    Chief Editor:Oliver Graydon, PhD

    Associate Editor: Rachel Pei Chin Won, PhD

    Associate Editor:David Pile, PhD

    Associate Editor: Noriaki Horiuchi, PhD

    Call for papers

    Submit Online Today!

    *2008 Journal Citation Report(Thomson Reuters 2009)

    First Impact Factor:

    24.982*

    Bose Einstein Condensation

    Andor Scientifc Cameras or BEC

    iXonEM+ 885 EMCCD

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    3/16

    CONTENTS

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 19

    nature photonics TEChNOlOgy fOCuS

    NPG AsiA-PAcific

    Ciyoda Biding 2-37Icigayatamaci, Sinjk-K, Tokyo162-0843 JaanT: +81 3 3267 8751F: +81 3 3267 [email protected]

    EDITORSNADYA ANSCOMBEOlIvER GRAYDON

    pRODuCTION EDITORChRIS GIllOCh

    COpY EDITORJAMES BAxTER

    ART EDITORTOM WIlSON

    SAlES ACCOuNT MANAGERKEN MIKAMIT: +81 3 3267 8751

    ADvERTISING DIRECTORGEORGE luIT: +1 415 781 3804

    ADvERTISING MANAGERSIMON AllARDICET: +1 415 403 9034

    COvEr imagEcm d g d

    glb dvlg w lgy

    q bl dy k

    w M Lw.

    BusiNess News

    litogray roadma, mtiyear contracts and more 20

    ReseARch hiGhliGhts

    Or coice from te recent iteratre 21

    PRofile

    Direct aser writing 22

    iNdustRy PeRsPective

    euV lgy: litogray gets etreme

    Christian Wagner and Noreen Harned 24

    nx-g lgy: Making a good imressionGerald Kreindl, Thomas Glinsner and Ron Miller 27

    PRoduct hiGhliGhts

    Da-track systems, eectrodeess sorces and more 29

    iNteRview

    psing te imits 30

    Interview with John Warlaumont

    as computer chips get aster and

    eature sizes on integrated circuits getsmaller, scientists and engineers must

    get smarter. Every chip generation requiresadvances in photolithography technologyto manuacture the latest integratedcircuits. Predictions are repeatedly maderegarding the minimum eature size thatlithography technologies can be pushed toproduce and every time these predictionsare surpassed using clever new techniques.Aer 193 nm lithography came 193 nmimmersion lithography, and aer that camedouble-patterning.

    Every new generation pushes the limits

    o lithography, but these advances are morelike leaps than steps. Te semiconductorindustry is putting all its weight behindextreme ultraviolet (EUV) lithography alithography technology unlike any other.Indeed, its the most challenging lithographytechnology ever developed, accordingto John Warlaumont, vice president oadvanced technologies at SEMAECH,a global consortium o semiconductormanuacturers (see page 30). Te act thatEUV is absorbed by all matter, including

    air, is one reason why this technology is

    so challenging. Te other is that lensescant operate in the EUV; instead, speciallydesigned mirrors must be used to manipulatethe EUV beam. Tere is also the challenge oconstructing reliable and powerul sourceso EUV light, and scientists and engineersare making signifcant progress. Many o theelements needed or EUV have already beendeveloped, and the industry is optimistic thata stable source should be available by 2012(see page 24).

    At the same time, various masklesslithography technologies are gaining acclaim.Although they may not have the huge

    industry backing o EUV developers andlarge chipmakers, they are each overcomingtheir own challenges and addressing smallermarkets. For example, nano-imprintlithography has come out o the lab and isbeing used or photonic applications (seepage 27), and table-top lithography systemsare fnding ascinating applications inbiotechnology (see page 22).

    Te big question is where to go aer EUV,when eature sizes start to approach theatomic scale.

    Cetn pscs

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    4/16

    20 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    business news technology focus

    Extreme UV lithography (EUVL) and193 nm immersion lithography enhancedby double-patterning techniques will besufcient to maintain the lithographyroadmap or several technology nodes. Tatwas the message given loud and clear at theEUVL and Immersion Extensions Symposia,which took place at the end o 2009.

    he collective event, organized bythe semiconductor industry consortiumSEMAECH, covered technology,inrastructure and the business challengesthat the industry must address orcommercial manuacturing at the 22 nmhal-pitch node.

    Highlights rom the symposia included

    a report rom the laser developer Cymerthat its laser-generated plasma sourcescan now generate 50 W o 13 nm EUVlight at intermediate ocus. High-volumecommercial lithography will ultimatelyrequire around 180 W o power to expose 100waers per hour. Researchers also reportedthat 193 nm immersion lithography hassuccessully been extended to the 22 nm

    node using a variety o double-patterningapproaches, including spacer, double etchand resist-reezing processes, as well aslitho-etchlitho-etch and sourcemaskoptimization schemes.

    he EUVL Symposium SteeringCommittee has identiied three remainingareas that the industry must ocus on to

    enable successul adoption o EUVL orcommercial manuacturing. hese include

    the availability o deect-ree masks, long-term source operation with 100 W at theintermediate ocus, and achievement oresist with suicient resolution, sensitivityand line-edge roughness.

    Good progress has been madetowards achieving resist resolution andsensitivity targets, with some improvementin line-edge roughness, and now chipmanuacturers are demonstrating post-exposure resist processes that lead tosigniicantly reduced line-edge roughness,said Stean Wurm, SEMAECHs associatedirector o lithography.

    Despite the economic climate, attendancelevels surpassed those o previous years.Bryan Rice, director o lithography atSEMAECH said: I attribute this to acombination o the industrys critical need toaddress the cost and risk o developing EUVtechnologies, and to the recognition that22 nm solutions must be ready or insertion

    very soon, by 2013.

    Lithography roadmap on track

    Mappr riv a b

    Mapper Lithography, based in Delt, the

    Netherlands, has been awarded a subsidy oaround 10 million Euros by SenterNovem,an agency o the Dutch Ministry oEconomic Aairs.

    Mapper will use these unds to developa beta version o its maskless lithographytool. he tool is planned to use over 10,000electron-beams in parallel to write patternso electrical circuits directly onto waers,eliminating the expensive photomask processused in current lithography machines.

    he company recently shipped anelectron-beam lithography platorm toCEA-Leti in Grenoble, France, or use with

    300-mm-diameter waers. he machinewill be used or Imagine, a three-yearprogram that ocuses on electron-beamdirect-write lithography or integratedcircuit manuacturing at the 22 nm nodeand beyond. his eort will cover a range otopics, including tool assessment, patterningand process integration, as well as datahandling and cost o ownership studies.

    Bri wi miar ra

    Brion echnologies, a division o ASML, hasreached a multiyear agreement to supplyChartered Semiconductor with a suite

    o computational lithography products.Chartered will use Brions achyon range oproducts in particular the achyon OPC+

    (optical proximity correction), the achyonLMC (lithography manuacturability check),and achyon resolution enhancementproducts to design and manuacturedevices at the 45 nm node and below.

    Chartered expects to achieve higher yieldsby improving the linewidth control usingBrion computational technology. Meetingthe imaging requirements o advancedtechnology nodes will require the eectiveuse o increasingly complex resolutionenhancement techniques. Chartered willhave access to Brions double-patterningsolutions, resolution enhancement techniques

    and computational lithography solutions.Brion says that the lexibility o its double-patterning schemes allows Chartered to selectthe optimum combination o techniques orevery layer within each design, thus helping tominimize lithography costs.

    cabrai ak3D irai

    German company SUSS Microec and theaiwanese Industrial echnology ResearchInstitute (IRI) are to collaborate on thedevelopment o 3D integration technologiesor semiconductor circuitry. he Advanced

    Stacked-System echnology and ApplicationConsortium (Ad-SAC), a multinationalresearch association led by IRI, will

    implement a variety o SUSSs equipment orprocessing 300-mm-diameter waers in ademo production line at IRI in Hsin-Chu,aiwan. he equipment includes SUSSs300 mm lithography cluster LithoPack300and its 300 mm bond cluster CBC300.

    he Ad-SAC consortium is comprisedo 12 multinational companies involvedin 3D research and development. heLithoPack300 integrates two latest-generation 300 mm photolithographymodules the ACS300 Gen3 spray coaterand the MA300 Gen2 mask aligner inone system. he CBC300 is a modular

    waer bonding platorm conigured touse the latest usion bonding techniquessuch as plasma activation and thermo-compression or 3D integration. It oerstemporary bonding capability using latest-generation adhesives speciically designedor 3D applications.

    We are proud to have become part othis important alliance in IRIs Ad-SACprogram, stated Frank Averdung, CEO andpresident o SUSS Microec. We will beworking with worldwide leading researchand industry partners on viable productionplatorms to enable cost-eicient and high-yield manuacturing processes.

    istockphoto.c

    om/

    penfold

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    5/16

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 21

    research highlights technology focus

    Pami pd bOpt. Express17, 1947619485 (2009)

    Plasmonic lithography is a masklesstechnique that directly transfers patternsusing scanning probes to achieve a spatial

    resolution beyond the diffraction limitof imaging optics. The approach usessurface plasmonpolaritions to enhancethe transmission of light through ananoscale aperture, generating a brightspot of light that is much smaller than thediffraction limit.

    Plasmonic lithography has now beenused by researchers in Korea to achievea patterning resolution of 50 nm and apatterning speed of 10 mm s1 hundredsof times faster than that of conventionalnear-field recording.

    Although plasmonic lithography is a

    promising candidate for next-generationlithography, its main drawback is itslow throughput, admits Jae Hahn fromYonsei University in Seoul. We believehigh throughput can be achieved by usinga parallel process with an array of opticalprobes. This is difficult to implement,however, because the gap betweeneach probe and the substrate must beaccurately maintained to within a few tensof nanometres.

    To study the feasibil ity of plasmoniclithography with a parallel probe array,Hahns team fabricated an optical contact

    probe with a lubricant layer betweenthe probes aperture and the photoresist.The lubricant layer is a self-assembledmonolayer that reduces friction andthus enables a high scanning speed to beachieved without any surface damage ofthe photoresist or probe. This allowsus to maintain the gap distance withoutan external control device during high-speed recording with the contact probe,says Hahn. We believe that our resultsrepresent remarkable progress towards thepractical realization of plasmonic nanoscalelithography with an array of contact opticalprobes for high throughput. The group

    is currently working with an instrumentmanufacturer to develop a prototype high-speed patterning system.

    Apri awrOpt. Lett.34, 30063008 (2009)

    The optical quality of holographiclithography can be significantly improvedby using aspherical lenses, according toresearchers at the European CommissionsJoint Research Centre (JRC) in Ispra, Italy.

    Peter Macko and Maurice Whelan fromthe JRCs Systems Toxicology Unit areusing holographic lithography to producediffractive optical elements for use inbiochips. They found that longitudinalspherical aberration (LSA) in their opticalset-up contributes to undesired distortionof the optical wavefront used for writing

    optical elements. The high LSA was dueto the use of a spherical condenser lensand a half-ball lens in their apparatus. Wemanaged to reduce the LSA to almost zeroby carefully selecting an aspherical lensand reducing the radius of the half-balllens, explains Macko. We then used thisaberration-free lithography set-up to makeholographic diffractive optical elements thatare integrated into biochips.

    The resultant optical elements enhancethe collection of fluorescence by almost anorder of magnitude when compared withother biochip designs.

    Our research has the potential tochange the way the biosensors are designedby the industry; that is, to increase theirefficiency and lower their detection limit,says Macko. Lower detection limitscould certainly have a significant impacton clinical practice by making it possibleto diagnose lethal diseases much earlier,through better detection of biomarkers inblood or urine.

    Maki mirdAdv. Mater.

    doi:10.1002/adma.200902372(2009)

    Microneedles with ultrahigh aspect ratioshave been fabricated by researchers inKorea using a technique called drawinglithography, in which structures are drawnout of a thermoset polymer in liquidform and then cured. This form is thenelectroplated to fabricate hollow ultrahigh-aspect-ratio needles for minimally invasiveand painless drug delivery. The needlesare 2 mm long and have diameters in themicrometre range.

    This new technique overcomes theheight limitation of current subtractive-based lithography techniques.

    The microneedle height was controlled byaltering the drawing rate and the time oflithography. The researchers believe thatthis drawing lithography technique is notlimited to microneedle fabrication and canbe used to create various 3D microstructural

    components of implantable medical devicesand micro-electromechanical systems.

    s-amb abOpt. Lett. doi:10.1021/nl9022176 (2009)

    Tiny self-assembling 3D cubes withintricate patterns etched in their sides havebeen fabricated by researchers in the US.The resulting structures could potentiallybe used as nanoscale encapsulants forbiomedical purposes such as targetedtherapeutics, as well as in other applications.

    Lithographic nanoscale patterningtechniques have traditionally been limitedto creating 2D structures. Inspired by thebiological self-assembly of nanoparticles innature, Jeong-Hyun Cho and David Graciasof Johns Hopkins University developed a

    self-assembly method to create stable 3Dpolyhedral nanostructures in the lab.The researchers use electron-beam

    lithography to produce flat nanoscalepanels with intricate surface patterns,following which 2D nets of the desired3D shapes are then created by linkingthe panels together with tin hinges,before arranging them on silicon wafersubstrates. The wafers are then subjectedto plasma etching with oxygen and carbontetrafluoride gases to encourage theliquefaction of the tin hinges. Within acouple of minutes, the 2D net folds and

    fuses together in a precise fashion toproduce the desired 3D nanostructure.In their experiments, Cho and Gracias

    created 500-nm-long patterned cubes ofnickel panels, and 100-nm-long cubes ofmultilayered gold and nickel panels. Thesenanostructures were stable enough towithstand temperatures of 500 C at 1 atmwith no visible change in shape.

    The researchers suggest that it ispossible to construct more complicatednanopolyhedra using their self-assemblymethod, as the angular orientation betweenpanels can be controlled by altering the flowrate of oxygen gas during etching.

    500 nm 200 nm

    2

    009OSA

    2009OSA

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    6/16

    22 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    profile technology focus

    Dir ar wriiStart-up company Nanoscribe has developed table-top systems that can write intricate 3D structures not possible

    through other lithographic technologies. Nadya Anscombe fnds out how the company was ounded and what itsplans are or the uture.

    Georg von Freymann loves his job. He ischie technology ocer at the Germanstart-up company Nanoscribe and, like hiscolleagues, requently works long hours. Asa physicist, to see your ideas come to lieis amazing, he says. It is really satisyingwhen the machines you build have a realpurpose and your work is not just aboutwriting research papers.

    Nanoscribe is a supplier o systems

    or 3D lithography. Based on two-photonpolymerization, these table-top systemscan be used to write amazing 3D structuresthat are simply not possible with anyother lithographic technology. As well asbeing beautiul, these structures have realapplications many o which the ounderso Nanoscribe had not even consideredwhen they established the company a ewyears ago. Te eld o 3D nanostructuresis bigger than we could ever haveimagined, says Martin Hermatschweiler,CEO o Nanoscribe. We encouragescientists to dream up 3D structures or

    their applications and make them on ourmachines. Customers come up with new,exciting ideas all the time and we havetried to design our systems with the highestdegree o exibility to enable them to makearbitrarily complex structures.

    It all began in 2001 when researchersrom the Karlsruhe Institute o echnologyin Germany developed a direct laser writingsystem or making 3D nanostructures usingtwo-photon polymerization (Box 1). Butit wasnt until 2006 that the group thoughtabout commercializing the technology. Teidea to set up a company was triggered aer

    Martin Wegener, co-ounder o Nanoscribe,won the Carl Zeiss Research Award, saysHermatschweiler. We had a huge amounto support rom Carl Zeiss long beore thecompany invested money in us.

    Advisors unded by Carl Zeiss and theKarlsruhe Institute o echnology helped thephysicists to write a business plan, pitch it toinvestors and plan their nances. Tis helpedthe ounders gain a business perspective.Tey taught us to think more aboutdesigning a product rather than designinga clever physics experiment, says vonFreymann. Tey also helped us through allthe red tape o regulations and rules in order

    or us to get a certied piece o equipmentthat we could sell. Tis was a very unusualarrangement because Carl Zeiss supportedthe company beore any investment was

    made. Zeiss even gave us access to crucialcomponents o their microscopes, saysHermatschweiler. Te interace nder is oneo the unique selling points o our product.

    Te interace-nding technologyenables a laser ocusing system to detectthe interace between two materials thathave very similar physical properties. I, orexample, a substrate and photoresist havesimilar physical properties, the interacebetween the two must be ound so thatobjects written in the photoresist can beanchored to the substrate properly. I theobject is not anchored to the substrate, it

    could be washed away with the rest o thephotoresist in the developer bath.In September 2008, just beore the

    nancial markets collapsed, Carl Zeissinvested in a 40% stake o Nanoscribe, saysHermatschweiler. As you can imagine, wewere very grateul.

    Beore investing in a company mostinvestors will ask ounders to project whatpercentage o the target market they willhold in the uture. But Nanoscribe wasnot able to do that. It is impossible togive precise gures like that when a newtechnology creates and stimulates themarket, says Hermatschweiler. Not many

    investors can handle that, but becauseCarl Zeiss had been working with us romthe start he knew what we were trying toachieve and, thankully, decided to invest.

    oday, Nanoscribe is not looking orurther investment because it is alreadygrowing rom the sales o its systems.Te biggest market or its systems is inthe manuacture o photonic structuressuch as photonic crystals, metamaterials,distributed eedback gratings, photonicring resonators and difractive optics. Tecompanys technology was recently usedto demonstrate the rst 3D metamaterialsmade through a single lithographicprocess a gold helix structure that canbe used as a broadband circular polarizer.

    Tree-dimensional metamaterials

    have been created beore, but in a time-consuming layer-by-layer ashion, says vonFreymann. Complex 3D shapes cannotbe realized with a layer-by-layer approach.Using our technology, researchers useda single lithographic step to abricate a3D structure that serves as a template orthe electrochemical deposition o gold.Te original template was then removedthrough plasma etching. In principle, thisapproach allows or the deposition o avariety o materials. For example, siliconcan be easily deposited using chemicalvapour deposition, leading to photoniccrystals with complete photonic bandgaps.

    a b

    20 m20 m

    Figure 1 | This spider web structure has been used by biologists to measure how cells excert orces. a,

    The pillars o the structure are stif but the threads between them are made to deorm under external

    stimuli. b, Labelled cells spanning across the 3D micro-environment.

    KIT

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    7/16

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 23

    profile technology focus

    Our systems allow experimentalists to

    test out their theoretical blueprints, says vonFreymann. In turn, this inspires theorists tothink about new structures and design newexperiments that exploit the 3D structurespossible using our machines.

    Although photonics is Nanoscribesbiggest application area (as it will probablyremain), certain ascinating applicationsin lie sciences 3D cell biology inparticular have emerged. Te companysells systems to biologists who designdiferent 3D structures or various tests oncells. Compared with traditional 2D tests,testing cells in 3D gives biologists a better

    understanding o how cells behave insidethe human body. For example, Nanoscribessystem has been used to build a structureresembling a spiders web supported bypillars (Fig. 1). Te pillars are stif butthe thin connecting threads can be madedeormable in a measurable way. Whenstem cells are dropped onto this structure,they span across the spiders web andbiologists use the deormations to work outhow the cells exert orces. Stem cells do notknow what type o cell they will become,explains von Freymann. So they probetheir environment both chemically andmechanically. For example, i they nd their

    environment to be stif, they might turn into

    bone cells. In this way, biologists may beable to control stem cell diferentiation.In another example rom the eld o

    biology, an array o basket-like structuresare being used to store individual cellsto establish how ar apart cells must bebeore they will interact. Although thesestructures are very complex, biologists canmake them using our system in about 30minutes, says von Freymann. And becausethe structures are so small, they can do aparameter sweep across a substrate and, ina combinatorial approach, nd the rightparameters or their application.

    Nanoscribes systems are designed so thatusers with little or no background in physicscan use them. Te sample holder can take upto ten substrates with diferent photoresistsor diferent structures, and the systemaddresses them autonomously.

    But not all customers design theirown structures. Nanoscribe also ofersa design service as its team has manyyears o knowledge in this area. Althoughmany customers choose to use standardphotoresists, some applications requirespecialized materials. Nanoscribe hasthereore also licensed and developedproprietary photoresists specically or

    two-photon polymerization. Te company

    also has experience with casting techniques(into metals or silicon, or example) andofers this as part o its consulting service.

    We have learned that business is aboutknowing what the customer needs, saysvon Freymann. Our customers want asystem that is exible and easy to use, andoen require specialist materials and designsupport. Its been a steep learning curve sincethe days o designing experiments in the lab.

    Te steepest part o that curve wasprobably when Nanoscribe received its rstorder or a lithographic system six monthsbeore the planned launch o the product.

    Tis early order came as a surprise to theteam, which had only just nished itsprototype and obtained all the necessarycertication. But we did it, says vonFreymann. In just ten weeks, we went romorder to delivery. As you can imagine, thewhole team worked long hours to get thatorder out and there were many late nights.But that is what it is like working or a start-up company. It is certainly not the averagenine-to-ve job.

    Nadya Anscombe is a freelance science andtechnology journalist based in theUnited Kingdom.

    Te technique o direct laser writingallows or the abrication o arbitrary 3Dnanostructures in suitable photoresists. Itis based on multiphoton polymerization,a nonlinear optical efect. Te centrewavelength o the laser is chosen so thatthe photoresist is perectly transparent,as the one-photon energy lies well belowthe absorption edge o the material.

    By tightly ocusing the light o anultrashort pulsed laser, the intensity issuciently high to expose the photoresistby multiphoton absorption within theocal volume. Tis absorption causes achemical and/or physical change o thephotoresist within a small volumetricpixel (a voxel) that can be scaled bythe laser power. Tis voxel is typically

    ellipsoidal in shape and is the basicbuilding block or the abrication o 3Dstructures. Te movement o the sampleand the intensity o the laser beam aresynchronized by a computer system.Depending on the photoresist, eitherexposed (positive resist) or unexposedregions (negative resist) are removedusing a developer bath.

    Box 1 | Direct laser lithography

    Figure B1 | Fabricating structures using direct laser writing. a, Nanoscribes table-top system. b, Schematic o the direct laser writing process. AOM, acousto-

    optical modulator.

    a b

    100x

    NA 1.4

    Laser-controllinginterface

    Piezoelectric 3Dscanning stage

    Inverted microscope

    Fibre laser

    Beamexpansion

    Polarizer

    AOMCCD

    N A N O S c R I b e

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    8/16

    24 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    industry perspective technology focusindustry perspective technology focus

    euV lithography

    l xmc Wg d n hd

    Extreme ultraviolet lithography extends photolithography to much shorter wavelengths and is a cost-efective

    method o producing more-advanced integrated circuits. Although some inrastructure challenges still remain, this

    technology is expected to begin high-volume microchip production within the next three years.

    Progress in semiconductor manuacturingis all about reducing the size o the eaturesthat make up integrated circuit (IC)designs. Smaller eatures allow or asterand more advanced ICs that consume less

    power and can be produced at lower cost.For semiconductor manuacturing,

    photolithography is the key driver orthis shrink in eatures. Photolithographyuses light to transer a pattern o eaturesrom a mask to a light-sensitive chemicalphotoresist on a semiconductor waer. Asthe pattern is transerred, it is reduced inscale by a projection lens.

    Te history o photolithography is acontinuous eort to improve the resolutiono lithography systems (commonly knownas scanners). Tis can be achieved usingoptical and processing tricks to increase the

    numerical aperture o the projection lens inthe system, or by reducing the wavelengtho the light used. Since the 1980s, cutting-edge lithography has shied rom the365 nm i-line o mercury vapour lamps todeep-ultraviolet light rom excimer lasersat 248 nm (krypton uoride lasers) and193 nm (argon uoride lasers; Fig. 1).

    Extreme ultraviolet (EUV) lithographyis the next step in this trend. It usesradiation o wavelength 13.5 nm, therebyoering signicant potential to extend theresolution o photolithography. odaysmost advanced 193 nm immersion

    lithography systems can resolve eaturesdown to 38 nm, owing to the use o opticaltricks such as o-axis illumination andwater immersion projection lenses thathave ultrahigh numerical apertures o up to1.35. In contrast, 13.5 nm EUV lithographysystems have the potential to reduce theresolution to below 10 nm.

    Tere are alternatives or creatingsmaller eatures beyond the capabilitieso todays 193 nm systems. For example,so-called double-patterning techniquesinvolve splitting one complex IC patterninto two (or more) mask patterns, whichare exposed sequentially but slightly

    shied to obtain superior resolution. Tis

    approach can reduce the nal eature size othe pattern on the waer, and will probablybe used or producing ICs with eatureso 32 nm.

    However, double patterning increasesthe number o steps needed to processeach waer, and design rules may alsohave to be restricted to allow patternsplitting. Tese actors reduce the overallnumber o waers an IC manuacturercan process in a given time, and alsoraise production costs per chip. Extremeultraviolet lithography can achieve theseresolutions with a single exposure and withewer design restrictions, increasing waer

    throughput and reducing manuacturing

    costs. It also oers greater potential thandouble patterning or scaling to evensmaller eature sizes in the uture. TeIC manuacturing industry is thereoreinvesting signicantly in EUV lithography.

    Developing EUV lithography,however, is a major task. ASML, a leadingmanuacturer o lithography systems, hasannounced its intention to deliver theindustrys rst EUV lithography systems toIC manuacturers in 2010. But developing anew lithography technology isnt somethingthat a single company can achieve on itsown it requires eort and investmentrom across the industry.

    Manuacturing mirrors or EUV lithography is a huge technical challenge.

    CArlZEiss

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    9/16

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 25

    industry perspective technology focus

    Although an extension o the trendtowards shorter wavelengths, EUV isin many ways a signicant departurerom previous lithography technologies(which are oen collectively reerred toas optical lithography). Tis is because

    all matter, including air, absorbs EUVradiation. For scanner manuacturers,this has two major consequences. First,it means that all the optical elementsresponsible or the imaging capabilitieso the scanner must use reective lenses(mirrors) rather than reractive lenses.Tese mirrors include surace coatingso up to 100 alternating layers o siliconand molybdenum, which reect lightthrough interlayer intererence. Suchcoatings perorm particularly well with13.5 nm light. Even with these coatings,each mirror can only reect a theoretical

    maximum o 72% o the incident EUVlight the rest is absorbed by the mirror.o get close to this theoretical level and toensure the necessary imaging perormance,the mirrors must be manuactured toextremely high specications. For instance,the mirrors must be at to less than 2 nmacross the surace o a 30 cm mirror equivalent to a 1 mm deviation across a1,500 km surace.

    Second, owing to matters propensityto absorb EUV light, the entire optical pathrom the light source to the waer mustbe in a near-vacuum (Fig. 2). o maintainthis throughout the lietime o the scanner,

    lithography suppliers have been orcedto examine every aspect o how theymanuacture and assemble systems, andthis analysis begins by choosing suitablematerials or the scanner. All materialsundergo the process o outgassing, which canpotentially cause contamination and thereby

    ruin the systems optical transmission, but itsextent and eects can be minimized throughcareul selection o materials. Furthermore,ultraclean manuacturing practices must beadopted; a single ngerprint on the wall othe vacuum chamber could put the wholesystem out o specication. Tese practicesmust be applied throughout the entire supplychain. For example, oil and grease cannotbe used in the machining o any part orcomponent within the vacuum chamber,even down to the smallest screw.

    Tere is also the question o how togenerate EUV light. As mentioned above,

    the mirrors in the projection optics onlyreect 72% o the incident EUV radiationat best. A typical projection lens assemblyor a lithography system will include six ormore mirrors, so only a small percentageo the light that enters the projection lensassembly will actually reach the waer.

    As the amount o light that alls onthe resist is directly related to the speedat which a pattern can be printed, thelow transmission o EUV means a high-power source is required or cost-eectivethroughput. For the rst generation o EUVlithography systems, sources capable o

    delivering 100 W o in-band EUV powerat the entrance o the illumination systemare required. Fortunately, certain plasmas

    such as those o tin and xenon areefcient emitters o 13.5 nm radiation.Tis, in addition to the high perormanceo alternating layers o silicon andmolybdenum, was the key reason thisspecic wavelength was chosen.

    Currently, the three main companies

    involved in the development o sourcesor EUV lithography are Cymer,Philips Extreme UV and Gigaphoton. Allthree are developing sources based on tinplasmas, as extensive research has shownthese to oer the best conversion efciency(the ratio o useable EUV energy extractedin the chosen bandwidth to the energyinput) over other sources.

    A tin plasma can be generated eitherusing a laser or through an electricaldischarge (Fig. 3). O the sources indevelopment today, the closest to meetingthe 100 W power requirement is the laser-

    produced plasma source rom Cymer. Tisuses a CO2 laser o wavelength 10.6 m toconvert tin droplets into a plasma, whichthen emits bursts o broadband EUVradiation. According to Cymer, this set-uphas twice the conversion efciency o otherlaseruel combinations.

    In a laser-produced plasma source, ahigh-reectivity ellipsoidal molybdenumsilicon multilayer mirror collects andocuses the light rom the plasma. As well asmaximizing the power output o the source,this collector must have good spectralltering properties to pick out the 13.5 nm

    wavelength required.Since the development o this sourcebegan in 2004, excellent progress has

    Source

    Illuminator

    Projection optics

    Intermediate focus

    CO2

    laser

    Collector

    Reticle stage

    Wafer stage

    Fg 2 | Because all matter absorbs EUV radiation, the optics or collecting the light (collector),

    conditioning the beam (illuminator) and pattern transer (projection optics) must use high-

    perormance molybdenumsilicon multilayer mirrors, and the entire optical path must be housed in a

    near-vacuum environment.

    Wavelength(nm)

    400

    350

    300

    250

    200

    150

    100

    50

    0

    1985

    1990

    1995

    2000

    2005

    2010

    2015

    Year

    iline 365 nm

    DUV 248 nm

    DUV 193 nm

    EUV 13.5 nm

    Fg 1 | Since the mid-1980s, the wavelength o

    light used in lithography systems has reduced by

    almost hal rom 365 nm to 193 nm. The switch

    to EUV lithography involves a urther wavelength

    reduction actor o almost 15. DUV, deep ultraviolet.

    AsMl

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    10/16

    26 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    industry perspective technology focus

    been made. At an industry conerenceheld in Prague in October 2009, Cymerannounced that it had achieved 70 W o in-

    band EUV power at intermediate ocus orbursts o 400 ms (the burst length requiredor lithography use) and at stability levelsvery close to lithography specications.o increase EUV power levels urther, thecompany plans to scale up the laser powerand improve the ocusing o the laser ontothe droplets to boost conversion efciency.

    Perhaps the biggest challenge still acingEUV lithography isnt actually directlyrelated to the technology itsel, but isinstead concerned with the inrastructurerequired or commercial deployment.Previous photolithography technologies

    used transmissive masks protected by apellicle. For EUV lithography, however, themasks must be reective and cannot have aprotective pellicle as this would absorb theEUV beam.

    Masks are made by patterning ablank, which must be essentially deect-ree, ollowing which the mask must beinspected or any pattern deects that couldaect the production o good microchips.For the rst commercial demonstrations oEUV lithography, EUV mask abricationwill use many o the same process stepsas or optical masks and re-use much

    o the existing advanced mask shopcapital equipment.For high-volume production, the

    inspection o the blanks and the review omask pattern deects must be done with thesame wavelength o light used to exposethe pattern. Unique EUV mask deectinspection tools are thereore needed currently, these tools do not exist.

    Tis is a business issue, however,not a technical one. Given the recentglobal economic downturn and thesmall potential market or these tools,mask inspection companies cantaord to develop inspection tools on

    their own. At the recent conerence onEUV lithography in Prague, many ICmanuacturers expressed an interest in

    orming industry-wide consortia to sharedevelopment costs. Te industry bodySEMAECH is taking the lead in thisinitiative and has established workinggroups to investigate business cases. Tiscommitment highlights the semiconductormanuacturing industrys strong desireto see EUV lithography deployed as soonas possible.

    For IC manuacturers, stepping down ineature size always requires some eort tore-qualiy their manuacturing processes.Tis is particularly true when a wavelengthshi is involved because manuacturers

    must re-learn all the processing trickstheyve developed over their many years oproduction experience.

    Extreme ultraviolet lithographyallows lithographers to re-use mucho their existing knowledge and skillsset or another technology generation.Furthermore, ASML has made twoprototype systems alpha demo tools(ADs) available to its customerssince 2006. Tese ADs are locatedat two leading research institutes ornanoelectronics: IMEC in Leuven, Belgium,and the College o Nanoscale Science and

    Engineering in Albany, New York. TeADs unction both as test environmentsor developments in EUV technologyand as tools on which semiconductormanuacturers can start to learn aboutEUV lithography or plan early researchand development.

    Te perormance o these ADs hasshown that, or producing ICs with eatureso 28 nm and smaller, EUV lithography is armore advanced than any other technology.Numerous manuacturers have already usedthese ADs to expose the smallest eatureson unctioning devices. Te production othese prototype devices shows that EUV

    lithography can be combined with oldertechnologies, which will still be used toproduce larger (non-critical) eatures.

    Current semiconductor manuacturingindustry roadmaps call or the introductiono EUV lithography or pilot line insertionat the so-called 22 nm hal-pitch node

    in 2011 or 2012. Full-scale high-volumemanuacturing at the same node is plannedto start in 2013.

    o help the industry meet this timeline,ASML will launch the industrys rstgeneration o production EUV lithographytools in the second hal o 2010. Tey willeature a 100 W EUV source rom Cymer anda projection lens assembly with a numericalaperture o 0.25 rom Carl Zeiss SM, andwill be capable o processing 60 waersper hour with resolutions to 27 nm andbelow. Assembly o the key modules orthese systems has already started at ASMLs

    new, dedicated EUV clean-room at itsheadquarters in Veldhoven, Te Netherlands.

    Tis rst generation o tools will allowIC manuacturers to start EUV lithographyprocess development in earnest. Furthergenerations are planned to support the starto high-volume IC production, with higherpower sources pushing throughput to 125waers per hour by 2012 and 150 waers perhour by 2013. Over the same timerame,enhanced optics and illumination isexpected to improve resolution to 22 nm by2012 and 16 nm by 2013.

    As with any photolithography

    technology, development will continuewell aer the technology is launched.Enhancements to both the scannersthemselves and the way in which ICmanuacturers use them are likely to pushthe resolution o 13.5 nm EUV lithographybelow 10 nm.

    Beyond that, a switch to an even shorterEUV wavelength o around 6.5 nm ispossible, potentially improving resolutionby a urther actor o two. Such a transitionis still highly speculative because newlight sources and optical coatings wouldbe required. But i this switch occurs, and

    assuming that the current eature-shrink-rate o 30% every 23 years continues,EUV lithography could be driving progressin the semiconductor industry well intothe 2020s.

    Christian Wagner is Senior Product Manager

    of EUV at ASML, and Noreen Harned is Vice

    President of Marketing EUV at ASML, PO Box 324,

    5500 AH Veldhoven, Te Netherlands.

    e-mail: [email protected]

    akwdmTe authors would like to thank David Brandt o Cymer

    and Hans Meiling o ASML or their help in creating

    this article.

    CO2

    laser

    Sn droplets

    Near-normal multilayer collectorSn-coated rotating disc

    Grazing collector

    Plasma

    Foil trap

    Laser-produced plasma Discharge-produced plasma

    Plasma

    Fg 3 | EUV sources or lithography applications based on laser- (let) or discharge-produced (right)

    plasmas o tin. These schemes require very diferent strategies or collecting the maximum amount o

    light and or keeping plasma debris of the collector mirrors; the laser-produced plasma scheme uses a

    background gas, and the discharge-produced plasma exploits a oil trap.

    AsMl

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    11/16

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 27

    industry perspective technology focus

    next-generation lithography

    Mk d mGld Kdl, tm Gl d r Mll

    Advances in nano-imprint lithography have moved the technology out o the laboratory and onto the production

    foor or use in a wide variety o photonic applications.

    As manuacturers o high-brightnessLEDs (HB-LEDs) continue to improvethe eciency o their products, theyare also looking or new ways to bringdown production costs. Nano-imprintlithography (NIL), a method used to

    abricate nanometre-scale patterns, is apossible solution.

    Nano-imprint lithography is attractivebecause it enables production o HB-LEDs with improved light-extractioneciency at a lower overall cost comparedwith other manuacturing approaches.Recent advances in NIL have moved thetechnology out o the laboratory and ontothe production oor, allowing its use inmany photonic applications. Nano-imprintlithography is also being investigated orits potential to enhance the manuacturingprocesses o other rapidly emerging and

    high-growth nanoscale applicationssuch as micro-electromechanicalsystems and hard disk drives, and inbiotechnology or microuidics andlab-on-a-chip applications.

    For many o these emergingapplications, NIL is replacing traditionalphoton-based optical lithography, whichis typically used to manuacture themajority o todays semiconductor devices.Compared with optical lithography, NIL isa ar simpler process. Optical lithographyrequires high-power excimer lasers andcomplex stacks o precision-ground lens

    elements to project nanometre-scalepatterns onto the surace o semiconductorwaers. Tese excimer lasers and complexoptics can result in equipment costs aroundtens o millions o dollars. In comparison,NIL uses a stamp process to print eaturesdirectly onto suraces, which means that thesize o the printed eature is only limitedby what can be etched into the stamp ortemplate. As a result, NIL can print eaturesar smaller than todays most advancedoptical lithography systems 10 nm orless without the need or complex opticalequipment or nely tailored photoresists.All o these actors mean that NIL

    systems are ar less expensive than opticallithography systems; the cost o an NILsystem can be as little as 1020% o the costo an optical lithography system.

    Tere are two principal methods o NIL:

    hot embossing and ultraviolet nano-imprintlithography (UV-NIL). In hot embossing, athin polymer material is spin-coated ontoa substrate. Te substrate and polymercoating undergo a pre-bake process andare then heated to a specied temperature.A template with predened patterns thatrepresent device circuit eatures is appliedto the polymer coating on the substrate.Te templatesubstrate assembly is thencooled down and the template is removed,imprinting the polymer coating on thesubstrate with a reverse pattern rom thetemplate similar to a wax stamp processbut with much greater precision.

    With UV-NIL, a substrate is coatedwith a photocurable resist. A transparenttemplate is applied to the resist at roomtemperature and then exposed to UVlight. Te template is removed rom theresist, leaving the reverse pattern rom the

    template imprinted on the substrate.Ultraviolet nano-imprint lithography

    has several advantages over hot embossing.For example, pattern placement and overlayalignment accuracy are both inherentlyhigher in UV-NIL, because the act oheating the substrate and polymer in the hotembossing process can expand the material,making it dicult to accurately align it withthe template. Tese variations in alignmentcan be in the range o micrometres, andalthough this may seem small, it is too largeor many applications involving structureswith micro- or nanoscale dimensions.

    Achieving overlay accuracy is criticalor many semiconductor devices such asLEDs and CMOS image sensors, which arecomposed o multiple layers o circuitry thatmust interact with each other or the deviceto unction.

    Another key advantage o UV-NILis that both hard and so templates canbe used, depending on the application.So templates can compensate or non-uniormities on the substrate surace, andmaintain ull contact with the suracewithout having to apply signicant pressure.Tis makes UV-NIL especially well-

    suited or HB-LED production becausethe compound semiconductor materialscomprising the LED waer grow irregularly,causing the substrate to have a rough,uneven surace. Furthermore, UV-NIL isideal or patterning larger substrates (upto 300 mm in diameter), which can havelarge surace irregularities. Finally, becauseUV-NIL takes place at room temperatureit is up to ve times aster than thermalimprinting, which requires several minutesto heat up and cool down the substrate,depending on the material.

    Hot embossing has certain advantagesover UV-NIL, however, especially i

    Fg 1 | A high-brightness LED. Adding

    photonic crystal structures to its surace using

    NIL can signicantly increase device efciency

    and brightness.

    OSRAM

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    12/16

    28 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    industry perspective technology focus

    polymer materials must be imprinteddirectly. Hot-embossing polymers havea number o benets, including glass-like transparency, superior mouldingcapabilities, low moisture absorption,low auto-uorescence, high purity andinert/non-reactive suraces. Examples oend-use devices that can take advantageo hot embossing include biosensors,microsystems used in medical applicationsand lab-on-a-chip applications.

    Although NIL provides extremelyhigh-resolution patterning at a muchlower cost than optical lithography, it iscurrently limited in certain areas. Becauseit is a contact technology rather than aprojection-based technology such as opticallithography, NIL provides less accurateoverlay alignment, can introduce greaterparticle contamination, and operates atlower production throughputs.

    One application where these limitationsdo not have a signicant impact is or

    HB-LEDs (Fig. 1), which are producedrom substrates composed o compoundsemiconductor materials such as galliumarsenide, gallium phosphide and galliumarsenide phosphide. Tese materials havea high reractive index compared withair, which means that much o the light istrapped inside the LED instead o beingtransmitted out o the device, thus reducingthe overall operational eciency. Addingphotonic crystal structure patterns to the

    surace o the substrate can mitigate theseefects and signicantly increase lighteciency and brightness (Fig. 2).

    Electron beam lithography, whichinvolves scanning a beam o electrons ina controlled ashion across the materialsurace, has so ar been the methodgenerally used to pattern these photoniccrystals. Similar to NIL, electron beamlithography enables the production oeatures as small as a ew nanometres, but itis extremely costly and slow. For UV-NIL,the combination o high-resolutionand low cost-o-ownership advantages,

    together with a much higher throughputthan electron beam lithography, makes itan ideal solution or producing photoniccrystals (Fig. 3).

    In addition to abricating photoniccrystals and other photonic devices suchas polarizers (used in display backlightingapplications), NIL is increasinglybeing looked at as a potential methodor patterning uture generations osemiconductor chips. Te role o NIL inmanuacturing semiconductors is currentlylimited by the overlay alignment accuracy,throughput and the introduction o deects.However, signicant efort is underway to

    narrow the gap in perormance betweenNIL and traditional optical lithography.Te resolution limit or traditional opticallithography is expected to be reachedwithin the next 12 semiconductor devicegenerations, making it unable to continueullling Moores Law the 50-year

    trend in the semiconductor industry thatshows how the number o transistors ona chip doubles every 24 months whichhas historically been achieved throughthe shrinking o integrated circuit eaturesizes. Tis has given NIL the opportunityto have an important role in this marketbecause o its ability to pattern eaturesbelow 10 nm ar beyond that o opticallithography systems.

    Another advantage o NIL over opticallithography is its potential to pattern3D structures (such as dual-damascenecontacts) directly onto semiconductor

    waers in a single patterning step. Tis isachieved by building 3D structures into thetemplate design. With optical lithographyand extreme-ultraviolet lithography,multiple templates and multiple patterningsteps are needed to produce 3D structures.Te ability to abricate 3D structures in onestep using NIL can yield signicant savingsin cost and process throughput, especiallyor some types o advanced memory devicesthat contain up to 30 or more layers. Inaddition to memory, the ability to pattern3D structures directly using NIL can alsoenable improved production o microlens

    arrays or CMOS image sensors and LEDs.In conclusion, the LED market isexpanding rapidly to address a varietyo needs in consumer, commercial andindustrial applications. Nano-imprintlithography will have a key role in ulllingthis expansion by enabling the low-costmanuacturing o HB-LEDs. Over thepast ew years, NIL has changed rom ascientic curiosity into a high-volume-production solution, and is now poised tobenet other emerging and high-growthsectors, including the abrication o CMOSimage sensors, microuidics and hard disk

    drives. Continuing developments in NILare driving semiconductor manuacturersto take a closer look at this technology as apossible replacement or optical lithography,which may soon reach its limit or scaling tosmaller dimensions.

    Gerald Kreindl is Product Manager, Tomas

    Glinsner is Head of Product Management, and

    Ron Miller is NIL Business Development and

    External R&D Program Manager at EV Group,

    Erich Tallner Strasse 1, A-4782 St. Florian am Inn,

    Schrding, Austria.

    e-mail: [email protected];

    [email protected]; [email protected]

    n-GaN

    p-GaN

    n-GaN

    p-GaN

    Imprint resist

    pn Junction

    pn Junction

    n-GaN

    p-GaN

    n-GaN

    p-GaN

    pn Junction

    pn Junction

    a b

    c d

    Fg 2 | Using NIL to abricate a high-efciency LED with a photonic crystal surace. , A resist is

    deposited onto the surace o the LED substrate. b, An NIL sot stamp is applied. , The residual resist

    is removed rom the imprinted regions using an O2 plasma. d, The imprinted regions are etched into the

    p-GaN region, and all remaining resist is removed.

    Fg 3 | Scanning-electron microscope image o

    photonic crystal structures made by sot stamp NIL.

    The eatures are 350 nm wide and 600 nm high.

    EVG

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    13/16

    nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics 29

    product highlights technology focus

    Da-rak m pd prdi

    Sokudo, a Japanese manuacturer oequipment or coating and developingphotoresists on waers, has released what itclaims is the worlds rst dual-track systemor parallel simultaneous processing.

    Featuring dual-ow waer processing, thecompanys Sokudo Duo dramatically booststhroughput to 250300 waers per hour(depending on system conguration), andsubstantially reduces system ootprint.

    Te system also gives a higher reliabilityor waer handling robotics as it allowsreduced waer transer speeds withoutcompromising waer throughput.

    Te dual-ow concept makes it possibleto run each waer process line independently.Maintenance can be perormed while thesystem is running production, greatlyreducing system downtime. Te overall

    lithography cell productivity is optimized bykeeping the coat/develop process running sothat expensive photolithography exposurescanner time is not wasted.

    Te system enables immersionlithography double-patterning processingand eatures new waer cleaning solutionsto provide the best leading-edge lithographyon-waer results, with high yield.

    www.k.m

    Immri i r

    prmi abii

    Cymer has developed the worlds rsteld-selectable 6090 W immersion lightsource the XLR 600ix according to thecompany. Te source was integrated intoa Nikon scanner in 2008, and successullyinstalled at the acilities o a large Asianchipmaker. Te company says that thismilestone represents the rst immersionlight source o this kind to be installed at amajor chipmaker.

    Te XLR 600ix provides variousimprovements over the rms XLR 500ixsource, including a 1.5-old improvement inwavelength and bandwidth stability, and atwoold improvement in dose stability.

    Te system comes equipped with GasLietime eXtension technology, whichreduces light source downtime during gasexchanges by a actor o 20, while improvingperormance stability.

    www.yme.m

    Mar pri dvpd r

    xrm ravi irap

    oshiba Corporation has developed ahigh-resolution photoresist or the utureapplication o extreme ultraviolet lithography,and proved its viability with 20-nm-scaleprocess technology.

    When semiconductor circuit patternsscale down to the 20-nm-scale generation,current photoresists will no longer resolvecircuit patterns precisely. Tis is principallybecause conventional photoresists consist

    o polymer compounds, and althoughsuch compounds are easy to spin-cast onwaers, the size o their molecules andentangling o their molecular chains limitresolution. o overcome this, oshibahas developed a photoresist with smallermolecular compounds. Te resist isbased on a derivative o truxene, a low-molecular-weight material that is nerand more durable than currently usedpolymer materials.

    Te company applied this resist to thepositive tone process, and has now succeededin applying it to the more demanding task

    o the negative tone process, orming a testpattern in the 20-nm-scale generation.oshiba says it plans to urther improve

    the perormance o the molecular resist andapply it to the abrication o chips in the20-nm-scale generation.

    www.ba.m

    Mak ipi m did r

    dr ad immri d

    Applied Materials has developed the Aera2,a mask inspection system that introducesunique capabilities or qualiying the ull

    range o masks used or dry and immersiontechnology nodes and or monitoring criticaldimension variation in mask production.

    Designed to emulate a scanner, Aera2clearly identies signals rom deects o alltypes and locations; these signals in turncorrelate closely with the efects that eachdeect would create on the waer. Deectsare thereore automatically classied asprinting or non-printing (relative to a givenprint threshold value), and the aerial imagereveals the ones most likely to print. Bygiving a prediction o printability, Aera2ofers a capability absent in standardhigh-resolution inspection systems and

    cuts inspection time to 1 h. All masktechnologies can be inspected because aerialimaging inherently accounts or mask-related phenomena associated with therange o resolution enhancement techniquesused in advanced nodes.

    Aera2 can generate maps o criticaldimension trends with a resolution oapproximately 1 nm, and can thereoredetect problems in the manuacturingprocess. Mapping takes place at the sametime as inspection and can be perormedsimultaneously or multiple eature sizes.

    www.aemaea.m

    erd r ima i-

    vm maari

    Energetiq echnologys EQ-10HR is anextreme ultraviolet (EUV) light source thatoperates at a high pulse rate o 10 kHz tosimulate the requirements o high-volumemanuacturing (HVM) or EUV lithography.

    Energetiqs patented ElectrodelessZ-Pinch technology inductively couples thecurrent to the discharge plasma, making theplasma extremely stable and controllable.Te plasma is conned away rom sourcecomponents, reducing debris and allowinglong operating lietime and low costo ownership.

    We are very excited to bring abouta reliable, cost-efective solution orproducing EUV light in HVM testing, saidDebbie Gustason, Energetiqs vice presidento sales and service. Our electrodelesstechnology is proven to produce EUV lightthat is ideal or inrastructure developmentor EUV lithography, as demonstrated bythe large number o Energetiq EQ-10 EUVsystems installed in processing tools aroundthe world. With the introduction o thehigher repetition rate EQ-10HR, we canurther enable the advancement o EUV asthe next HVM lithography technique.www.eneeq.m

    ENERgEtiq

    tEchNOLOgY

    SOkUdO

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    14/16

    30 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

    interview technology focus

    W -f-

    l lgy?

    Most companies in the chip industry arenow using 193 nm lithography or 193 nmimmersion lithography to achieve eaturesas small as 32 or 45 nm hal-pitch. Pitch the size o a line plus a space representsdensity in lithography and is a better measureo technical achievement than eature sizealone. With clever patterning schemessuch as double- or multiple-patterning,

    hal-pitch values o 27 nm can be achieved,which was initially thought impossible using193 nm lithography. Although 193 nmlithography is still viable today, it is clear thatit will be the last truly optical lithographytechnology. As we approach limits suchas the numerical aperture o the lens orimmersion lithography, another generationo lithography technology is clearly needed.Feature sizes are not the only driving actor;cost is also a big issue. Double patterning isexpensive because it involves perorminglithography twice per level and usingadditional etching steps. Tis is why many

    companies are turning to extreme ultraviolet(EUV) lithography. Although not neededuntil target hal-pitch values reach around22 nm, some companies are choosing EUVlithography or hal-pitch values that can beachieved with 193 nm technology simplybecause EUV is less expensive.

    i euV lgy x-g

    md f ?

    Yes. Te semiconductor industry has thrownits weight behind this technology and isinvesting substantial amounts o money tocreate the required inrastructure. Because

    EUV lithography is the most dicultand technically challenging lithographytechnique ever developed, there needs tobe an unprecedented level o cooperationwithin the industry to bring this technologyto market by 2012 or 2013 as planned.Lithography is the single most important and most expensive piece o inrastructureneeded by the semiconductor industry, andcompanies are now working in earnest tobring EUV lithography to market.

    Wy euV lgy dffl?

    Te main problem is that EUV radiation isabsorbed by air and many other materials.

    Tis means that new optics, new masks andnew techniques must be developed to useEUV lithography. It also means that thewhole process must take place in a vacuum.

    W l llg ll d b vm bf euV lgy

    bm mmlly fbl?

    Tere are many technical challenges, but themost important ones relate to the reductionoptics, the light source and the masks. AnEUV lithography system needs reectivemirrors composed o around 100 layers omaterial. Tese layers are oen only a ewmolecules thick and require accuracy atthe angstrm level. Furthermore, the lightsource or EUV is no ordinary laser or lightsource; it is a plasma generated through either

    a laser or an electrical discharge method.Although good progress is being made inthe development o this light source, themain issue is power. odays systems deliveronly about 1020% o the required power,but we are condent that the technologywill be ready in time. Making deect-reemasks or EUV lithography is also a hugechallenge and requires a major developmentinvestment. Electron beam lithographyis currently used to make masks, but itsthroughput is low. Some companies are tryingmulticolumn electron beam lithographyto increase throughput, but I am not surethis technology will be ready in time.

    Extreme ultraviolet lithography can onlybe brought to market i all the necessaryinrastructure is available. Mask technology isone area where investment is lacking, and thisneeds to be addressed.

    W lm f euV lgy?

    We believe EUV lithography can be pushedto produce eature sizes down to 10 nm,so it is a technology that will last or morethan one generation o eature size. Every

    time people make predictions about thelimitations o a lithography technique,scientists and engineers nd ways to breakthese barriers. But with EUV lithography,we are starting to reach limits that really areundamental. For example, we are startingto talk about creating electronic switchesmade up o only a ew atoms. In this case, itis not clear what the limit is and where wewill go rom there. Tere will come a timewhen lithography will no longer drive theelectronics industry as it does today. Instead,some other way o making chips will have tobe developed.

    W b lgylg?

    When it comes to next-generationlithography, there are no viable challengersto EUV lithography or making integratedcircuits. However, there are many diferenttypes o lithography being developed thatcould nd uses in other applications such asphotonic devices, micro-electromechanicalsystems and memory chips. Nano-imprintlithography is now a commercial realityand Sematech is assessing it or use in thesemiconductor industry. Although this

    technique has great resolution, its useor making integrated circuits is limitedbecause it is a contact orm o lithographyand thereore introduces too many deects.It has great potential or use in the storageindustry, however, where deects arenot that critical. Sel-assembly is also aninteresting technique that could be used toproduce ner lines, and this technology hasa promising uture.

    IntervIew by nadya anscombe

    Nadya Anscombe is a freelance science andtechnology journalist based in theUnited Kingdom.

    Pi imiJohn Warlaumont, vice president of advanced technologies at SEMATECH, a consortium of the worlds chip

    manufacturers, talks to n a about the future of optical lithography.

    J Wlm: Every time people makepredictions about the limitations o a lithographytechnique, scientists and engineers fnd ways tobreak these barriers.

    SEMATECH

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    15/16

    www.nature.com

    Nature Publishing Group

    Covering the spectrum

    of the physical sciences

    *2008 Journal Citation Report(Thomson Reuters, 2009)

    Nature Publishing Group offers a range of journals in the physical sciences

    from the broad scope of Natureto specific titles in physics, photonics, materials,

    nanotechnology, geosciences, chemical biology and chemistry. Each journal carries

    a mix of research papers, reviews, news and views, and commentaries that keeps

    you up-to-date with the latest research in your area.

    Available from Nature Publishing Group in 2010 Polymer JournalPolymer Journalis the official journal of The Society of Polymer Science, Japan

    (SPSJ) and aims to provide an integrated platform for scientific communication,

    facilitating the advancement of polymer science and related fields.

    Visit www.nature.com to:

    - register to receive table of content e-alerts

    - sign up for RSS feeds

    - enter a personal subscription

    - recommend the journals to your library

    SOLAR CELLSOrganicsbeatrecombination

    RANDOM LASERSOperationalinsights

    PLASMONICSElectricaldetection

    Abrightapproachto E-paper

    MAY 2009 VOL 3 NO 5www.nature.com/naturephotonics

    n p h otn .0 0 9 .o v r y n d d 1 2 / /9 0 :7 :0

    Impact factor:

    24.982*

    TITANS WINDSCluesfromdunes

    SLAB SURFACESHotandsweaty

    ATMOSPHERIC CO2Roleformarinerecycling

    Sea-level control ofmonsoon moisture

    SEPTEMBER 2009 VOL 2 NO 9www.nature.com/naturegeoscience

    METALORGANIC FRAMEWORKSCaughtinacationtrap

    CHARGE-SHIFT BONDINGChemistrysnexttopmodel

    GOLD CATALYSISCationorcarbene?

    Goldenopportunityforproteinsensing

    SEPTEMBER 2009 VOL 1 NO 6www.nature.com/naturechemistry

    Launched in

    April 2009

    Pollymerer JoourrnalVolume42 Number1 January 2010 www.nature.com/pj

    ISSN 0032-3896

    EISSN 1349-0540

    TeSocietyofPolymerScience,Japan

    Quisiscilit alit amconutatuedelit nullandiat doloremvelisim

    Augiamquipit verosad tevullafaccumdolorsit augiat

    Duisnim dolum erseconseet sisnonsed tatueriustievercip ex eufacilla

    Po l r J u r n o v r ) d 2 6 / /9 0 :2 :3

    Available in 2010

    SPINTRONICSTheorganicchoice

    BIOCATALYSISActivityat astretch

    ATOMIC DIFFUSIONSinglejumpsobserved

    Plasmonicslooking sharp

    SEPTEMBER 2009 VOL 8 NO 9www.nature.com/naturematerials

    Impact factor:

    23.132*

    0109 ChemB ov.ndd 11/6/8 :1:9

    Impact factor:

    14.612*

    PHONON LASERStimulatedexcitation

    DROPLET DYNAMICSFragmentationofaraindrop

    MAGNETISM IN SUPERCONDUCTORSLocalizedspinslocated

    Metamaterialsmockthe heavens

    SEPTEMBER 2009 VOL 5 NO 9www.nature.com/naturephysics

    Impact factor:

    16.821*

    Impact factor:

    20.571*

    20 Macmillan Publishers Limited. All rights reserved10

  • 8/8/2019 naturephotonics-techfocus_jan2010

    16/16