4
National Conference On Emerging Trends In Information, Management And Engineering Sciences NC’e-TIMES #1.0- 2018 ISSN: 2395-1303 http://www.ijetjournal.org Page 1 DESIGN AND SIMULATION OF STATUS REGISTER USING MULTIBIT FLIPFLOP FOR UART APPLICATION- (TIME-EC056) P. Syamala devi 1 , K.Keshava reddy 2 ,A. Anitha 3 ,Y.Mahesh 4 1Assistant professor 1 , Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet 2UG scholar 2 , Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet 3UG scholar 3 , Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet INTRODUCTION: One of the transmission data types is serial communication protocol i.e. UART (Universal Asynchronous Transmitter and Receiver). It is mainly used for serial data communication in an asynchronous way and by it converting the data from parallel to serial at the transmitter by adding some extra bits using a shift register. Synchronizing the flow of data signals am0ng synchronous data paths using clock distribution networks. The network of this design can dramatically affect the reliability and system wide-performance. The skew background is providing a better understanding between the clock distribution networks and interacts with data paths. The timing constraints of high and low clocks are developed from the relative timing between the data paths and localized clock skew network. Reducing the number of switching elements in the circuits internally logical elements and number of flipflops must be reduced. The process of analysing the timing performance of multi bit flipflop can be done by using simulation in Quartus II and power play analyser as well as tanner tool. Therefore, the results such as clock buffer and gate delays are will be reduced in the clock distribution network. As a result, the total area for the design and power consumption is reduced. II. UART ARCHITECTURE: UART architecture or protocol used between the devices in order to communicate. Number of computers and microcontrollers including most of the serial data parts over to connect with other Input as well as output serial devices such as printers, mouse, keyboards. Using a UART can establish a connection between two computers and in each device Serial parts are being used between them. A cross server cable requires establishing a connection between the transmitters of one UART to the receiver other computer UART and vicing versa. In UART communication Transmission of data bit by bit at a time over single data communication cable to the other receiver. To transfer the data over the long distances, this method is useful as it requires low data transfer rates. In serial communication most of the computers consist of one or more serial ports, so it becomes easier than other transmission, hence there is no requirement of hardware. A cable is required in order to establish the connection between the two devices. A UART provides the minimum number of wires to send information. Without giving a clock signal we can send the data bit. The conversion of parallel-to-serial while transmitting and serial-to- parallel when receiving is the Main function of the UART Clock signals. The proposed system of UART comparing it with existing method is explained in this paper. 2.1 LINE CONTROL REGISTER (LCR): LCR is a Line control register and acts as byte register. The usage of LCR format is to specify the format frame and baud rate decider. It is used for precise specification of frame format and decided baud rate. By writing the exact bits in LCR we can change the parity bit, baud rate selection, stop bits, wire length. Fig: LCR FORMAT Abstract: Universal Asynchronous Receiver and Transmitter (UART) is the microchip for asynchronous serial communication. This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single bit flip flop (SBFF). MBFF with delay assertion technique is used to reduce the Power and area by merging the flip flops using combination table. While the data is being transmitted as well as received, it will detect some types of errors like parity error, framing error, overrun error and break error. Using the MBFF method the total inverter number is to be reduced by sharing the inverters, it in turns gives reduction of wire length, hence these results in reduction of area and power consumption. The comparison results for the flipflops with merging and without merging by using delay assertion technique are observed. Keywords --UART, status register, Multibit flipflop, Delay assertion technique, Quartus II, power play analyser

National Conference On Emerging Trends In Information ...This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: National Conference On Emerging Trends In Information ...This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

National Conference On Emerging Trends In Information, Management And Engineering Sciences NC’e-TIMES #1.0- 2018

ISSN: 2395-1303 http://www.ijetjournal.org Page 1

DESIGN AND SIMULATION OF STATUS REGISTER USING MULTIBIT FLIPFLOP FOR UART

APPLICATION- (TIME-EC056)

P. Syamala devi1, K.Keshava reddy

2,A. Anitha

3,Y.Mahesh

4

1Assistant professor

1, Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet

2UG scholar2, Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet

3UG scholar3, Department of ECE, Annamacharya Institute of Technologies & Sciences, Rajampet

INTRODUCTION: One of the transmission data types is serial

communication protocol i.e. UART (Universal Asynchronous Transmitter and Receiver). It is mainly used for serial data communication in an asynchronous way and by it converting the data from parallel to serial at the transmitter by adding some extra bits using a shift register.

Synchronizing the flow of data signals am0ng

synchronous data paths using clock distribution networks.

The network of this design can dramatically affect the

reliability and system wide-performance. The skew

background is providing a better understanding between the

clock distribution networks and interacts with data paths.

The timing constraints of high and low clocks are developed

from the relative timing between the data paths and localized

clock skew network. Reducing the number of switching

elements in the circuits internally logical elements and

number of flipflops must be reduced.

The process of analysing the timing performance of

multi bit flipflop can be done by using simulation in Quartus

II and power play analyser as well as tanner tool. Therefore,

the results such as clock buffer and gate delays are will be

reduced in the clock distribution network. As a result, the

total area for the design and power consumption is reduced.

II. UART ARCHITECTURE: UART architecture or protocol used between the

devices in order to communicate. Number of computers

and microcontrollers including most of the serial data parts

over to connect with other Input as well as output serial

devices such as printers, mouse, keyboards. Using a UART

can establish a connection between two computers and in

each device Serial parts are being used between them. A

cross server cable requires establishing a connection between the

transmitters of one UART to the receiver other computer UART

and vicing versa. In UART communication Transmission of data bit

by bit at a time over single data communication cable to the other

receiver. To transfer the data over the long distances, this method

is useful as it requires low data transfer rates. In serial

communication most of the computers consist of one or more

serial ports, so it becomes easier than other transmission, hence

there is no requirement of hardware. A cable is required in order

to establish the connection between the two devices. A UART

provides the minimum number of wires to send information.

Without giving a clock signal we can send the data bit. The

conversion of parallel-to-serial while transmitting and serial-to-

parallel when receiving is the Main function of the UART Clock

signals. The proposed system of UART comparing it with existing

method is explained in this paper.

2.1 LINE CONTROL REGISTER (LCR): LCR is a Line control register and acts as byte register.

The usage of LCR format is to specify the format frame and baud

rate decider. It is used for precise specification of frame format

and decided baud rate. By writing the exact bits in LCR we can

change the parity bit, baud rate selection, stop bits, wire length.

Fig: LCR FORMAT

Abstract:

Universal Asynchronous Receiver and Transmitter (UART) is the microchip for asynchronous serial communication.

This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

bit flip flop (SBFF). MBFF with delay assertion technique is used to reduce the Power and area by merging the flip flops using

combination table. While the data is being transmitted as well as received, it will detect some types of errors like parity error,

framing error, overrun error and break error. Using the MBFF method the total inverter number is to be reduced by sharing the

inverters, it in turns gives reduction of wire length, hence these results in reduction of area and power consumption. The

comparison results for the flipflops with merging and without merging by using delay assertion technique are observed.

Keywords --UART, status register, Multibit flipflop, Delay assertion technique, Quartus II, power play analyser

Page 2: National Conference On Emerging Trends In Information ...This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

National Conference On Emerging Trends In Information, Management And Engineering Sciences NC’e-TIMES #1.0- 2018

ISSN: 2395-1303 http://www.ijetjournal.org Page 2

2.3 TRANSMITTER SECTION:

The transmitter section receives the parallel data,

generates the frame of data and transmits in serial

communication and the transmitter output. The FIFO is loaded

from TXIN0 -TXIN7. The highest significant bits are not

transmitted. When words less than 8 bits are used if least

significant bits are transmitted.FI THR (transmitter hold

register) will receives the signal from FIFO, if THR is empty

then it sends signal to FIFO which gives acknowledgement to

THR then it receives the signal from FIFO.THR receive the

signal from TSR (transmitter shift register) if it is empty it will

send the signal to THR.TSR consist of 12-bit register. The

output of TSR consisting of 3 data frames such as data frame

bit, stop bit and parity bit. The data is transmitted from TSR to

TX OUT serial way.

Figure 2: UART Architecture

2.3 RECEIVER SECTION:

The transmitted output will be given as input to

receiver. The data will be applied to sampling logic.at the RXIN

pin the TXOUT pins transmitted data is available on RXIN.

The synchronization of clock between receiver and transmitter

for the purpose of receiving and timing control. Initially logic

will be high then it goes to low sampling and block will take 4

samples and these indicates the start of frame. After remaining

bits sampled and send t0 receiver shift register. Now RHR is

empty it sends signal to RSR for transferring the data. Now

remaining bits in RSR will be used to error logic. Data will be

available be parallel form on the RXOUT 0-RXOUT 1 pins.

There are 4 types of err0rs those will be handle by the logic

block, those are parity error, frame err0r, overrun error break

error. FIFO is empty it sends signal to RHR so data is go to

RHR. if receiver input is low for more time then the received

data will be break.

2.4 STANDARD DATA FORMAT

FOR UART:

The frames of 8 data bits and coded

information bits are contained in the form of serial

data bits. The transmission line should be high during

successive transmission. While transmitting the data,

transmission is starts by a low start bit first. The 8-bit

data frame is transmitted from LSB to MSB after

sending the start bit, parity bit is transmitted. these

parity bit represents the result of 8 data bits. the parity

can be obtained and encoded based on, Weather it

seven or odd parity mode. And, at the end of data

frame, stop bit is received.

Figure 3: UART data format

III.MULTIBIT FLIP FLOP CONCEPT:

The single bit flipflop is shown in figure 3 it has two

latches master and slave latch these latches needs the individual

cl0cks so, in order to get better delay, by generating clock from

clock. That is, merging of clock puilse.it is called the multibit

flipflop concept. figure 5 shows the example of multibit flipflop

concept the multibit flipflop contains two inverts, master and

slave latch.

Figure 4: Single-Bit Flip-Flop

By generating the CLK from CLK can have the

better delay from CLK ->Q.so the clock path consists of two

inverters. Theref0re, it will have two inverters in the clock path.

Fig.5 shows an example of combining two 0ne-single flip-flops

into 0ne two-bit flip-flop. Each single-bit flip-flop c0nsists of 2

inverters. Master latch and slave latch to store the data in it.

.

Page 3: National Conference On Emerging Trends In Information ...This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

National Conference On Emerging Trends In Information, Management And Engineering Sciences NC’e-TIMES #1.0- 2018

ISSN: 2395-1303 http://www.ijetjournal.org Page 3

Figure 5: Merging Two 1-Bit Flip-Flops into One 2-Bit Flip-Flop

(multi bit flip-flop)

Now a days it becomes trend emergency of merging flip

flops so that can reduce the size power and other parameters.

As the technology is advanced day to day the smaller

geometrical nodes like 65nm, 85mm and beyond, the clock

drivers had driven more than 1-flipflop.by the combining or

merging one-bit flip-flops into one multi-bit flip-flop can avoid

number of replica inverters, and can decrease the total power

consumption.

SIMULATON OUTPUTS:

Fig ;1 simulation results of MBFF

Fig:2 frequency details using MBFF Fig: 3 area analsis using MBFF

Fig:4 power analysis using MBFF

Fig:5 power analsis using SBFF

Page 4: National Conference On Emerging Trends In Information ...This paper is mainly focus on the design of status register of UART using multibit flipflop (MBFF) and compares with single

National Conference On Emerging Trends In Information, Management And Engineering Sciences NC’e-TIMES #1.0- 2018

ISSN: 2395-1303 http://www.ijetjournal.org Page 4

RESULT ANaLYSIS:

By designing the status register using MBFF in UART, the simulated results shown in the MODELSIM, QUARTUS II in POWER PLAY ANALYSER. The power reduction is up to 73.84mW using MBFF whereas power reduced using SBFF is 109.51mw. Therefore 30% of power is reduced using MBFF when compared with SBFF.the simulation results are shown in above figures. Area is reduced in terms by reducing the inverters or the clock buffers using using maximum frequency of about 559.39MHz.

Parameter Existing Proposed Logic Elements 100 77 Power 109.51mw 73.84mw Dynamic Power 13.04mw 3.12mw Static Power 18.17mw 18.09mw I/O Thermal Power 78 mw 52.63mw Fmax 420.17MHz 559.39MHz

0

20

40

60

80

100

1st Qtr 2nd

Qtr

3rd Qtr4th Qtr

East

West

North

CONCLUSION:

In this paper the VLSI design has addressed one of the most important issues that reducing the utilization of logical parameters. Achieving the experimental results by targeting it into number of cl0ck buffer usage and power consumed by the clock buffer. MBFF has more advantageous over SBFF, and utilizing other reduction techniques can reduce the various parameters.

REFERENCES:

[1] P. R. Panda, A. Shrivastava, B. V. N. Silpa, and K.

Gummidipudi, Power- Efficient System Design. New York,

NY, USA: Springer, 2010.

[2] K.-Y. Siu, V. Roychowdhury, and T. Kailath, Discrete

Neural Computation: A Theoretical Foundation.

Englewood Cliffs, NJ, USA:Prentice-Hall, 1995.

[3] V. Beiu, “A survey of perceptron circuit complexity

results,” in Proc.Int. Joint Conf. Neural Netw. (IJCNN),

Jul. 2003, pp. 989–994.

[4] Beiu, J. M. Quintana, and M. J. Avedillo, “VLSI

implementations of threshold logic—A comprehensive

survey,” IEEE Trans. Neural Netw., vol. 14, no. 5, pp.

1217–1243, Sep. 2003.

[5] S. Leshner, “Modeling and implementation of threshold

logic circuits and architectures,” Ph.D. dissertation,

Comput. Sci., Arizona State Univ. Tempe, AZ, USA, 2010.

[6] R. Z. Fowler and E. W. Seymour, “Direct coupled,

current mode logic,” U.S. Patent 3 321 639, May 23,

1967.

[7] V. J. Modiano, “Majority logic circuit using a constant

current bias,” U.S. Patent 155 839, Nov. 3, 1964

[8] J. A. Hidalgo-López, J. C. Tejero, J. Fernández, and A.

Gago, New types of digital comparators,” in Proc. IEEE Int.

Symp. Circuits Syst., Apr./May 1995, pp. 9–32

[9] V. G. Oklobdzija, Digital System Clocking—High-

Performance and Low-Power Aspects. New York, NY, USA:

Wiley, 2003.

[10] L. Benini, A. Bogliolo, and G. De Micheli, “A survey on

design techniques for system-level dynamic power

management,”