22
WET CLEANING AS AN IMPROVED FINAL QUALITY CONTROL OF DRIE-PRODUCED FEATURES Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth D. Mackenzie, Marco Notarianni Plasma-Therm LLC

Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Embed Size (px)

Citation preview

Page 1: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

WET CLEANING AS AN IMPROVED FINAL QUALITY CONTROL OF DRIE-PRODUCED FEATURES

Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra

Dynaloy LLC, a subsidiary of Eastman Chemical Company

Thierry Lazerand, Kenneth D. Mackenzie, Marco Notarianni

Plasma-Therm LLC

Page 2: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Also known as “Bosch Process” Provides a highly anisotropic etch in

wafer substrates Can create features that are hundreds of micrometers thick and have aspect ratios of ~20:1 Industry Uses◦Power Devices, Capacitors, Cantilevers, through-silicon vias for 2.5D and 3D advanced packaging, and

plasma dicing to singulate chips1

Deep Reactive Ion Etch (DRIE)

1“Wafer Dicing using Dry Etching on Standard Tapes and Frames,” Proceedings from IMAPS, San Diego CA, October 13-16, 2014.

Page 3: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Uses Bosch Process to singulate chips from semiconductor wafers◦Wafers are adhered to a dicing frame with dicing tape

for handling post process

Damage free process with high throughput

Decreases the requirement for street sizes◦More silicon area for additional devices

Plasma Dicing Overview

Page 4: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Bosch Process Flow

Bosch process uses two Plasma source gases◦ SF6 for Etching Step

◦ C4F8 for Passivation Step

The passivation step creates a fluorinated polymer liner on trench sidewall

The etching step leaves the liner material behind as a residue.

Silicon

Photoresist

SF6 Plasma

Silicon

C4F8 Plasma

Silicon

SF6 Plasma

Page 5: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Post-Bosch process cleaning is needed to remove:◦Highly fluorinated polymeric residue from etching through

passivation layer located primarily on the sidewalls Residue is inert, hydrophobic, difficult to remove, and

harder to dissolve Fluorine contamination can cause upstream integration

issues1

◦Photoresist used as mask during Bosch process Two common cleaning processes ◦O2 plasma treatment

◦Wet chemical stripping – focus of this presentation

Post–Bosch Process Cleaning

1Surface and Interface Analysis, “Fluorine-induced Corrosion of Aluminium Microchip Bond Pads: an XPS and AES Analysis”, V.21, pp691-696, 1994.

Page 6: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Wet Cleaning may provide advantages over O2

plasma cleaning◦ Increased throughput, lower maintenance cost,

reduced utilization of valuable plasma tool time◦Tailoring of the wet cleaning chemistry can allow for

specific needs of specific processes to be met

Wet cleaning has previously been shown to remove Post-Bosch etch residue and damaged photoresist in TSV creating process1,2

Wet Cleaning Considerations

1. “TSV resist and etch residue removal for 3DIC,” Proceedings from IWLPC, San Jose, CA, November 2013; “Efficient TSV Resist and Residue Removal in 3DIC,” IMAPS Device Packaging Conference, Phoenix, AZ, March 2014

2. “Formulation Development for Bosch Etch Residue Removal: Effect of Solvent on Removal Efficiency,” Proceedings from IMAPS, Advanced Packaging & the Internet of Things: The Future of Our Industry, Orlando, FL, October 2015.

Page 7: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Definition of Clean: Complete removal of polymer based residue, including all fluorine, and compatibility with the underlying silicon surface.

Techniques for Determining Cleaning◦Optical Microscope◦Scanning Electron Microscope (SEM)◦Energy Dispersive X-ray (EDX)◦Auger Electron Spectroscopy (AES)

Bosch-Process Post Cleaning Inspection

Page 8: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Optical Microscopy◦ Due to feature size and shape this technique is not suitable

SEM◦ Can be used to determine if morphology differences are present but

can’t easily distinguish between silicon defects and residue EDX◦ Useful in determining difference between Silicon defect and residue.

Analyzing for Fluorine is used to determine cleanliness. Detection limits too high for TSVs due to aspect ratio and geometry effects.

AES◦ Very surface sensitive technique for determining cleanliness of surface.

Analyzing for Fluorine is used to determine cleanliness. Lower detection limits compared to EDX when analyzing TSVs.

Bosch-Process Post Cleaning Inspection (cont.)

Page 9: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Chemistry Characteristics◦TMAH-free◦Water and IPA rinse able◦High flash point

Beaker test were used to determine effectiveness◦All test were performed at 70°C with varying times

Evaluation of Proposed Chemistry for Bosch-Process Residue Removal

Flash point (°C)

Specific Gravity (g/mL)

Viscosity @ 25°C,

(cP)

Viscosity @ 38°C,

(cP)

Surface tension (mN/m)

90 1.085 3.4 2.6 38.9

Page 10: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

TSV Cleans

Page 11: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation of Proposed ChemistryTSV Cleaning

Pre-process SEM - EDX Inspection

Fluorine Fluorine

Fluorine

TopMiddleBottom

Vias Diameter = 5µmPitch = 10µm

Page 12: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation of Proposed ChemistryTSV Cleaning

Post-process SEM - EDX Inspection

TopMiddleBottom

Vias Diameter = 5µmPitch = 10µm

No FluorineDetected

No FluorineDetected

No FluorineDetected

Beaker Process Conditions

5 minutes @ 60 °CLow agitation

Page 13: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation of Proposed ChemistryTSV Cleaning

Post-process AES Inspection

Vias Diameter = 5µm

Pitch = 10µm

Beaker Process Conditions

5 minutes @ 60 °CLow agitation

AB

No Fluorine Detected at top, middle, bottom of via by AES

Page 14: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Plasma Dicing Cleans

Page 15: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

4 x 200 mm full thickness wafers with 15µm unified streets and 1mm2 square die used as test vehicles

o All wafers had a 6µm photoresist (PR) mask

o All wafers were partially etched to a depth of ~300µm

o Some wafers had post-etch, in situ O2 plasma treatment

o All wafers were processed by Bosch etch but the polymer passivation step time was varied.

Description of Test WafersPlasma Dicing

Page 16: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Coupons were cleaved along the etched trench for SEM and EDX inspection

EDX spectra were collected at the top, middle, and bottom of trench sidewalls before and after cleaning

Analysis ProcedurePlasma Dicing Test Wafers

Page 17: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation Results Test Wafer #1Wafer Etch Process Conditions Beaker Process Conditions

Standard processing conditions; PR mask left intact on wafer

60 minutes @ 70 °CLow agitation

Top Middle Bottom

Pre - Clean

Post - Clean

Fluorine Wt% = 40

Fluorine Wt% = 34

Fluorine Wt% = 21

Page 18: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation Results Test Wafer #2Wafer Etch Process Conditions Beaker Process Conditions

Enhanced passivation step; PR mask left intact on wafer

120 minutes @ 70 °CLow agitation

Top Middle Bottom

Pre - Clean

Post - Clean

Fluorine Wt% = 39

Fluorine Wt% = 42

Fluorine Wt% = 37

Page 19: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation Results Test Wafer #3

Wafer Etch Process ConditionsBeaker Process

ConditionsStandard processing conditions; PR mask stripped by in situ O2 plasma treatment

10 minutes @ 70 °CLow agitation

Top Middle Bottom

Pre - Clean

Post - Clean

Fluorine Wt% = 6

Fluorine Wt% = 3

Fluorine Wt% = 2

Page 20: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Evaluation Results Test Wafer #4

Wafer Etch Process ConditionsBeaker Process

ConditionsEnhanced passivation step; PR mask stripped by in situ O2 plasma treatment

60 minutes @ 70 °CLow agitation

Top Middle Bottom

Pre - Clean

Post - Clean

Fluorine Wt% = 22

Fluorine Wt% = 10

Fluorine Wt% = 3

Page 21: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

Summary of Results

Test Sample

 

Process Temp

(°C)/Time (min)

 

Sidewall Condition, Pre-Clean

(F wt.%, measured using EDX)

Sidewall Condition, Post-Clean

(F wt.%, measured using EDX)

Result 

Top Middle Bottom Top Middle Bottom

#1 70/60 40 34 21 ND ND ND Clean

#2 70/120 39 42 37 ND ND ND Clean

#3 70/10 6 3 2 ND ND ND Clean

#4 70/60 22 10 3 ND ND ND Clean

ND = not detected

Page 22: Meng Guo, Donald Pfettscher, Kimberly Pollard, Richard Peters, Travis Acra Dynaloy LLC, a subsidiary of Eastman Chemical Company Thierry Lazerand, Kenneth

The Bosch-process is being implemented in many areas of semiconductor manufacturing

One of the exciting new areas is Plasma Dicing to singulate Chips

A proposed chemistry for post Bosch-process wet cleaning was able to completely remove fluorinated polymer passivation residue from 4 types of wafers with varying degrees of residue

The wet cleaning process has the potential to replace a two step process with a one step solution

Conclusion