Mask Less Lithography

Embed Size (px)

Citation preview

  • 8/2/2019 Mask Less Lithography

    1/12

    Maskless lithography

    R. Fabian Pease

    Stanford University, Stanford, CA 94305-4075, USA

    Available online 22 January 2005

    Abstract

    The high and rising cost of photomasks (largely driven by writing times exceeding 24 h) is driving the exploration of

    maskless lithography for applications requiring throughput about 1 cm2/s which is about one tenth that of an optical

    projection exposure system. Achieving this throughput with charged particle lithography requires currents 10,000 times

    larger than those presently used and hence sets up the need for charged particle optics radically different from those

    being used today. Achieving this throughput with optical maskless lithography at the required minimum features sizes

    of 65 nm and below is a serious engineering challenge for the spatial light modulator. Meeting 10% or even 1% of the

    throughput requirement might still result in mask writing and inspection technologies that would lead to significantly

    less expensive masks. Furthermore, relaxing the requirements on control of individual edge positions (i.e., a fixed-shape

    projector) would significantly ease the above challenges.

    2005 Published by Elsevier B.V.

    Keywords: Maskless; Lithography; Electron beam; Optical lithography

    1. Introduction

    To try and avoid the high and rising costs of

    photomasks, two forms of maskless lithography

    are being seriously pursued. One is optical(OML), whose proponents claim enjoys no funda-

    mental limit to throughput and the other is charged

    particle maskless lithography (CPML2) that is

    claimed to enjoy no practical limit to resolution.

    Needless to say the above claims are over-

    simplifications. OML has recently been reviewed

    by Sandstrom, Hintersteiner and their colleagues

    at Micronic Laser and ASML [1] and will be only

    briefly covered here.

    A notional requirement is an exposure rate of

    1 cm2/s and minimum feature size of 65 nm extend-

    able to 45 nm for OML and to 25 nm for CPML2.

    2. Definitions (Fig. 1)

    Minimum Feature size (MFS): the nominal size

    of the minimum feature to be exposed on the

    wafer.

    0167-9317/$ - see front matter 2005 Published by Elsevier B.V.

    doi:10.1016/j.mee.2005.01.009

    Microelectronic Engineering 7879 (2005) 381392

    www.elsevier.com/locate/mee

  • 8/2/2019 Mask Less Lithography

    2/12

    Minimum Address Unit (MAU): the smallest

    increment by which we want to adjust the posi-

    tion of the edge of a feature (also called the

    design grid).

    Ray: the trajectory of a single photon or charged

    particle.

    Pencil: Ideally a collection of rays converging to a

    single point in the image; here, we mean a collec-

    tion of rays converging to the best focus.

    Bundle: A collection of pencils whose landing areasare contiguous.

    Beam: The total flux of photons or charged parti-

    cles in the system.

    Column: A source and one or more lenses axially

    symmetric about an optical axis.

    Space-charge blurring includes stochastic (scatter-

    ing) and continuum (lens) effects.

    For example a pattern generator employing a

    single pencil beam may have a pencil size

    (FWHM) the same as the MAU. But, as shownbelow, a more economical strategy is to have a

    pencil size much larger and adjust the current

    in the pencil to adjust the position of the feature

    edge (Fig. 1c). A more advanced pattern

    generator may employ a beam that is a bundle

    defining a MFS onto the wafer and adjust the

    positions of feature edges using a variable-shape

    technique. Some systems are now being devel-

    oped feature a beam comprising an array of

    bundles.

    3. Four limitations to throughput W

    As pointed out above, we should aim for

    W= 1 cm2/s.

    One well-known limitation is the dose required

    by the resist. For OML this, is usually expressed

    in mJ/cm2; the development of increasingly pow-

    erful lasers for optical projection lithography at

    10 cm2/s suggests that this is not a serious prob-

    lem for OML.For CPML2 this dose, usually expressed in

    Q lC/cm2, is that used to bring about the required

    chemical change in the resist. In most instances the

    value ofQ increases with the energy of the particle

    to keep constant the energy dissipated per unit vol-

    ume in the resist.

    Obviously W6 I/Q and so to maintain

    W= 1 cm2/s for Q = 1 lC/cm2 (corresponding to

    a sensitive resist) we need IP 1 lA. This might

    just be practical for an MFS of 200 nm in a sin-

    gle-bundle system but not for 25 nm (Fig. 2).Hence a multi-bundle system seems to be needed.

    The speed at which the beam is scanned across

    the target can also limit throughput. For example,

    if we employ in a CPML2 system a stage speed of

    v cm/s and sweep width y cm then for a single bun-

    dle system W6 vy cm2/s; for a n-bundle system the

    W6 nvy cm2/s. So for n = 1 and y = 100 lm, the

    stage speed must be at least 100 cm/s. This is about

    ten times faster than todays stages and may cause

    unacceptable blurring for dwell times exceeding

    Fig. 1. Definitions.

    382 R.F. Pease / Microelectronic Engineering 7879 (2005) 381392

  • 8/2/2019 Mask Less Lithography

    3/12

    10 ns but with n = 10 this need not be a problem.

    Alternatively, we can use a high-speed electrostatic

    deflector to stop the beam travel over the sample

    during the dwell time. So mechanical stage speed

    does not seem to be a serious limiting factor for

    CPML2 especially as on grounds of required total

    current multiple bundles are needed.The case of OML is trickier for two reasons:

    high-speed (300 MHz) deflection of the beam is

    more difficult to achieve, and the source, instead

    of being continuous as in the charge particle case,

    is pulsed at a repetition frequency (PRF) about

    10 kHz. Thus, in the absence of such high-speed

    deflection, a single bundle of beams should be

    v/10,000 long and the sweep width is achieved by

    having sufficient pencils in the y-direction (Fig.

    3). For example, if we have 5 5 pencils per

    MFS, MFS = 50 nm, and v = 10 cm/s, then weneed 1000 pencils in the x-direction; and to achieve

    W= 1 cm2/s, we need y = 0.1 cm so the total num-

    ber of pencils in the y-direction is 100,000 or 1e8

    pencils altogether. Hence, engineering a sufficient

    array of spatial light modulators is challenging.

    An additional drawback to OML is that we need

    to delineate not just the nominal pattern, but the

    much more complicated pattern demanded by

    the resolution enhancement technologies (Fig. 4).

    Thus, even more pencils might be required.

    The max frequency f at which we can modulate

    the beam can also limit W. For the nave system,

    where the beam only exposes 1 MAU at a time

    (1 bit/MAU) W6f(MAU)2; for a MAU of 1 nm

    we need f= 100 THz. Obviously, we need a system

    that exposes many MAUs simultaneously. There

    is at least one commercially available EBL tool

    Fig. 3. For a pulsed illumination on a stage moving at velocity

    v in the x-direction the exposure can be accomplished as a

    sequence of flashes such that the bundle of pencils fills the

    distance traveled between pulses (v/PRF). The throughput is

    then given by W= vy and the number of pencils is W/PRF/p2,

    where p is the distance between pencil centers.

    Fig. 2. Space-charge blurring of 1-bundle (shaped-beam) systems.

    R.F. Pease / Microelectronic Engineering 7879 (2005) 381392 383

  • 8/2/2019 Mask Less Lithography

    4/12

  • 8/2/2019 Mask Less Lithography

    5/12

    In general,we need m quanta/(MFS), so

    W= I(MFS)2/mq, where q is the electronic charge,

    i.e., the throughput decreases as the square of the

    MFS for a given I.

    For example if MFS = 25 nm and n = 25,000,

    then I> 0.6 mA! This is about two orders of mag-

    nitude higher than that achieved by any electron

    beam lithography system under development.

    For all systems in use today, I decreases (atleast) as the square of the MFS yet it now appears

    that we are shot noise limited so the required dose

    increases inversely as the square of the MFS. Thus,

    the throughput decreases at least as the fourth power

    of MFS! Thus, a radically different approach is

    now almost certainly required.

    The prospect for ions is even worse because of

    their greater vulnerability to space charge effects.

    Thus, it seems that the main challenge for OML

    is engineering the enormous array (1e8) of spatiallight modulators (SLM). Sandstrom et al. [1] have

    Fig. 5. Tilting Micromirror (courtesy of Karel van der Mast).

    Fig. 6. Outline of ZPAL in which an array of micro-zone plates replaces the projection optics [8].

    R.F. Pease / Microelectronic Engineering 7879 (2005) 381392 385

  • 8/2/2019 Mask Less Lithography

    6/12

    described the system under development jointly by

    Micronic Laser and ASML. Their spatial light

    modulator employs an array of tilting mirrors

    which can deliver a gray-scale image (Fig. 5); alter-native approaches to the SLM are being developed

    by Oldham and by Solgaard et al. Gil et al. [8]

    have described a system in which the refractive

    projection optics (of the ASML system) is replaced

    by an array of zone plates which might well save

    cost (of the optics) and reduce the mechanical tra-

    vel needed (Fig. 6); results have shown sub-wave-

    length resolution.

    For CPML2, the main challenge is achieving

    the combination of current and resolution to over-

    come the shot-noise limitation. The following sec-

    tion is devoted to this issue.

    5. CPML2 architectures (Fig. 7)

    CPML2 can include electron lithography, ion

    beam patterning and writing with charged ink

    droplets. This last form has never exhibited sub

    micron resolution and will not be considered. Ion

    beam patterning is widely used for the repair of

    photomasks without the use of resists. In this case

    the throughput is so small that we shall also ignorethis form of patterning as a contender. Nearly, all

    such CPML2 has been done with electron beams

    although the use of ions is being explored [9].

    CPML2 in the form of direct-write electron

    beam lithography is already used in manufacturewhere only very small areas are required and

    resolution (

  • 8/2/2019 Mask Less Lithography

    7/12

    The most popular way to increase the number

    of MAUs being exposed simultaneously is to em-

    ploy a single bundle, shaped so that one minimum

    feature can be exposed in one flash; i.e., one col-umn, one axis, one bundle. But even here space-

    charge effects set a limit to the sharpness of the

    edges (Fig. 2) which indicates that at the currents

    ten times less than those envisioned there is unac-

    ceptable blurring. An alternative approach is the

    dot matrix approach described by Newman,

    Winograd and by Pfeiffer (Fig. 8) [14]; this allows

    the beamlets to fill the entire field of view of the

    lens. This leads to an electron optical arrangement

    similar to that employed in electron projection

    lithography; indeed the switching element can be

    thought of as an active mask. Although the beams

    might be widely separated near the object plane

    and near the corresponding conjugate planes, thebeams still co-mingle near the pupil planes. Wino-

    grad [14], Han[15a] and Golladay et al. [16] have

    described how these systems are limited in resolu-

    tion by space-charge (Fig. 9). Indeed Han [15b]

    rigorously developed, and experimentally verified,

    an electron optical scaling model so that different

    configurations can be examined. To reach the

    maximum currents, it appears that the focus must

    be modulated according to the instantaneous cur-

    rent to correct for space-charge defocusing; this

    Fig. 8. Many bundles, one axis (Newman, 1983).

    R.F. Pease / Microelectronic Engineering 7879 (2005) 381392 387

    http://-/?-http://-/?-http://-/?-http://-/?-
  • 8/2/2019 Mask Less Lithography

    8/12

    might be practical for a mask exposure system but

    seems quite impractical for a maskless system be-

    cause of the much more rapid changes in total

    current.

    The Nikon corporation has been developing

    EPL and their published experimental results have

    not yet shown controlled feature sizes below 70 nm

    at currents exceeding 1 lA. Furthermore, mask

    projection systems have a significant advantage

    over maskless systems because all the feature edge

    biasing is done at the mask making stage so that

    relatively low resolution projection optics can be

    employed. This is one reason why optical projec-

    tion of mask images has been so effective.

    Fig. 9. Space-charge blurring in 25-pencil, 1-axis system (similar to EPL column). At low current (e.g. 100 nA) each pencil would be

    focused onto a grid point. At 25 mA, 100 KV there is both continuum and stochastic space charge blurring. From Winograd, 1999.

    Fig. 10. IMS Vienna column concept to minimize space-charge blurring (courtesy of T.R. Groves, from [28]).

    388 R.F. Pease / Microelectronic Engineering 7879 (2005) 381392

  • 8/2/2019 Mask Less Lithography

    9/12

    Recently, a European alliance has been formed

    to pursue a multi-bundle, single-axis system that

    employs projection optics designed to minimize

    space charge blurring (Fig. 10) [17,28]. The modu-lation is performed by deflecting the beamlets over

    individual apertures rather than over a common

    aperture as in Fig. 8. At this time no experimental

    results have been reported.

    7. Multiple-axis systems

    Many multiple-axis systems have been pro-

    posed. The earliest (Fig. 11) [18] was not maskless

    and was based on night vision tubes in which a

    chromium-on-quartz wafer was coated with a pho-

    toelectron emitter such as gold or cesium iodide.

    Photoelectrons from the clear regions were acceler-

    ated and focused in parallel, uniform E- and

    B-fields, at unity magnification, onto the resist-

    coated wafer. Working circuits were successfully

    fabricated with such a tool in the late 1970s. The

    smallest features obtained were submicron.

    Although this was significantly finer than the de-

    sign rules current at that time, prototype opticalsteppers were already approaching the same reso-

    lution and development was abandoned. More-

    over, there were problems with overlay errors

    caused by wafer bowing and because of contami-

    nation from the electrons striking the resist a

    new photocathode film had to be evaporated onto

    the mask with each new batch of wafers; this raised

    concern about defects being generated. As de-

    scribed below, a maskless version of this approach

    is now being researched.

    A very different approach is to have an array

    of conventional single-axis, single-beam columns

    [20]. This approach was researched at IBM and

    developed at ETEC (12). Single column resolution

    approached 10 nmat 1 kV and an array of 2 2 col-

    umns occupying a cubic volume of about (50 mm)3

    was demonstrated. However, the difficulty of

    Fig. 11. Distributed axis configurations (a) original ELIPS approach of OKeefe et al. [18]. (b) two-stage version [19]. The focusing of

    the aperture objects onto the wafer is entirely due to the uniform magnetic field.

    R.F. Pease / Microelectronic Engineering 7879 (2005) 381392 389

  • 8/2/2019 Mask Less Lithography

    10/12

    scaling this up to the much larger arrays needed to

    give total currents exceeding 10 lA appears to have

    stalled further development.

    Schemes featuring multiple columns each fea-

    turing multiple beams or shaped beams have also

    been proposed and built [21]. But the difficulty of

    engineering an array of columns each matched in

    terms of focus and beam position has so far

    proved too difficult to attract serious industrial

    development. This hardly surprising consideringthat it still takes several weeks to install, with ade-

    quate control of beam position and beam focus, a

    commercial high-resolution electron beam writer

    featuring a single, fixed-shape, beam.

    To mitigate the challenge of matching beams

    focused along different axes, Groves and co-work-

    ers [19a,b] proposed a re-incarnation and modifi-

    cation of the original photocathode system and a

    simplified version is being researched at Stanford

    University (Fig. 12). The focusing of the sources

    onto the wafer is brought about solely by a uni-form magnetic field thus, facilitating matching of

    the focus conditions and hopefully, eliminating

    the need for individual correction of astigmatism

    in the different beamlets. Moreover, the electrical

    deflection is brought about by deflection electrodes

    that are common to each row of beamlets which

    should facilitate the stitching of the sub patterns.

    The unity magnification of the uniform-field focus-

    ing leads to the need for sources no larger than the

    required final beam diameter and, to keep the elec-

    tron optics simple, can be externally modulated. A

    photocathode was picked as the most promising

    source to illuminate a mechanical aperture that

    was fashioned by drilling through a Pt membrane

    with a focused ion beam system. Fifty nanometer

    diameter sources can be routinely fabricated in this

    way (Fig. 13) and apertures as small as 30 nm

    diameter have been demonstrated. Experimentally

    a resolution better than 50 nm has been demon-

    strated [19b]. The main obstacle to realizing this

    Fig. 12. Cross-section and STEM view of 50 nm diameter aperture formed by ion beam milling through 800 nm metal (courtesy of

    Daniel Pickard).

    Fig. 13. Recording-Erasure Cycle of thermoplastic hologram.

    A similar process could be used for generating a reconfigurable

    mask with light or electrons (courtesy of James C. Wyant).

    390 R.F. Pease / Microelectronic Engineering 7879 (2005) 381392

    http://-/?-http://-/?-http://-/?-http://-/?-
  • 8/2/2019 Mask Less Lithography

    11/12

  • 8/2/2019 Mask Less Lithography

    12/12

    10. Summary and conclusions

    Charged Particle Maskless Lithography

    (CPML2) is already being used in very low vol-ume (0.001 cm2/s) production for features that

    are very difficult to achieve optically (65 nm

    and below).

    To be of significant help to the semiconductor

    industry, the throughput must be increased to

    about 1 cm2/s. By the time such a system is avail-

    able, the feature sizes of interest will be at and be-

    low 65 nm.

    The primary challenge for optical maskless

    lithography (OML) is realizing the enormous ar-

    ray (about 1e8) of modulatable light pencils.

    For presently available charged particle lithog-

    raphy (and EUV) systems, the main challenge ap-

    pears to achieving the required current and

    resolution because of shot noise. For a given elec-

    tron-optical configuration the throughput de-

    creases as the fourth power of minimum feature

    size (below 50 nm).

    The goal of 25 nm features at 1 cm2/s might be

    achieved using a multi-axis electron beam approach

    in which the number of axes can be indefinitely in-

    creased to keep up with the above fourth-power

    law. The author can see no other way of accom-plishing this goal.

    Such a system could also be used for greatly

    accelerated (at least 100) SEM inspection.

    Alternative developments that might use a less

    ambitious maskless tool include programming gate

    arrays and reconfiguring masks.

    Acknowledgements

    The preparation of this paper was supported

    primarily by the DARPA Advanced Lithography

    Program and the Semiconductor Research Cor-

    poration. The author acknowledges valuable dis-

    cussions with Mark McCord (KLA Tencor),

    Clark Nguyen (DARPA MTO), Dan Pickard

    (Stanford University), Bill Oldham (U.C. Berke-

    ley), T.R. Groves (Leica MicroSystems), Pieter

    Kruit (T.U. Delft), H.I. Smith (MIT) and many

    others.

    References

    [1] T. Sandstrom, J. Hintersteiner et al., in: SPIE Microli-

    thography Symposium, 2004.

    [2] A. RoseAdvances in Electronics and Electron Physics, vol.

    1, Academic Press, 1948.

    [3] T.E. Everhart, Ph.D Dissertation, Cambridge University,

    1958.

    [4] C.W Oatley, The Scanning Electron Microscope, Cam-

    bridge University Press, Cambridge, 1976.

    [5] (a)C.A. Mead, I. Sutherland, T.E. Everhart, Report on

    DARPA Working Group on Lithography, 1976;

    (b)P. Leunissen, Determining the impact of statistical

    fluctuations on resist edge roughness, MNE, 2004.

    [6] W.G. Oldham, in: Paper Presented to SPIE Symposium on

    Microlithography, Santa Clara, CA, 2002.

    [7] See ITRS website www.itrs.org.

    [8] D. Gil, R. Menon, H.I. Smith, J. Vac. Sci. Technol. B. 21(2003) 28102814.

    [9] See, for example K.-N. Leung, J. Vac. Sci. Tech. B 17

    (1999) 2776.

    [10] Record of the 5th LETI Conference, 2003.

    [11] W. Lu, et al., J. Vac. Sci. Technol. B 18 (2000) 3488.

    [12] See for example, almost any record of the SPIE BACUS

    meeting held annually.

    [13] D.R. Herriott, et al., IEEE T. Electron. Dev. 22 (July)

    (1975).

    [14] G. Winograd, et al., J. Vac. Sci. Technol. B 18 (2000) 3052.

    [15] (a)L. Han, et al., in: SPIE Conference on Charged Particle

    Optics, Denver Colo., SPIE, vol. 3777, 1999, p. 192;

    (b) L. Han, et al., J. Vac. Sci. Technol. B 18 (2000) 2999.

    [16] S. Golladay, et al., J. Vac. Sci. Technol. B 18 (2000) 3072.[17] T.R. Groves, private communication.

    [18] T.W. OKeefe, et al., in: Paper Presented at IEEE IEDM,

    1967.

    [19] (a) T.R. Groves, R.A. Kendall, J. Vac. Sci. Technol. B 16

    (1998) 1368;

    (b) D.S. Pickard, T.R. Groves et al., J. Vac. Sci. Technol.

    B 20 (2002) 2662.

    [20] T.H.P. Chang, et al., J. Vac. Sci. Technol. B 17 (1999)

    2814.

    [21] E. Yin, et al., J. Vac. Sci. Technol. B 18 (2000) 3126.

    [22] P. Kruit, et al., in: Papers Presented at EIPBTN, 2004 and

    MNE 2004.

    [23] M. Muraki, S. Gotoh, J. Vac. Sci. Technol. B 18 (2000)

    3061.

    [24] C. Nguyen, private communication, July 2003.

    [25] W.E. Glenn, Recording of Information by Electron Beams

    (1962), NBS# 6204005. (Box 191, folder 12).

    [26] For example, see E-Asic web site http://www.easic.com/

    technolgy/ebeam.html.

    [27] C.N. Berglund, private communication, 2000.

    [28] C. Brandstatter, H. Loeschner, G. Stengl, G. Lammer, H.

    Bushbeck, E. PLatzgummer, H. Doering, T. Elster, O.

    Fortagne, Projection Maskless Lithography, in: Proceed-

    ings of SPIE, vol. 5374, Emerging Lithographic Technol-

    ogies, May 2004, pp. 601609.

    392 R.F. Pease / Microelectronic Engineering 7879 (2005) 381392

    http://www.itrs.org/http://www.itrs.org/http://www.easic.com/technolgy/ebeam.htmlhttp://www.easic.com/technolgy/ebeam.htmlhttp://www.easic.com/technolgy/ebeam.htmlhttp://www.easic.com/technolgy/ebeam.htmlhttp://www.easic.com/technolgy/ebeam.htmlhttp://www.itrs.org/