24
Low Cost Fiber-Optic Links for Digital Applications up to 155 MBd Application Bulletin 78 The HFBR-2406/16 High Performance Component The HFBR-2406 and HFBR-2416 are high-speed, low-cost, linear, light-to-voltage converters with typical bandwidths of 125 MHz. These components can be used to make fiber-optic links for both analog and digital applications. Since the range of possible uses is so varied, this Application Bulletin concentrates on a specific digital application. The application is one of the most prevalent for the HFBR-24X6: the transmission of encoded digital signals, otherwise known as run-length limited* data. The HFBR-0400 component family’s inexpensive, one-piece plastic package allows engineers to construct low-cost high-perfor- mance fiber-optic links. All de- vices in the HFBR-0400 product family, including the HFBR- 24X6, are available with optical ports that are compatible with the industry standard SMA and ST** fiber-optic connectors. Com- ponents that are compatible with the SMA connector are denoted by a “zero” in the third digit of their part numbers. If the ST con- nector is to be used, the compo- nent part number should contain a “one” in the third digit. For ex- ample, the equivalent of the high- performance HFBR-2406 SMA-compatible receiver with the ST connector option is the HFBR-2416. The addition of the HFBR-24X6 receiver to the low-cost 0400 com- ponent family opens new avenues for designers. They can now de- velop fiber-optic links that meet tough cost and performance objec- tives. The wide bandwidth of the HFBR-24X6 allows high-speed, fiber-optic links to be built at lower prices than was formerly possible. Engineers can exploit the high performance of the HFBR-24X6 in other ways as well. For instance, the wide band- width of the linear light-to-volt- age converter can be reduced by a low-pass filter to improve the sen- sitivity of the fiber-optic receiver in lower-speed applications. The HFBR-24X6 accommodates a larger optical signal than other HFBR-0400 fiber-optic receivers before it begins to overload. This improvement in the overload characteristics of the 24X6 was achieved with no significant re- duction in the ultimate sensitivity when compared to the existing HFBR-24X4 receiver. The in- creased optical input power toler- ated by the HFBR-24X6 allows it to function at short fiber lengths with large values of launched op- tical power. When the receiver can tolerate higher optical power, a longer cable is possible before attenuation reduces the light to the sensitivity limit of the re- ceiver. The increased dynamic range of the HFBR-24X6 will thus permit greater optical link length for any given fiber attenu- ation. Applications For 820 nm LED Based Fiber Optic Links The 820-nm LED technology used in the HFBR-0400 family of com- ponents can be used in conjunc- tion with the HFBR-24X6 receiver to construct digital fiber- optic links that transmit data at speeds up to 155 MBd. The length of the fiber cable that can be used with the HFBR-24X6 is restricted by the receiver sensitivity at low data rates. As the data rate is in- creased a phenomenon known as chromatic dispersion begins to limit the maximum distance. Chromatic dispersion results * Run length limited means a limit on the number of consecutive symbols in the same state. ** ST is a trademark of AT&T Technologies.

Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

Embed Size (px)

Citation preview

Page 1: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

Low Cost Fiber-Optic Linksfor Digital Applicationsup to 155 MBd

Application Bulletin 78

The HFBR-2406/16High PerformanceComponentThe HFBR-2406 and HFBR-2416are high-speed, low-cost, linear,light-to-voltage converters withtypical bandwidths of 125 MHz.These components can be used tomake fiber-optic links for bothanalog and digital applications.Since the range of possible uses isso varied, this ApplicationBulletin concentrates on a specificdigital application. The applicationis one of the most prevalent for theHFBR-24X6: the transmission ofencoded digital signals, otherwiseknown as run-length limited*data.

The HFBR-0400 componentfamily’s inexpensive, one-pieceplastic package allows engineersto construct low-cost high-perfor-mance fiber-optic links. All de-vices in the HFBR-0400 productfamily, including the HFBR-24X6, are available with opticalports that are compatible withthe industry standard SMA andST** fiber-optic connectors. Com-

ponents that are compatible withthe SMA connector are denotedby a “zero” in the third digit oftheir part numbers. If the ST con-nector is to be used, the compo-nent part number should containa “one” in the third digit. For ex-ample, the equivalent of the high-performance HFBR-2406SMA-compatible receiver withthe ST connector option is theHFBR-2416.

The addition of the HFBR-24X6receiver to the low-cost 0400 com-ponent family opens new avenuesfor designers. They can now de-velop fiber-optic links that meettough cost and performance objec-tives. The wide bandwidth of theHFBR-24X6 allows high-speed,fiber-optic links to be built atlower prices than was formerlypossible. Engineers can exploitthe high performance of theHFBR-24X6 in other ways aswell. For instance, the wide band-width of the linear light-to-volt-age converter can be reduced by alow-pass filter to improve the sen-sitivity of the fiber-optic receiverin lower-speed applications. TheHFBR-24X6 accommodates alarger optical signal than otherHFBR-0400 fiber-optic receiversbefore it begins to overload. Thisimprovement in the overloadcharacteristics of the 24X6 was

achieved with no significant re-duction in the ultimate sensitivitywhen compared to the existingHFBR-24X4 receiver. The in-creased optical input power toler-ated by the HFBR-24X6 allows itto function at short fiber lengthswith large values of launched op-tical power. When the receivercan tolerate higher optical power,a longer cable is possible beforeattenuation reduces the light tothe sensitivity limit of the re-ceiver. The increased dynamicrange of the HFBR-24X6 willthus permit greater optical linklength for any given fiber attenu-ation.

Applications For 820 nmLED Based Fiber OpticLinksThe 820-nm LED technology usedin the HFBR-0400 family of com-ponents can be used in conjunc-tion with the HFBR-24X6receiver to construct digital fiber-optic links that transmit data atspeeds up to 155 MBd. The lengthof the fiber cable that can be usedwith the HFBR-24X6 is restrictedby the receiver sensitivity at lowdata rates. As the data rate is in-creased a phenomenon known aschromatic dispersion begins tolimit the maximum distance.Chromatic dispersion results

* Run length limited means a limit on thenumber of consecutive symbols in thesame state.

** ST is a trademark of AT&TTechnologies.

Page 2: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

2

from the interaction of the 60 nm-wide spectrum emitted by theLED and the propagation veloci-ties of light in silica. Since the ve-locities of light at variouswavelengths near 820 nm are dif-ferent, the optical pulses sent bythe LED are dispersed or spreadout in time as they travel downthe light guide. A chromatic dis-persion null exists at a wave-length of 1300 nm in silica glass.If an LED were operated at thechromatic dispersion null thepulses would experience the mini-mum broadening as they traveledthrough the fiber. This is due tothe nearly equal propagation ve-locity for all the wavelengthstransmitted through the silicalight guide by the long-wave-length emitter. Figure 1 illus-trates the effect of the LED centerwavelength and spectral width onthe chromatic dispersion. An 820nm LED with a 60 nm emissionspectrum is shown to produce alarger change in the arrival time

of the light pulses than a 1300 nmLED with a 100 nm spectralwidth. When selecting a fiber thedesigner should be aware of howthe bandwidth-length product,expressed in MHz/km, was deter-mined. The bandwidth of a fibermeasured using a narrow spec-trum emitter, such as a laser di-ode, is related to the variouspossible modes of light propaga-tion that can exist in a fiber. Thisis referred to as the fiber’s modalbandwidth. The modal bandwidthwill be greater than the chromaticbandwidth which dominateswhen an LED is used. To deter-mine the overall optical band-width of a fiber, the modal andchromatic bandwidths must becombined as an rms sum asshown in Equation 1. In LED-based systems the wavelength,spectral width and response timeof the emitter used as the fiber-optic transmitter will affect thefinal system bandwidth. Thus, tounderstand how a fiber will work

with an LED, one must know thetype of optical source used to mea-sure the manufacturer’s statedbandwidth. HP HFBR-AWSyyy100/140 µm fiber-optic cable has atypical optical bandwidth-lengthproduct of 40 MHz/km. This valuerepresents the performance of theHP fiber with an 820 nm LEDemitter that has a 60 nm spectralwidth. The 40 MHz/km typicalbandwidth-length product of HPfiber results from the combinationof the modal and chromatic band-widths.

The typical distances and datarates possible with 820 nm LEDemitters and the HFBR-2406/2416receiver are shown in Figure 2.Note that the data rate versus dis-tance for 100/140 and 62.5/125 µmgraded-index fibers are bothshown in the figure.[1, 2, 3, 4]

If greater distances or higherspeeds are required, other optionssuch as 1300 nm LEDs or laserdiodes can meet these objectives.If the system requirements fall tothe left of the curves shown inFigure 2 the design goals can beachieved using an 820 nm LEDand the HFBR-24X6 for a sub-stantially lower cost than possiblewith these other technologies. Theinexpensive 820 nm LED technol-ogy offers the designer a cost-effective solution sufficient formany short-distance applicationsat data rates in excess of 100megabaud.

Applications for 820 nm LEDBased Systems UsingHFBR-2406/2416 Include:• CPU to disc interface.• CPU to monitor interface• CPU to peripheral interface• Optical data bus applications.

1.48

1.47

1.46

GR

OU

P R

EF

RA

CT

IVE

IND

EX

500 1000 1500 2000λ - WAVELENGTH - nm

820 nm

1300 nm

Figure 1. Group Delay vs. Wavelength.

B.W. modal

1( )2

B.W. chromatic

1( )2

+

1

B.W. =

1/2

Equation 1.

Page 3: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

3

• Graphics workstation to hostcomputer interface.

• Wide dynamic range, long dis-tance, medium speed LAN

applications.• High-speed, point-to-point data

links.• Security, voltage isolation.

Advantages of RunLimited CodeData is coded to prevent the digi-tal information from remaining inone of the two possible logic statesfor an indefinite period of time.The coded data allows the fiber-optic receiver to be ac coupled.Without encoding, the fiber-opticreceiver would have to detect dclevels to determine the properlogic state during long periods ofinactivity, as when there is nochange in the transmitted data.AC-coupled fiber-optic receiverstend to be lower in cost, are mucheasier to design, and contain

fewer components than their dc-coupled counterparts.

Direct coupling decreases the sen-sitivity of a fiber-optic receiversince it allows the low-frequencyflicker noise from the transistoramplifiers to be presented to thecomparator input. Any undesiredsignals coupled to the comparatorwill reduce the signal-to-noise ra-tio at this critical point in the cir-cuit, and reduce sensitivity of thefiber-optic receiver.

Another problem associated withdirect-coupled receivers is mini-mizing the accumulation of dc off-set. With direct coupling, the gainstages multiply the effects of un-desirable amplifier offsets andvoltage drifts due to temperaturechanges, and apply them to thecomparator. Increases in the dcoffset applied to the comparatorresult in reduced sensitivity of thefiber-optic receiver. The dc offsetat the comparator can be referred

to the optical input of the receiverby dividing by the receiver gain.This division refers the dc offset atthe comparator to the receiver in-put where it appears as a changein optical power that must be ex-ceeded before the receiver willswitch states.

Another advantage of run-limitedcoding is related to timing recov-ery. If NRZ data were transmittedover a serial fiber-optic link thedata could be in the logic “1” orlogic “0” state for an indefinite pe-riod of time. When NRZ data re-mains in a particular state notransitions occur and the funda-mental frequency of the data is dc.This lack of power at the funda-mental frequency of the dataeliminates the reference signalneeded by the timing recovery cir-cuits required to clock the receivedinformation. If an optical link is totransmit NRZ data, a clock signalmust be sent on a separate fiber-optic link to synchronously detectthe incoming serial data.

The particular run-length-limitedcode chosen must be consideredcarefully since it will affect thebandwidth required by the serialcommunication channel. A com-plete discussion of all run-limitedcodes is beyond the scope of thispublication. If you desire addi-tional information regarding vari-ous coding schemes, there arenumerous technical papers de-voted to this specific topic.[5]

Without becoming too involved inthe complexity of encoding selec-tion, a quick comparison will nowbe made between two commonlyrecognized approaches to thisproblem.

One of the most familiar run-limited codes is Manchester.Manchester is very popular sinceit can be encoded and decoded

Figure 2. Typical Data Rate and Distance Possible with HFBR-2406/2416.

DATA RATE POSSIBLE WITH SELECTED HFBR-24X6

DATA RATE POSSIBLE WITH STANDARD HFBR-24X6

62.5/125 µm FIBER OPTIC LIGHTGUIDE

ADDED DISTANCE POSSIBLE WITH LOW

PASS FILTERING

100/140 µm FIBER OPTIC LIGHTGUIDE

1,000

100

10

DA

TA

RA

TE

- S

YM

BO

LS

/sec

- M

Bd

0.1 1.0 10

l - LENGTH - km

Page 4: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

4

with relatively simple circuits.Manchester works well in ac-coupled systems since it has a50% duty factor and two pulses orsymbols for each bit transmitted.This simplifies the design andimplementation of the timing re-covery function since Manchestercode has only two consecutivesymbols without a transition, or arun limit of two. A drawback ofManchester is that two symbolsmust be sent for each data bit en-coded, thus doubling the funda-mental frequency that must passthrough the information channel.Substitution codes have recentlybeen made available in very largescale integrated (VLSI) circuits.These VLSI circuits function as ageneral purpose interface betweenthe parallel architecture found incomputer-based systems and theserial format required by fiber-optic communication links. Thetwo different substitution codesavailable in the AMD TAXIchip™parallel-to-serial encoder are4B5B and 5B6B. These two codeshave an efficiency of 4/5 and 5/6respectively which compares to anefficiency of 1/2 for Manchestercode. The significance of codingefficiency can be illustrated by anexample. If an application calls forthe transmission of 100 M bits/second, Manchester code requiresthat the information channelmust pass 200 M symbols/secondor 200 MBd. If the more efficient4B5B code were used, 100 M bits/second could be sent at a speed of(5/4)(100 M bit/sec) = 125 MBd.Similarly, use of 5B6B would al-low transmission of this data at aspeed of (6/5)(100 M bit/sec) =120 MBd.

Regardless of the particular cod-ing scheme used there will alwaysbe two symbols per cycle. This istrue because each half cycle of themaximum fundamental frequency

that the communications channelmust pass is equivalent to a sym-bol in a binary transmission sys-tem.

Designing With FiberOptic Components

Transmitter DesignNow that the basic issues relatedto fiber-optic link design havebeen covered, some specifics re-lated to the design of the opticaltransmitters and receivers will bediscussed in greater detail. Toachieve the wide bandwidth per-formance potential of the fiber-optic medium requires a fast LEDand current modulator. Thetransmitter’s pulse-width distor-tion and optical rise and fall timescan be heavily influenced by thedriver selected. Readily availableoff-the-shelf integrated circuitcurrent drivers can be configuredwith the HFBR-14XX 820 nmLEDs to build high-performancefiber-optic transmitters with atypical pulse-width distortion of800 psec.

To obtain the best performancefrom any LED and driver combi-nation, two simple techniquesknown as prebias and drive cur-rent peaking should be employed.Prebias, as its name implies, is asmall forward current applied tothe LED in the “off ” or “low” lightstate. The prebias current pre-vents the junction and parasiticcapacitances from dischargingcompletely when the LED is inthe “off ” state, thus reducing theamount of charge that the drivermust transfer to turn the emitterback on. Peaking is a momentaryincrease in LED forward currentthat is provided by the driver dur-ing the rising and falling edges ofthe current pulses that are usedto modulate the emitter. If the

time constant of the peaking cir-cuit is approximately equal to theminority carrier lifetime of theemitter, the momentary increasein LED current will transfercharge at a rate that improves therise or fall time of the light outputwithout causing excessive over-shoot of the optical pulses. Prob-lems that can result whenexcessive peaking is applied to theLED are illustrated in Figure 3.The narrow optical overshoot dueto excessive peaking of the trans-mitter causes a narrow electricaloutput pulse from the fiber-opticreceiver that must now bedamped. Even if the receiver am-plifiers were critically damped theelectrical undershoot resultingfrom excessive peaking of theemitter can reduce the sensitivityof the fiber-optic link. This electri-cal undershoot can combine withnoise from the amplifiers so thatthe sum of these two voltages ex-ceeds the decision threshold of thecomparator, which converts thelow-level analog output of the fi-ber-optic receiver back to logic-compatible digital signals.Excessive peaking during theturn-off of the emitter can causeadditional problems. Too muchreverse current during the turn-off transition will reverse-bias theLED, seriously degrading theturn-on time.

A circuit with a low source imped-ance should be used to drive theLED. This is important becausethe light output of an LED is pro-portional to the number of elec-tron hole pairs present in theLED’s junction. If high speed op-eration of the transmitter is de-sired, a driver with a low sourceimpedance should be used to pro-vide the sudden changes in cur-rent required to quickly createand annihilate charge carriers in

Page 5: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

5

the LED junction. LEDs are char-acteristically harder to turn offthan to turn on. This difficultymanifests itself as a phenomenoncommonly referred to as the long-tailed response. An example oflong-tailed response is shown inFigure 4. The long-tailed responseis most evident when a simple se-ries switch is used to control theLED drive current as shown inFigure 5. A shunt drive configura-tion, which turns the LED offwhen the driver transistor satu-

rates, significantly improves theperformance of the LED transmit-ter. Shunt drive reduces pulse-width distortion and themagnitude of the slow tail by pro-viding a low impedance path forcharge stored in the LED junc-tion. Without this low-impedancepath the emitter would turn offslowly since the LED would con-tinue to produce light until thediode junction discharges.

Readily available 74ACT logicgates can be used to implement ashunt drive configuration to cur-rent-modulate the LED. A currentof 60 mA is typically required todrive the HFBR-14X2/4. Ordinarybipolar TTL gates generally do nothave sufficient capability to sinkand source 60 mA. A simple high-speed LED driver can be con-structed by connecting the activeoutput of 74ACT logic to theHFBR-14X2/4 as shown in Figure6. In this configuration the pull-uptransistor turns the LED off, andthe pull-down transistor turns theLED on. The low impedance andhigh current rating of theMOSFET transistors used in74ACT output stages allows thesegates to quickly inject and removecharge from the LED. The abilityof 74ACT gates to quickly movecharge is very important as theLED turns off. The dynamic im-pedance of the LED increases rap-idly as forward current decreasesat turn off. The LED will continueto emit light as long as the junc-tion contains minority charge car-riers. The pull-up transistor of the74ACT LED driver provides thelow impedance discharge pathneeded to sweep charge from thejunction and rapidly quench thelight emitted by the LED. Thelow impedance of the pull-down

NOISE FREE CONDITIONSNOISE COMBINED

WITH DATA

COMPARATOR THRESHOLD

Figure 3a. Optical Overshoot Due to Excessive Peaking of the LED Drive Current.

Figure 3b. Response of Optical Receiver to an Excessively Peaked LED Transmitter.

TIME – t

TIME – t

LOG

IC IN

PU

T –

V

φ

TR

AN

SM

ITT

ED

PO

WE

R –

PT

φ

LONG TAIL

Figure 4. Example of Long-Tailed Response.

VccIF

VF

RL +

–DATA INPUT

VCC – VF – VCE

RLIF =

VCC – VF – 0.3 V

RLIF ≅

Figure 5. Series Switch LED Driver.

Page 6: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

6

transistor ensures that the LEDturns on quickly by providing thecurrent needed to rapidly chargethe junction during the less diffi-cult turn-on transition. When the74ACT gate and LED are config-ured as shown by the schematic inFigure 6, the improvement in theoptical output waveform is asshown in Figure 7. The high speedcapability of 74ACT logic mini-mizes the difference betweenhigh-to-low and low-to-highpropagation delays. The variancebetween tPHL and tPLH of the gateused to drive the LED will affect

the pulse-width distortion presentin the transmitter’s optical wave-form. When nand inverters fromthe same die are connected asshown in Figure 8 the distortiondue to gate propagation delay dif-ferences is minimized. The trans-mitter circuit shown in Figure 8typically has an optical jitter of800 ps; this excellent transmitterperformance can be achievedwhen an undistorted TTL signalis applied to the 74ACTQ00 quadnand gate used to current modu-late the HFBR-14X2/4 LED.

The transmitter shown in Figure8 is compatible with TTL logic andis suited for data with a maximumfundamental frequency of 78MHz, which implies a symbol rateof 155 MBd. The design rules forthe LED driver shown in Figure 8are shown in Equation 2. Thissimple TTL-compatible fiber-optictransmitter has a typical rise/falltime of 3 ns.

2, 6, 7

3

C

Rx1 Rx20.1 µF10 µF

HFBR – 14X2/4

Ry

Vcc

GND

SIMPLIFIED OUTPUT STRUCTURE OF 74ACT/ACTQ LOGIC

74ACT/ACTQ LED DRIVER

Figure 6. Simple High-Speed Transmitter Circuit.

Figure 7. Improved Optical Output Waveform.

TIME – t

TIME – t

LOG

IC IN

PU

T –

V

φ

TR

AN

SM

ITT

ED

PO

WE

R –

PT

φ

LONG TAIL

N = The number of 74ACT gates connected in parallel. B = Is an empirically determined constant which establishes an optimum relationship betweeprebias and LED forward cu r

(Vcc – VF) (1 + B)

IF ON

Ry =

Rx1 = 2B

Ry

Rx2 = –

( )

2B

Ry ( ) 3

N( )C =

2.5 ns

Rx1

Equation 2.

Page 7: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

7

Testing Fiber OpticSystemsPseudo-random-bit-sequence(PRBS) generators are very usefulfor testing the performance of fi-ber-optic systems. The pseudo-random data pattern containslong periods of inactivity relatedto the length of the shift registerused to build the PRBS generator.A PRBS generator made up of a23-bit-long shift register could atany given clock time contain oneof 8,388,610 possible data pat-terns. The number of data pat-terns possible can be calculated as223-1 since the state where allshift register stages contain logiczeros is not allowed. These longperiods of inactivity in the datapattern produced by the PRBSgenerator allow time for parasiticcapacitances in the transmitterand receiver to charge. The timerequired to charge and dischargeundesired capacitances in thetransmitter and receiver result inpulse-width distortion related tothe instantaneous duty factor ofthe data. This phenomenon isknown as data dependent jitter orDDJ. If an oscilloscope is clock

triggered on the PRBS generatorit asynchronously samples thedata due to the lack of correlationbetween the PRBS clock and thetime base that generates the hori-zontal sweep of the scope. Whentriggered on the PRBS generator’sclock the scope will display a sig-nal known as the “eye pattern”.The “eye pattern” can be very use-ful since the width and height ofthe opening between the dataedges defines the time period dur-ing which the data is in a validlogic state.

TTL TransmitterPerformanceThe performance of the circuitshown in Figure 8 was tested us-ing a 223-1 PRBS data pattern todemonstrate the typical perfor-mance of this TTL transmitter.Jitter in the data edges resultsdue to the DDJ induced by thepseudo random bit sequence. Theeye pattern shown in Figure 9 re-veals that the HFBR-14X2/14X4LED transmitter had a total data-dependent edge jitter of 800 pswhen driven by the 74ACTQ00gate at a rate of 155 MBd. Thisdata was taken at an ambienttemperature of 25°C and repre-sents the typical performance pos-sible with this simple fiber-optictransmitter. The total pulse-widthdistortion can be further reducedby using a limited-range potenti-ometer in place of fixed values ofRy for system applications thatare extremely intolerant of sym-bol-width variations. But for mostdata communications applica-tions, this transmitter performsadequately at speeds up to 155MBd using fixed componentvalues.

+5 V Vcc

C

Rx1

0.1 µFHFBR-14X2/4

10 µF

Rx2

Ry

+

Figure 8. TTL Compatible LED Driver Implemented with 74ACT or 74ACTQ nandLogic.

Figure 9. Optical Output of the TTL Transmitter.

TYPICAL PEAK-TO-PEAK JITTER = 800 ps

TIME SCALE IS 2.0 ns/DIV.

DATA RATE 155 MBd

DATA PATTERN 223-1 PRBS

Page 8: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

8

ECL TransmitterPerformanceIf an ECL-compatible fiber-optictransmitter is needed it can beeasily built using the circuitshown in Figure 10. The designrules for this high-performancefiber-optic transmitter are givenin Equation 3. This particulartransmitter uses a simple ECL toTTL converter and 74ACTQ nand

logic in conjunction with theHFBR-14X2/X4 LED emitter. It iscapable of typical optical rise/falltimes of 3 nsec. The performanceof the ECL transmitter was mea-sured with a BCP Model 300 Op-tical Waveform Receiver. Figure11 shows the optical “eye” patternwhen a 155 MBd pseudo-random-bit-sequence of 223-1 is applied tothe ECL transmitter.

N = Number of gates connected in parallel. B = Empirically determined constant for optimum relationship bet wprebias and LED forward c u

(Vcc – VF) (1 + B)

IF ON

R10 =

R8 =2B

R10

R9 = –2B

R10 3

N

C4 =2.5 × 10-9

R8

Recommend B = 3.97

Equation 3. Design Rules for74ACTQ00 LED Driver Circuits.

R1 82 Ω

R2 82 Ω

R5 22 Ω

R3 120 Ω

R4 120 Ω

R6 91 Ω

R7 91 Ω

+5 V Vcc

ECL (+)

ECL (–)MPS536

Q1MPS536

Q2

C1 0.1 µF

74ACTQ001

23 74ACTQ00

74ACTQ00

U1

U1

U1

9

10

13

12

54

6

11

814

7

C4

R8 R9

R10

C2 0.1 µF

C3 10 µF

2, 6, 7

3

U1

+

HFBR-14X2/4

TYPICAL PEAK-TO-PEAK JITTER = 760 ps

TIME SCALE IS 2.0 ns/DIV.

DATA RATE 155 MBd

DATA PATTERN 223-1 PRBS

Figure 11. Optical Output of the +5 V ECL Transmitter.

Figure 10. Transmitter with +5 V ECL Interface.

Page 9: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

9

Receiver DesignNow that the techniques requiredto build high-speed fiber-optictransmitters have been explained,emphasis must be placed on themethods necessary for design andconstruction of the fiber-optic re-ceiver. Figure 12 shows the func-tional blocks required to interfacethe HFBR-24X6 light-to-voltageconverter to digital logic. TheHFBR-24X6 has a low-level ana-log output related to the incomingoptical power by the 7 mV/µWconversion gain of the light-to-voltage transducer. The HFBR-24X6 needs additional externalgain stages to increase the ampli-tude of its output before it can in-terface to any of the standardlogics like TTL or ECL. The out-put voltage of the HFBR-24X6 isproportional to the received opti-cal flux. Since the received opticalpower changes as a function of thefixed optical losses and as a func-tion of fiber-optic link length,some provision must be made toaccommodate the change in theoutput voltage of the light-to-volt-age transducer. An amplifier withAGC or a limiting amplifier isneeded to accommodate the widerange of output voltages that arepossible under various fiber linkoperating conditions. In the fol-lowing example, calculations showthat the output voltage of theHFBR-24X6 could range from aminimum of 2.9 mV pp to a maxi-mum of 1.74 V pp. This outputvoltage range is for worst-caseconditions at a BER less than orequal to 1 x 10-9 when the compo-nent operates between -40 to+85°C.

LOGIC COMPATIBLE OUTPUT

HFBR-2406 LIGHT TO VOLTAGE

TRANSDUCER

LIMITING AMP OR AGC AMP

LOGIC COMPATIBLE COMPARATOR

Figure 12. Fiber-Optic Receiver Block Diagram.

Calculation of HFBR-24X6 Output Voltage Range

The peak-to-peak signal to rms noise ratio needed at the comparatorinput for a BER of 1 x 10-9 = 12:1.

This implies an extinction-to-peak (peak-to-peak) change in thereceived optical flux of (12) (rms noise) will be required. Thus, thepeak-to-peak-to-rms-noise ratio required by the fiber-optic receiverfor a BER of 1 x 10-9 becomes (Signalpp) / (noiserms) = 12:1.

The noise floor of the HFBR-24X6 is -43 dBm rms typical.

-43.0 dBm + [10 log (12/1)] = -43.0 dBm + 10.8 dB = -32.2 dBm pk.Thus -32.2 dBm pk is the minimum received optical power that willyield a BER better than or equal to 1 x 10-9.

-32.2 dBm implies [antilog (-32.2/10)](1,000) = 0.603 µW minimumreceived optical power for BER better than or equal to 1 x 10-9.

This minimum power of 0.603 µW implies a change in the receiverinput from approximately 0 µW to 0.603 µW or a peak-to-peakchange of approximately 0.603 µW pp. The minimum output of theHFBR-24X6 thus becomes (0.603 µW pp)(4.5 mV/µW) = 2.71 mV pp.

The HFBR-24X6 overloads at -8.2 dBm worst-case minimum.Overload is specified as Pr maximum on the data sheet. Overload isdefined as the received optical power at which the output pulsesfrom the HFBR-24X6 are distorted 2.5 ns due to saturation of thetransimpedance amplifier that converts photo-current to voltage.

-8.2 dBm implies [antilog (-8.2/10)](1,000) = 151 µW. Thus themaximum allowed power of 151 µW implies a change in the receiverinput from approximately 0 µW to 151 µW or a peak-to-peak changeof approximately 151 µW pp. Thus a maximum received opticalpower of 151 µW implies a maximum output voltage of(151 µW pp) (11.5 mV/µW) = 1.74 V pp.

Page 10: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

10

Error Rate VersusSignal-to-noise RatioThe bit error rate (BER) possiblewith a fiber-optic link is a functionof the difference between thepeak-to-peak signal and the RMSnoise voltages present at the com-parator input. A linear relation-ship exists between optical powerentering the HFBR-24X6 and thevoltage output of the fiber-opticreceiver, provided that interstagecoupling and post amplifiers donot introduce significant distor-tion. This linear relationship im-plies that if a peak-to-peak signalvoltage 12 times larger than theRMS noise voltage is needed atthe comparator to ensure a BERof 1 x 10-9, then the same ratiowill be required at the receiverinput. Thus the difference be-tween the peak-to-peak opticalinput of light pulses applied to theHFBR-24X6 and the RMS equiva-lent noise power referred to theoptical input must also be 12 to 1.Some confusion exists becausechanges in the emitter outputfrom extinction to maximumpower are often referred to aspeak excursions of the transmitterlaunched power. This confusionresults since the transmitter out-put is varying from zero light to amaximum or peak light output.The extinction-to-on excursion inthe optical output of an emitter isactually a peak-to-peak change inintensity. Figure 13 is a graph ofreceiver signal-to-noise ratio ver-sus BER. The relationship shownin Figure 13 was obtained fromextensive reduction of statisticaltheory that relates the probabilityof an error to the receiver’s signal-to-noise ratio.

Advantages of HysteresisThe use of hysteresis in the digi-tizer will not change the signal-to-noise ratio required at thecomparator for a particular BER.Hysteresis will, however, intro-duce a discontinuous response inthe receiver that alters the ratiobetween peak signal level and theRMS noise in stages prior to thecomparator. When dual-thresholddetection is used, the signal-to-noise ratio required at the deci-sion circuit for a particular errorrate is unaffected but the changein the received power level re-quired to switch the state of thecomparator is increased in propor-tion to the amount of the hyster-esis. Dual-threshold receiversexperience a reduction in sensitiv-ity proportional to the amount ofhysteresis used; however, thistype of digitizer offers some inter-esting advantages. Hysteresis isused in all the receivers shown inthis Application Bulletin. Use ofhysteresis insures that the logicoutput of the fiber-optic receiverwill not toggle in response to therms output noise voltage of theHFBR-24X6 when no fiber is con-nected.

14

12

10

8

6

4

2

(PR

, PP/P

N, E

Q R

MS)

= S

IGN

AL

TO

NO

ISE

RA

TIO

=

(VP

P/V

N, R

MS)

10-4 10-6 10-8 10-10 10-12

BIT ERROR RATIO (BER)

Figure 13. Signal-to-Noise Ratio vs.Probability of Error.

Low-pass Filtering toEnhance ReceiverSensitivityThe importance of filtering toeliminate unnecessary receiverbandwidth becomes apparent bystudying Figure 14, which showsthe relationship between fre-quency and the spectral noise den-sity of the HFBR-2406/2416. If thefiber-optic link under consider-ation were intended for operationat 50 MBd (which implies a funda-mental data frequency of 25 MHz)a substantial increase in receiversensitivity can be realized. Thisincrease in sensitivity is obtainedby filtering out the noise peakthat occurs in the HFBR-24X6 athigher frequencies than requiredfor this application.

The selection of the low-pass filtercorner frequency should be care-fully considered since it is affectedby the response of the transmitter,fiber, and receiver. To preventproblems that will cause interfer-ence between adjacent pulses ofdata transmitted over the fiber-optic communications channel,the bandwidth of the entire sys-tem from transmitter to receivermust be properly specified. Aproblem known as intersymbol

100

75

50

25

SP

EC

TR

AL

NO

ISE

DE

NS

ITY

– (

nV

/√H

z)

0 50 100 150 200 250 300f – FREQUENCY – MHz

Figure 14. Frequency vs. Spectral NoiseDensity of the HFBR-2406/2416.

Page 11: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

11

interference develops when thechannel bandwidth is not cor-rectly related to the minimumpulse width of the data that is tobe transmitted over the communi-cations system. Insufficient sys-tem bandwidth manifests itself asdistortion in the receiver outputsignal at time intervals adjacentto the edges of each symbol. Thisdistortion results in interferencebetween adjacent pulses, whichcan combine with system noise tocreate errors. Noise is also di-rectly related to bandwidth.Thus, fiber link performance andBER will degrade if system com-ponents are excessively fast. Foroptimum performance that mini-mizes the amount of optical powerrequired at the receiver for agiven BER, the system bandwidthshould ideally be constrained to

range between 0.6 to 0.8 times thesignaling rate in baud, as shownin Figure 15a. If the bandwidth ofthe fiber-optic communicationschannel is excessive, a low-passfilter that restricts the systembandwidth to the amount shownin Figure 15a should be con-structed in the fiber-optic re-ceiver, at a point ahead of thedecision circuit or comparator.For best results the low-pass filterchosen to limit the bandwidthshould be a high-order, linear-phase type whenever practical.As the frequency increases, thecost and complexity of a linear-phase high-order filter may be-come excessive. Thesehigher-speed applications willcontinue to benefit from a simplefirst-order or second-order RClow-pass filter that will still bepractical to implement.

Compromises AssociatedWith High Speed 820 nmLinksSystems with bandwidths lessthan (0.6 to 0.8) x (baud) will con-tinue to function since cata-strophic failure does not result ifthese recommendations are vio-lated. Fiber-optic links with band-widths less than (0.6 to 0.8) x(baud) will have a smaller opticalpower budget (OPB) than compa-rable optical links which operatein the flat portion of Figure 15b.This reduction in the OPB issometimes called the chromaticdispersion power penalty. A de-crease in the OPB due to chro-matic dispersion is most apparentas an increase in the receivedpower needed to assure a specificBER. The chromatic dispersionpower penalty can be directlymeasured by testing the sametransmitter and receiver withboth long and short fibers. A fiber-optic link operated beyond the flatportion of Figure 15b requiresmore received optical power to off-set the reduction in signal ampli-tude due to chromatic bandwidthlimitations. Chromatic bandwidthlimitations can be overcome if suf-ficient power is available at thereceiver to provide the signal-to-noise ratio necessary for the BERrequired. The -32 dBm averagesensitivity typically obtainedwhen HFBR-24X6 is operated

MIN. OPTICAL POWER FOR A

SPECIFIC B.E.R.

RE

CE

IVE

D O

PT

ICA

L P

OW

ER

FO

R C

ON

ST

AN

T B

.E.R

.

B.W.

(0.6 TO 0.8) × (1/Ts)

VO

LT

S

Ts

t

Ts IS THE MINIMUM PULSE WIDTH OF THE INFORMATION SENT OVER THE COMMUNICATION CHANNEL. B.W.OPTIMUM = [0.6 TO 0.8] (Hz/ baud) × [1/Ts] (SYMBOLS/sec) B.W.OPTIMUM = [0.6 TO 0.8] (Hz/ baud) × [1/Ts] (baud)

-6.0 dB

AM

PL

ITU

DE

0 dB = 20 LOG (1)

f –Hz100 MBd → (50 MHz)

Figure 15a. Optimal Relationship Between Fiber-Optic Link Bandwidth andMaximum Receiver Sensitivity.

Figure 15b. Optical Link with Normal-ized Mid-Band Amplitude Response.

Page 12: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

12

with short fibers will allow longerfiber-optic links to operate at fre-quencies beyond the flat portion ofthe system’s amplitude response.Figure 15b is an example of anoptical link whose mid-band am-plitude response has been normal-ized to one. If this hypotheticallink were operated at a frequencythat reduced the total system out-put to 6 dB below mid-band am-plitude, excess optical powermargin (OPM) can still be shownto exist. This excess OPM, as cal-culated in Equation 4, is sufficientfor low-error transmission of 100MBd data over a 1 km length of62.5/125 graded index fiber. TheHFBR-24X6 receiver has typicallydemonstrated a BER less than orequal to 1 x 10-9 at received opti-cal powers of -32 dBm average(-29 dBm peak) at 100 MBd witha short 1 m length of fiber. In thissomewhat pessimistic example,the link sensitivity was assumedto decrease by 6 dB, due to chro-matic dispersion of a 1 km lengthof 62.5/125 µm fiber. The follow-ing calculation shows that anample 3.25 dB OPM remains toassure that the BER is betterthan 1 x 10-9 when 100 MBd datais transmitted over a 1 km lengthof 62.5/125 µm fiber.

High-frequency CircuitDesignThe HFBR-24X6 and each of theamplifiers used in the 10H116 arestable gain blocks that have notendency to oscillate. Althougheach of these components is indi-vidually stable, the combinedphase shift and gain that resultswhen they are cascaded mightproduce oscillation unless propercircuit construction techniquesare used. The effect of all the am-plifier poles that accumulate asthe signal is amplified and digi-tized by the various gain blocks inthe receiver results in a verysteep high-order roll-off for theoverall input-to-output open-loopreceiver gain. In essence, the fi-ber-optic receiver relies on thefact that it is an open-loop system.It has sufficient gain and phaseshift to meet the criteria for oscil-lation if the loop were to be closed.To assure stability the loop gainmust be kept to less than unity; toprevent oscillation the attenua-tion of parasitic and conductivefeedback paths must be greaterthan the gain of the receiver.Parasitic feedback from the high-level logic-compatible outputmust be kept to a minimum bylayouts that physically separatethe receiver inputs and outputs.

Filtering must be used to ensurethat power supply busses do notprovide a metallic feedback paththat will degrade the stability ofthe receiver, and a ground plane isrecommended to minimize the in-ductance of supply commons.

When good layout practices areemployed, fiber-optic receiverswith 155 MBd data rates can beeasily constructed using com-monly available breadboardingtechniques. A sound breadboardtechnique suitable for prototypingthe HFBR-2406/2416 can beimplemented using perforated PCboards with holes on tenth-inchcenters and a copper-clad groundplane on one side only. Use asmall hand-held twist drill holder(pin vise) and a number 32 drill toclear copper away from holesthrough which the componentleads will pass. Do not clear allthe copper away between theseholes. This copper providesground connections between eachIC lead, thus reducing ground-loop size and increasing circuitperformance. Install the compo-nents on the copper foil side usingthe component leads for point-to-point wiring interconnections onthe insulated side of the board.Production fiber-optic systems can

Equation 4.

OPM (dB) = Optical power margin.

PR (dBm) = Optical power required at HFBR-24X6 receiver for BER ≤ 1 x 10-9.

PT (dBm) = Transmitter launched power

CDP (dB) = The chromatic dispersion power penalty due to fiber bandwidth, response timeof the transmitter, and response time of the receiver.

αo (l) (dB) = fiber loss.

OPM = - (PR) + PT - αo(l) + CDP

OPM = - (-29 dBM) + (-16 dBm) - (3.75 dB/km) (1 km) - 6 dB

OPM = 3.25 dB

Page 13: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

13

1/3 10H116

1/3 10H116

1/3 10H116

6

2

3

7

C7 0.1 µF

R13 1K Ω

19

10

11

6

7

C8 0.1 µF

16

R14 1K Ω

R11 4.7 Ω

R12 4.7 Ω

C5 0.47 µF

C6 0.1 µF

2.7 µH

C18 10 µF

C17 0.1 µF

C16 10 µF

2.7 µH

-5.2 V

0V

VBB

VBB

C9 0.1 µF

C15 10 µF

R25 12 Ω

R26 62 Ω

TL431-CLP

C14 0.1 µF

R20 1K Ω

-2 V

R16 51 Ω

C11 0.1 µF

5

48

3

2

C10 0.1 µF

R17 51 Ω

R15 51 Ω

VBB

-2 V

R19 1K Ω R21

1K Ω

R23 51 Ω

C13 0.1 µF

C12 0.1 µF

R18 51 Ω R22

1K Ω R24 51 Ω

VBB

HFBR-24X6

13

12 14

15

ECL

ECL

++POWER

IN

L1

L2

+

NOTES:

1. ALL RESISTORS ARE ±5% TOLERANCE.

2. ALL ELECTROLYTIC CAPACITORS ARE ±20% TOLERANCE. ALL OTHER CAPACITORS SHOULD BE RADIAL LEAD MONOLITHIC CERAMIC TYPES WITH ±10% TOLERANCE.

3. L1 AND L2 SHOULD HAVE A ±10% TOLERANCE, SERIES RESISTANCE OF ROUGHLY 0.5 Ω, AND A SELF RESONANT FREQUENCY ≥ 100 MHz.

4. VBB IS A BIAS VOLTAGE GENERATED INTERNALLY BY THE 10H116 ECL LINE RECEIVER.

5. THE VCC-2V POWER IS GENERATED BY THE TL431-CLP SHUNT

REGULATOR.

be implemented on ordinarydouble-sided G-10 printed circuitmaterial or multi-layer boards aslong as the layout practices dis-cussed here are observed.

The importance of good construc-tion and layout practices cannotbe over-stressed: poor circuit de-sign will seriously degrade systemperformance. Circuit designs thatresult in excessive amounts ofparasitic inductance or capaci-tance will degrade the stabilityand bandwidth of the fiber-optic

receiver. Any unintended reduc-tion in the bandwidth or stabilityof the receiver will result in loss ofreceiver sensitivity or, in the casewhere received optical power isheld constant, could degrade theBER. It is generally acknowl-edged that the receiver is themost critical portion of the fiber-optic link electronics. Despite thistendency to focus on the receiver,careful attention must be paid tothe transmitter. Care should betaken to keep traces short in thetransmitter to minimize induc-

tance of conductors that mustcarry fast current pulses whichcan reach momentary peak valuesas large as 140 mA.

EMI IssuesIf a fiber-optic transceiver is to beconstructed, additional attentionmust be paid to minimizecrosstalk between a transmitterthat is switching hundreds ofmilliamps and a receiver whoseoptical detector will have photo-currents as small as hundreds ofnanoamps. Individual ground

Figure 16a. 155 MBd Fiber-Optic Receiver for -5.2 V ECL Interface.

TYPICAL RECEIVER PERFORMANCE WITH 1mOF FIBER-OPTIC CABLE

AMBIENT TEMPERATURE 25°C

DATA FORMAT 223-1 PRBS

DATA RATE (MBd) 155 125 100 50

RECEIVER SENSIVIVITY -26 -27 -29 -30AT BER OF 1 x 10-9

(dBm pk)

TYPICAL DYNAMIC RANGE 14 15 17 18WITH 62.5/125 µm CABLE

Page 14: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

14

R1 82 Ω

R2 82 Ω

R5 22 Ω

R3 120 Ω

R4 120 Ω

R6 91 Ω

R7 91 Ω

ECL (+)

ECL (–)MPS536

Q1MPS536

Q2

C1 0.1 µF

74ACTQ001

23 74ACTQ00

74ACTQ00

U1

U1

U1

9

10

13

12

54

6

11

814

7

C4 75 pF

2, 6, 7

3

U1

R8 33 Ω

R9 33 Ω

R10 270 Ω

-5 V VEEC2

0.1 µF

C3 10 µF+

HFBR-14X2/4

NOTES:

1. ALL RESISTORS ARE ±5% TOLERANCE.

2. ALL ELECTROLYTIC CAPACITORS ARE ±20% TOLERANCE. ALL OTHER CAPACITORS SHOULD BE RADIAL LEAD MONOLITHIC CERAMIC TYPES WITH ±10% TOLERANCE.

Figure 16b. 155 MBd Fiber-Optic Transmitter for -5.2 V ECL Interface.

planes are recommended for thetransmitter and the receiver ifthey are to be laid out next to oneanother as is typically done intransceivers. The receiver designsshown in Figures 16a, 17a & 18ause a balanced power supply filterthat eliminates noise conductedby both the power and commonsides of the voltage source used topower the circuit. This filtershould be located between the fi-ber-optic receiver and the noisyvoltage source that powers thedigital logic to which the fiber-op-tic receiver must interface. Thefiber-optic transmitter can be di-rectly connected to the noisy logicpower supply. The transmitter isa large signal device that is notparticularly sensitive to digitalsystem noise. Note that when us-

ing the balanced power filter adifferential interface between thereceiver’s digital output and thehost systems is required.

Another factor that could degradethe performance of a fiber-opticreceiver is environmental noise.The HFBR-2406/2416 combinesthe PIN diode optical detector andthe current-to-voltage converterin a small hybrid package. Thisminiature hybrid package reducesthe size of the antenna at thehigh-impedance input of thetransimpedance amplifier thatconverts the photo-current to avoltage. The small geometry ofthis hybrid circuit allows thelight-to-voltage converter toachieve excellent electro-magneticinterference immunity. Caution

must be exercised, however, to en-sure that the metal ferrule of thefiber-optic connector does not actas an EMI source by contactingelectrically noisy parts of the sys-tem in which it is used. Electro-static shielding should be appliedto the receiver if the system usingthe fiber-optic link is extremelynoisy. For noisy system applica-tions the HFBR-2406C or HFBR-2416TC receivers should bespecified. The HFBR-2406C andHFBR-2416TC utilize a conduc-tive plastic housing which pro-vides the shielding needed forelectrically noisy environments.The conductive plastic receiverscan be used in systems that haveEMI fields as large as 10 volts/meter (see AN-1057). Anothermethod that improves the EMI

TYPICAL PEAK POWER COUPLED INTO A 1m LENGTHOF FIBER-OPTIC CABLE

IF = 60 mA TA = 25°C

FIBER CABLE NA HFBR-14X2 HFBR-14X4

100/140 µm 0.3 -12.0 -6.5

62.5/125 µm 0.275 -16.0 -12.0

50/125 µm 0.20 -18.8 -15.8

Page 15: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

15

C11 0.1 µF

1/3 10H116

1/3 10H116

1/3 10H116

6

2

37

C7 0.1 µF

R13 1K Ω

19

10

11

6

7

C8 0.1 µF

16

R14 1K Ω

L1 2.7 µH

C18 10 µF

C17 0.1 µF

C16 10 µF

L2 2.7 µH

+5 V

0V

VBB

VBB

C9 0.1 µF

C15 10 µF

R25 12 Ω

R26 62 Ω

TL431-CLP

C14 0.1 µF

R20 1K Ω

+3 V

R16 51 Ω

5

48

3

2

C10 0.1 µF

R17 51 Ω

R15 51 Ω

VBB

+3V

R19 1K Ω R21

1K Ω

R23 51 Ω

C13 0.1 µF

C12 0.1 µF

R18 51 Ω R22

1K Ω

R24 51 Ω

VBB

HFBR-24X6

13

12 14

15

ECL

ECL

++

C5 0.47 µF

C6 0.1 µF

R11 4.7 Ω

R12 4.7 Ω

Vcc

Vcc

Vcc

POWER IN

+

NOTES:

1. ALL RESISTORS ARE ±5% TOLERANCE.

2. ALL ELECTROLYTIC CAPACITORS ARE ±20% TOLERANCE. ALL OTHER CAPACITORS SHOULD BE RADIAL LEAD MONOLITHIC CERAMIC TYPES WITH ±10% TOLERANCE.

3. L1 AND L2 SHOULD HAVE A ±10% TOLERANCE, SERIES RESISTANCE OF ROUGHLY 0.5 Ω, AND A SELF RESONANT FREQUENCY ≥ 100 MHz.

4. VBB IS A BIAS VOLTAGE GENERATED INTERNALLY BY THE 10H116 ECL LINE RECEIVER.

5. THE VCC-2V POWER IS GENERATED BY THE TL431-CLP SHUNT

REGULATOR.

Figure 17a. 155 MBd Fiber-Optic Receiver for +5 V ECL Interface to Am 7969.

TYPICAL RECEIVER PERFORMANCE WITH 1mOF FIBER-OPTIC CABLE

AMBIENT TEMPERATURE 25°C

DATA FORMAT 223-1 PRBS

DATA RATE (MBd) 155 125 100 50

RECEIVER SENSIVIVITY -26 -27 -29 -30AT BER OF 1 x 10-9

(dBm pk)

TYPICAL DYNAMIC RANGE 14 15 17 18WITH 62.5/125 µm CABLE

Page 16: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

16

immunity of the receiver is to usea connector with a non-conductiveplastic or ceramic ferrule. In ex-tremely noisy applications the fi-ber-optic receiver can be enclosedin a metal box. This box elimi-nates noise that would otherwisebe coupled into the fiber-optic re-ceiver from the system in which itis installed. Systems that requiremetal shielding have proved to beunusual. Thus, in the majority ofapplications, the inherent noiseimmunity of the components com-bined with the shielding providedby the receiver ground plane haveprovided sufficient noise immunity.

Applications SupportSome complete designs that allowthe use of HFBR-2406/2416 forrun-length-limited data applica-

tions will now be discussed. Vari-ous transceivers have been de-signed which permit theHFBR-2406/2416 to be interfacedwith:

(1) ECL logic operating on -5.2V.(Figure 16)

(2) The AMD TAXIchipTM +5V100K ECL interface.(Figure 17)

(3) TTL logic operated on +5V.(Figure 18)

At an ambient temperature of25°C all three interface circuitsprovided a typical receiver sensi-tivity of -29 dBm average with aBER of 1 x 10-9 at a data rate of155 MBd. Sensitivity at 125 MBdis typically -30 dBm average at aBER of 1 x 10-9. Figure 19 shows

the typical performance of theECL transmitter/receiver at 25°C.Note that in this test a 223-1PRBS pattern at 155 MBd wastransmitted over 500 m of 62.5/125 µm graded-index fiber at aBER less than 1 x 10-9. If the low-cost, high-performance fiber-opticlinks possible with the HFBR-2406/2416 interest you, contactyour local HP Field Sales Engi-neer for additional assistance.Your local HP sales representativecan simplify your prototyping taskby providing complete artwork forthe fiber-optic transmitters andreceivers discussed in this Appli-cation Bulletin.

*TAXIchip is a registered trademark ofAdvanced Micro Devices Inc.

R1 82 Ω

R2 82 Ω

R5 22 Ω

R3 120 Ω

R4 120 Ω

R6 91 Ω

R7 91 Ω

+5 V Vcc

ECL (+)

ECL (–)MPS536

Q1MPS536

Q2

C1 0.1 µF

74ACTQ001

23 74ACTQ00

74ACTQ00

U1

U1

U1

9

10

13

12

54

6

11

814

7

C4 75 pF

C2 0.1 µF

C3 10 µF

2, 6, 7

3

U1

+

R8 33 Ω

R9 33 Ω

R10 270 Ω

HFBR-14X2/4

NOTES:

1. ALL RESISTORS ARE ±5% TOLERANCE.

2. ALL ELECTROLYTIC CAPACITORS ARE ±20% TOLERANCE. ALL OTHER CAPACITORS SHOULD BE RADIAL LEAD MONOLITHIC CERAMIC TYPES WITH ±10% TOLERANCE.

Figure 17b. 155 MBd Transmitter for +5 V ECL Interface to Am 7968.

TYPICAL PEAK POWER COUPLED INTO A 1m LENGTHOF FIBER-OPTIC CABLE

IF = 60 mA TA = 25°C

FIBER CABLE NA HFBR-14X2 HFBR-14X4

100/140 µm 0.3 -12.0 -6.5

62.5/125 µm 0.275 -16.0 -12.0

50/125 µm 0.20 -18.8 -15.8

Page 17: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

17

Fig

ure

18a

. 15

5 M

Bd

Fib

er-O

ptic

Rec

eive

r fo

r T

TL

In

terf

ace.

1/3

10H

116

1/3

10H

116

1/3

10H

116

6

2

37

C7

0.1

µFR

6 1K

Ω1

9 10

11

67

C8

0.1

µF

16

R7

1K Ω

L1

2.7

µH

C16

10

µF

C17

10

µF

C18

0.

1 µF

L2

2.7

µHR

EC

EIV

ER

C

OM

MO

N

VB

B

VB

B

C9

0.1

µF

C15

10

µF

R18

12

Ω

R19

62

Ω

TL4

31-C

LP

C14

0.

1 µF

R13

1K

Ω

+3

V

R9

51 Ω

5 48

32

C10

0.

1 µF

R10

51

ΩR

8 51

Ω

VB

B

+3V

R12

1K

ΩR

14

1K Ω

R16

51

Ω

C13

0.

1 µF

C12

0.

1 µF

R18

51

ΩR

15

1K Ω

R17

51

Ω

VB

B

U1

HF

BR

-24X

6

13 121415

EC

L

EC

L

+

R4

4.7

Ω

R5

4.7

ΩV

cc

C11

0.

1 µF

Vcc

+

C6

0.1

µFC

5 0.

47 µ

F

R20

22

Ω

R21

91

ΩR

22

91 Ω

Q1

MP

S53

6

Q2

MP

S53

6

+5

V

UN

FIL

TE

RE

D

TT

L

TT

L

TT

L O

UT

+5

FIL

TE

RE

D

+

+5

UN

FIL

TE

RE

D

UN

FIL

TE

RE

D

PO

WE

R

INP

UT

SY

ST

EM

C

OM

MO

N

SE

PA

RA

TE

PO

WE

R A

ND

GR

OU

ND

PLA

NE

S H

ER

E

U2

U2

U3

NO

TE

S:

1. A

LL R

ES

IST

OR

S A

RE

±5%

TO

LER

AN

CE

.

2. A

LL E

LEC

TR

OLY

TIC

CA

PA

CIT

OR

S A

RE

±20

%

TO

LER

AN

CE

. A

LL O

TH

ER

CA

PA

CIT

OR

S S

HO

ULD

BE

RA

DIA

L LE

AD

MO

NO

LIT

HIC

CE

RA

MIC

TY

PE

S

W

ITH

±10

% T

OLE

RA

NC

E.

3. L

1 A

ND

L2

SH

OU

LD H

AV

E A

±10

% T

OLE

RA

NC

E,

S

ER

IES

RE

SIS

TA

NC

E O

F R

OU

GH

LY 0

.5 Ω

, AN

D A

SE

LF R

ES

ON

AN

T F

RE

QU

EN

CY

≥ 1

00 M

Hz.

4.

VB

B IS

A B

IAS

VO

LTA

GE

GE

NE

RA

TE

D IN

TE

RN

ALL

Y

BY

TH

E 1

0H11

6 E

CL

LIN

E R

EC

EIV

ER

.

5. T

HE

VC

C-2

V P

OW

ER

IS G

EN

ER

AT

ED

BY

TH

E

T

L431

-CLP

SH

UN

T R

EG

ULA

TO

R.

TY

PIC

AL

RE

CE

IVE

R P

ER

FO

RM

AN

CE

WIT

H 1

mO

F F

IBE

R-O

PT

IC C

AB

LE

AM

BIE

NT

TE

MP

ER

AT

UR

E25

°C

DA

TA

FO

RM

AT

223

-1 P

RB

S

DA

TA

RA

TE

(M

Bd)

155

125

100

50

RE

CE

IVE

R S

EN

SIV

IVIT

Y-2

6-2

7-2

9-3

0A

T B

ER

OF

1 x

10-

9

(dB

m p

k)

TY

PIC

AL

DY

NA

MIC

RA

NG

E14

1517

18W

ITH

62.

5/12

5 µm

CA

BLE

Page 18: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

18

+5 V Vcc

74ACTQ001

23 74ACTQ00

74ACTQ00

U1

U1

U1

9

10

13

12

54

6

11

814

7

C1 75 pF

R1 33 Ω

C2 0.1 µF

C3 10 µF

2, 6, 7

3

U1

+

TTL IN

R2 33 Ω

R3 270 Ω

HFBR-14X2/4

NOTES:

1. ALL RESISTORS ARE ±5% TOLERANCE.

2. ALL ELECTROLYTIC CAPACITORS ARE ±20% TOLERANCE. ALL OTHER CAPACITORS SHOULD BE RADIAL LEAD MONOLITHIC CERAMIC TYPES WITH ±10% TOLERANCE.

Figure 18b. 155 MBd Fiber-Optic Transmitter for TTL Interface.

FIBER LENGTH 500 m

TYPICAL PEAK-TO-PEAK JITTER = 760 ps

TIME SCALE IS 2.0 ns/DIV.

DATA RATE 155 MBd

DATA PATTERN 223-1 PRBS

FIBER TYPE SIECOR 62.5/125 µm

Figure 19. ECL Output of the Transceiver Shown in Figures 17a and 17b.

TYPICAL PEAK POWER COUPLED INTO A 1m LENGTHOF FIBER-OPTIC CABLE

IF = 60 mA TA = 25°C

FIBER CABLE NA HFBR-14X2 HFBR-14X4

100/140 µm 0.3 -12.0 -6.5

62.5/125 µm 0.275 -16.0 -12.0

50/125 µm 0.20 -18.8 -15.8

Page 19: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

19

References[1] Agilent Technologies’ Optoelec-tronics Designer’s Catalog 1988,HFBR-AWSyyy data sheet.

[2] James J. Refi, “LED Band-width of Multimode Fibers as aFunction of Laser Bandwidth andLED Spectral Characteristics”,Journal of Lightwave Technology,Volume LT-4 No. 3, March 1986.

[3] Delon C. Hanson and JerryHutchison, “LED Source and Fi-ber Specification Issues for theFDDI Network”, COMPCONSpring ’87, IEEE Computer Soci-ety, (San Francisco, CA), Febru-ary 24-26, 1987.

[4] Delon C. Hanson, “Fiber OpticSub-System for Local Area Net-works”, OFC ’88, (New Orleans,LA), January 24-28, 1988.

[5] Hans O. Sorensen, “Use ofStandard Modulation Codes forFiber Optic Link Optimization”,FOC 1984.

Complete TransceiverSolutionFigure 21 shows the schematicfor a complete fiber-optic trans-ceiver. This transceiver isconstructed on a printed circuit,which is 1" wide by 1.78" long,using surface mount compo-nents. The transceiver in Figure21 has an industry standard+5 V ECL (PECL) electricalinterface. The transceiver shownin Figure 21 can be populatedwith HP’s HFBR-14X4/24X6820 nm components or HP’sHFBR-1312T/2316T pin compat-ible 1300 nm components. Whenthe transceiver shown in Figure21 is populated with 820 nmcomponents, and tested at a datarate of 155.5 MBd, using a 500 mlength of 62.5/125 µm fiber, itprovides a typical eye opening of5.2 ns at a BER of 1 x 10-9, asshown in Figure 20.

The power supply filter and ECLterminations shown in Figure 22are recommended for use withthe transceiver shown in Figure21. The printed circuit artworkfor the surface mount transceiveris shown in Figure 23. A com-plete parts list for the 820 nmtransceiver is shown in Table 1,

and a complete parts list for the1300 nm transceiver is shown inTable 2.

Designers interested in inexpen-sive solutions are encouraged toembed the complete fiber-optictransceiver described in thisApplication Note into the nextgeneration of their new datacommunication products. All ofthe information needed to imbedthe transceiver shown in Figure21 can be obtained by calling theelectronic bulletin board at 408-435-6733. Just call the bulletin

board, then download the filenamed FURBALL.EXE to obtainelectronic copies of thetransceiver’s artwork, schematic,and material list. If time tomarket is critical, the productdevelopment cycle can be short-ened by ordering a fully as-sembled HFBR-0416 transceiverdemo board from your localAgilent Field Sales Engineer.

1 x 10-3

1 x 10-5

1 x 10-7

1 x 10-9

BE

R

0 0.6 1.3 2.61.8 3.2 3.9 4.5 5.1 5.8 6.4CLOCK DELAY, ns

EYE WIDTH AT ROOM TEMPERATURE: 5.2 ns

FIBER TYPE: 62.5/125 µm

FIBER LENGTH: 500 m

Pt = -14.7 dBm AVG.

Pr = -16.9 dBm AVG.

DATA: 155.5 MBd 223 -1 PRBS

Figure 20. Typical BER vs. Clock Delay at 155.5 MBd.

Page 20: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

20

Fig

ure

21.

155

MB

d 1x

9 T

ran

scei

ver

Sch

emat

ic

Page 21: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

21

+5 V ECL SERIAL DATA SOURCE

+

+

+

–5 V

82 Ω 82 Ω

120 Ω 120 Ω

0.1 µF

0.1 µF

0.1 µF

0.1 µF4.7 µH

4.7 µH

4.7 µH

10 µF

10 µF

+5 V ECL SERIAL DATA RECEIVER

82 Ω

120 Ω 120 Ω

82 Ω

9

8

7

6

5

3

4

2

1

FIBER-OPTIC TRANSCEIVER

SHOWN IN FIGURE 7

Tx VEE

TD

TD

Tx VCC

Rx VCC

RD

RD

Rx VEE

Figure 22. Recommended Power Supply Filter and +5 V ECL Signal Terminations

Figure 23a. Drill Drawing Figure 23b. Top Silkscreen Figure 23c. Top Side Solder Mask

Page 22: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

22

Figure 23d. Top Layer Copper Figure 23e. Mid Layer (2) Rx GND Figure 23f. Mid Layer (3) Ts GND

Figure 23g. Bottom Copper Figure 23h. Bottom SideSolder Mask Figure 23i. Bottom Silkscreen

Page 23: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

23

Table 1. Bill of Materials for Multi-Mode 820 nm Fiber-Optic Transceiver

Deslgnator Part Type Description Footprint Material Part Number Quantity Vendor 1

C1 0.001 Capacitor 805 NPO/COG C0805NPO500102JNE 3 Venkel

C4 0.001 Capacitor

C7 0.001 Capacitor

C10 0.1 Capacitor 805 X7R or better C0805X7R500104KNE 12 Venkel

C 11 0.1 Capacitor

C 12 0.1 Capacitor

C 13 0.1 Capacitor

C 15 0.1 Capacitor

C 16 0.1 Capacitor

C17 0.1 Capacitor

C 18 0.1 Capacitor

C 19 0.1 Capacitor

C2 0.1 Capacitor

C3 0.1 Capacitor

C6 0 1 Capacitor

C9 0.47 Capacitor 1812 X7R or better C 1812X7R500474KNE 1 Venkel

C14 10 Capacitor B Tantalum, 10v TA010TCM106MBN 3 Venkel

C20 10 Capacitor

C5 10 Capacitor

C8 75 pF Capacitor 805 NPO/COG C0805COG500750JNE 1 Venkel

U1 I.C. Nand Gate S08 74ACTQ00 1 National

U2 Fioer-Optic Transmitter HFBR-1414 1 HP

U3 Fiber-Optic Receiver HFBR-2416 1 HP

U4 MC10H116FN IC, ECL line receiver PLCC20 MC10H116FN 1 Motorola

U5 TL431CD IC, Voltage Regulator SO-8 TL431CD 1 T.l.

L1 CB70-1812 Inductor 1812 HF30ACB453215 1 TDK

R12 4.7 Resistor 805 5% CR08051OW4R7JT 2 Venkel

R 13 4.7 Resistor

R20 12 Resistor 805 5% CR080510W120JT 1 Venkel

R9 33 Resistor 805 5% CR080510W330JT 1 Venkel

R10 33 Resistor 805 5% CR080510W330JT 1 Venkel

R11 270 Resistor 805 5% CR080510W271JT 1 Venkel

R5 22 Resistor 805 5% CR08051OW220JT 1 Venkel

R16 51 Resistor 805 5% CR080510W510JT 4 Venkel

R17 51 Resistor

R18 51 Resistor

R19 51 Resistor

R21 62 Resistor 805 5% CR08051OW620JT 1 Venkel

R6 91 Resistor 805 5% CR080510W91OJT 2 Venkel

R7 91 Resistor

R14 1K Resistor 805 5% CR080510W102JT 6 Venkel

R15 1 K Resistor

R22 1 K Resistor

R23 1 K Resistor

R24 1 K Resistor

R25 1 K Resistor

Q1 BFT92 Transistor SOT-23 BFT92 2 Philips

Q2 BFT92 Transistor

J1 Pins 343B 9 McKenzie

Page 24: Low Cost Fiber-Optic Links for Digital Applications …edg.uchicago.edu/~bogdan/Mezzanine_cards/doc/app_note78.pdfLow Cost Fiber-Optic Links for Digital Applications up to 155 MBd

Table 2. Bill of Materials for Multi-Mode 1300 nm Fiber-Optic Transceiver

Designator Part Type Description Footprint Material Part Number Quantity Vendor 1

C1 0.001 Capacitor 805 NPO/COG C0805NPO500102JNE 3 Venkel

C4 0.001 Capacitor

C7 0.001 Capacitor

C10 0.1 Capacitor 805 X7R or better C0805X7R500104KNE 12 Venkel

C 11 0.1 Capacitor

C 12 0.1 Capacitor

C 13 0.1 Capacitor

C 15 0.1 Capacitor

C 16 0.1 Capacitor

C17 0.1 Capacitor

C 18 0.1 Capacitor

C 19 0.1 Capacitor

C2 0.1 Capacitor

C3 0.1 Capacitor

C6 0 1 Capacitor

C9 0.47 Capacitor 1812 X7R or better C 1812X7R500474KNE 1 Venkel

C14 10 Capacitor B Tantalum, 10v TA010TCM106MBN 3 Venkel

C20 10 Capacitor

C5 10 Capacitor

C8 150 pF Capacitor 805 NPO/COG C0805COG500151JNE 1 Venkel

U1 I.C. Nand Gate S08 74ACTQ00 1 National

U2 Fioer-Optic Transmitter HFBR-1312T 1 HP

U3 Fiber-Optic Receiver HFBR-2316T 1 HP

U4 MC10H116FN IC, ECL line receiver PLCC20 MC10H116FN 1 Motorola

U5 TL431CD IC, Voltage Regulator SO-8 TL431CD 1 T.l.

L1 CB70-1812 Inductor 1812 HF30ACB453215 1 TDK

R12 4.7 Resistor 805 5% CR08051OW4R7JT 2 Venkel

R 13 4.7 Resistor

R20 12 Resistor 805 5% CR080510W120JT 1 Venkel

R9 22 Resistor 805 5% CR080510W220JT 1 Venkel

R10 27 Resistor 805 5% CR080510W270JT 1 Venkel

R5 22 Resistor 805 5% CR080510W220JT 1 Venkel

R16 51 Resistor 805 5% CR080510W510JT 4 Venkel

R17 51 Resistor

R18 51 Resistor

R19 51 Resistor

R21 62 Resistor 805 5% CR08051OW620JT 1 Venkel

R6 91 Resistor 805 5% CR080510W91OJT 2 Venkel

R7 91 Resistor

R14 1 K Resistor 805 5% CR080510W102JT 6 Venkel

R15 1 K Resistor

R22 1 K Resistor

R23 1 K Resistor

R24 1 K Resistor

R25 1 K Resistor

Q1 BFT92 Transistor SOT-23 BFT92 2 Philips

Q2 BFT92 Transistor

J1 Pins 343B 9 McKenzie

www.semiconductor.agilent.com

Data subject to change.Copyright © 1999 Agilent Technologies, Inc.

Obsoletes 5091-9102E

5965-6005E (11/99)