8
1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 D D C C B B A A 1 8 overview FU0901_SK-86R03-01_prj.SchDoc Title Checked: Description: Date: File: Sheet of SK-86R03-01 :Jade-L GDC Starter Kit FS A Author: Date: Revision: Alte Landstrasse 25 D-85521 Ottobrunn Germany Version: 2v3 Smartest GmbH overview SK-86R03-01 :Jade-L GDC Starter Kit 19/03/2010 HHoe 08.02.2010 Jade_D digital pads DUT.SchDoc Jade_D power pads DUT_power.SchDoc Ethernet and USB circuitry Ethernet_USB.SchDoc DDR2 DRAM circuitry DDR2_DRAM.SchDoc Flash circuitry & Display connectors Flash_display.SchDoc other interfaces circuitry interfaces_1.SchDoc supply voltages regulators switchers.SchDoc Project History Datum Version/Rev. Author comments 05 Nov.2009 0v1 / A FS initial schematics documents sent to customer FU0901_SK-86R03-01_0v1.pdf Information: These schematics uses a "net label" connectivity: most labels are defined on the "DUT.SchDoc" sheet, and referred to on other sheets. Some labels are defined in other sheets, generally the destination or origin sheet is noted as [nnnn] below label. Power ports do not carry such references. 17 Nov.2009 0v2 / A FS intermediate schematics FU0901_SK-86R03-01_0v2.pdf 20 Nov.2009 0v3 / A FS intermediate schematics FU0901_SK-86R03-01_0v3.pdf SD_*, INT*, CAN*, I2S*, I2C*; PWM*, VIN*, CCLK* not yet on connectors. 26 Nov.2009 0v4 / A FS reviewed schematics FU0901_SK-86R03-01_0v4.pdf 08 Dec.2009 0v5 / A FS peripheral connectors routed FU0901_SK-86R03-01_0v5.pdf 11 Dec.2009 0v6 / A FS Vinfid0, VinVsync0, VinHsync0 simplified, switches high-active FU0901_SK-86R03-01_0v6.pdf 12 Dec.2009 1v0 / A FS PCB fully routed FU0901_SK-86R03-01_1v0.pdf FU0901_SK-86R03-01_1v0_A5 [Project] 25 Jan.2010 2v0 / A FS errors corrected, manufacturability improved FU0901_SK-86R03-01_2v0.pdf FU0901_SK-86R03-01_2v0_A5 [Project] 28 Jan.2010 2v1 / A FS interrupt from touch controller wired to INTA2 FU0901_SK-86R03-01_2v1.pdf FU0901_SK-86R03-01_2v1_A5 [Project] Rd19 added to link this interrupt Rd[7..10] value changed to 4k7 Rd[14, 17] value changed to 0R0 08 Feb.2010 2v2 / A FS power jack corrected FU0901_SK-86R03-01_2v2.pdf FU0901_SK-86R03-01_2v2_A5 [Project] design accepted 19 Mar.2010 2v3 / A FS R217 ->25k5 (1v8 improvement) FU0901_SK-86R03-01_2v3.pdf C3, C411, C412, C428, C429 ->47μF FU0901_SK-86R03-01_2v3_A5 [Project]

it€¦ · C1 100n R1 33R0 DGND 3V3_ECL K R2 4k7 R3 10k0 C2 100n C4 100n 1 2 3 4 Sw1 B3S- 1000 sense1 1 sense2 sense3 3 GND 4 Reset 5 Reset 6 MR 7 Vdd 8 9 U2 TP S3307- 18DGN

  • Upload
    lythuy

  • View
    220

  • Download
    0

Embed Size (px)

Citation preview

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

1 8

overview

FU0901_SK-86R03-01_prj.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

over

view

SK-8

6R03

-01

:Jad

e-L

GD

C S

tart

er K

it

19/03/2010

HHoe 08.02.2010

Jade_D digital padsDUT.SchDoc

Jade_D power padsDUT_power.SchDoc

Ethernet and USB circuitryEthernet_USB.SchDoc

DDR2 DRAM circuitryDDR2_DRAM.SchDoc

Flash circuitry & Display connectorsFlash_display.SchDoc

other interfaces circuitryinterfaces_1.SchDoc

supply voltages regulatorsswitchers.SchDoc

Project HistoryDatum Version/Rev. Author comments

05 Nov.2009 0v1 / A FS initial schematics

documents sent to customer

FU0901_SK-86R03-01_0v1.pdf

Information:

These schematics uses a "net label" connectivity: most labels are defined on the "DUT.SchDoc" sheet, and referred to on other sheets. Some labels are defined in other sheets, generally the destination or origin sheet is noted as [nnnn] below label. Power ports do not carry such references.

17 Nov.2009 0v2 / A FS intermediate schematicsFU0901_SK-86R03-01_0v2.pdf

20 Nov.2009 0v3 / A FS intermediate schematicsFU0901_SK-86R03-01_0v3.pdf

SD_*, INT*, CAN*, I2S*, I2C*; PWM*, VIN*, CCLK*

not yet on connectors.

26 Nov.2009 0v4 / A FS reviewed schematicsFU0901_SK-86R03-01_0v4.pdf

08 Dec.2009 0v5 / A FS peripheral connectors routedFU0901_SK-86R03-01_0v5.pdf

11 Dec.2009 0v6 / A FS Vinfid0, VinVsync0, VinHsync0 simplified, switches high-activeFU0901_SK-86R03-01_0v6.pdf

12 Dec.2009 1v0 / A FS PCB fully routedFU0901_SK-86R03-01_1v0.pdfFU0901_SK-86R03-01_1v0_A5 [Project]

25 Jan.2010 2v0 / A FS errors corrected, manufacturability improvedFU0901_SK-86R03-01_2v0.pdfFU0901_SK-86R03-01_2v0_A5 [Project]

28 Jan.2010 2v1 / A FS interrupt from touch controller wired to INTA2

FU0901_SK-86R03-01_2v1.pdfFU0901_SK-86R03-01_2v1_A5 [Project]

Rd19 added to link this interruptRd[7..10] value changed to 4k7Rd[14, 17] value changed to 0R0

08 Feb.2010 2v2 / A FS power jack corrected

FU0901_SK-86R03-01_2v2.pdfFU0901_SK-86R03-01_2v2_A5 [Project]

design accepted

19 Mar.2010 2v3 / A FS R217 ->25k5 (1v8 improvement)

FU0901_SK-86R03-01_2v3.pdfC3, C411, C412, C428, C429 ->47µF

FU0901_SK-86R03-01_2v3_A5 [Project]

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

2 8

GDC signals

DUT.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

GD

C si

gnal

sSK

-86R

03-0

1 :J

ade-

L G

DC

Sta

rter

Kit

19/03/2010

HHoe 08.02.2010

MCK_N

MCK_P

CLKOE1

GND2

OUT 3

Vcc 4

U3

ASE-13.5000MHz-ET

C1

100n

R1

33R0

3V3_ECLKDGND

R2

4k7

R3

10k0

C2

100n C4

100n

1

2

3

4

Sw1

B3S-1000

sense11

sense22

sense33

GND4 Reset 5

Reset 6

MR 7

Vdd 8

9

U2TPS3307-18DGN

DGND

DGND

DGND

DGND

3V3_Vdde

RESET

3V3_Vdde

XRST

paddle_u2

MEM_XCS[0]D16

MEM_XCS[2]C16

MEM_XCS[4]B16

MEM_XRDA17

MEM_XWR[0]C17

MEM_XWR[1]B17

MEM_RDYD15

MEM_EA[1]A24

MEM_EA[2]C23

MEM_EA[3]B23

MEM_EA[4]A23

MEM_EA[5]D22

MEM_EA[6]C22

MEM_EA[7]B22

MEM_EA[8]A22

MEM_EA[9]D21

MEM_EA[10]C21

MEM_EA[11]B21

MEM_EA[12]A21

MEM_EA[13]D20

MEM_EA[14]C20

MEM_EA[15]B20

MEM_EA[16]A20

MEM_EA[17]D19

MEM_EA[18]C19

MEM_EA[19]B19

MEM_EA[20]A19

MEM_EA[21]D18

MEM_EA[22]C18

MEM_EA[23]B18

MEM_EA[24]D17

MEM_ED[0]F25

MEM_ED[1]F24

MEM_ED[2]F23

MEM_ED[3]E26

MEM_ED[4]E25

MEM_ED[5]E24

MEM_ED[6]E23

MEM_ED[7]D26

MEM_ED[8]D25

MEM_ED[9]D24

MEM_ED[10]D23

MEM_ED[11]C26

MEM_ED[12]C25

MEM_ED[13]C24

MEM_ED[14]B25

MEM_ED[15]B24

GDCA

86R03-01

MDQSP[0]W26

MDQSN[0]Y26

MDQSP[1]T26

MDQSN[1]U26

MDQSP[2]K26

MDQSN[2]L26

MDQSP[3]G26

MDQSN[3]H26

MCKPN26

MCKNP26

MCKEAA25

MCSAB23

MRASAA24

MCASAA23

MWEAB24

VREF0V25

VREF1K25

OCDP23

ODTN23

ODTCONTAC22

MCKE_STARTAE22

DDRTYPEAC21

GDCF

86R03-01

MEM_XCS(0)MEM_XCS(2)MEM_XCS(4)MEM_XRDMEM_XWR(0)MEM_XWR(1)MEM_RDYMEM_EA(1)MEM_EA(2)MEM_EA(3)MEM_EA(4)MEM_EA(5)MEM_EA(6)MEM_EA(7)MEM_EA(8)MEM_EA(9)MEM_EA(10)MEM_EA(11)MEM_EA(12)MEM_EA(13)MEM_EA(14)MEM_EA(15)MEM_EA(16)MEM_EA(17)MEM_EA(18)MEM_EA(19)MEM_EA(20)MEM_EA(21)MEM_EA(22)MEM_EA(23)MEM_EA(24)

MEM_ED(0)MEM_ED(1)MEM_ED(2)MEM_ED(3)MEM_ED(4)MEM_ED(5)MEM_ED(6)MEM_ED(7)MEM_ED(8)MEM_ED(9)MEM_ED(10)MEM_ED(11)MEM_ED(12)MEM_ED(13)MEM_ED(14)MEM_ED(15)

SD_CLKSD_CMDSD_DAT(0)SD_DAT(1)SD_DAT(2)SD_DAT(3)SD_WPSD_XMCD

INT_A(0)INT_A(1)INT_A(2)INT_A(3)

UART_SIN0UART_SOUT0UART_XCTS0UART_XRTS0UART_SIN1UART_SOUT1UART_SIN2UART_SOUT2

CAN_RX0CAN_RX1CAN_TX0CAN_TX1

I2S_ECLK1I2S_SCK1I2S_WS1I2S_SDI1I2S_SDO1I2S_ECLK2I2S_SCK2I2S_WS2I2S_SDI2I2S_SDO2

I2C_SCL0I2C_SDA0I2C_SCL1I2C_SDA1

PWM_O0PWM_O2PWM_O1PWM_O3

SD_CLKAC17

SD_CMDAD17

SD_DAT[0]AF18

SD_DAT[1]AE18

SD_DAT[2]AD18

SD_DAT[3]AC18

SD_WPAF19

SD_XMCDAE19

INT_A[0]AF22

INT_A[1]AD21

INT_A[2]AC20

INT_A[3]AE21

UART_SIN0AF16

UART_SOUT0AF15

UART_XCTS0AE16

UART_XRTS0AE15

UART_SIN1AF17

UART_SOUT1AE17

UART_SIN2AC16

UART_SOUT2AD16

CAN_RX0AD5

CAN_RX1AF5

CAN_TX0AC5

CAN_TX1AE5

GDCB

86R03-01

MA[0]AC23

MA[1]AC26

MA[2]AC24

MA[3]AD26

MA[4]AE24

MA[5]AD25

MA[6]AD24

MA[7]AE26

MA[8]AF23

MA[9]AD23

MA[10]AC25

MA[11]AE25

MA[12]AF24

MA[13]AE23

MBA[0]AB25

MBA[1]AB26

MDQ[0]W25

MDQ[1]W24

MDQ[2]Y25

MDQ[3]Y23

MDQ[4]W23

MDQ[5]Y24

MDQ[6]V22

MDQ[7]V24

MDQ[8]T25

MDQ[9]T24

MDQ[10]U24

MDQ[11]U23

MDQ[12]T23

MDQ[13]U25

MDQ[14]R23

MDQ[15]R25

MDQ[16]L25

MDQ[17]L24

MDQ[18]M24

MDQ[19]M23

MDQ[20]L23

MDQ[21]M25

MDQ[22]L22

MDQ[23]K24

MDQ[24]H25

MDQ[25]H23

MDQ[26]J24

MDQ[27]J23

MDQ[28]H24

MDQ[29]J25

MDQ[30]G23

MDQ[31]G25

MDM[0]V23

MDM[1]R24

MDM[2]K23

MDM[3]G24

GDCE

86R03-01

CLKA16

XRSTA11

CRIPM0C15

CRIPM1D14

CRIPM2C14

CRIPM3B14

VINITHIB13

PLLBYPASSAD12

BIGENDAE12

PLLTDTRSTD12

TCKC13

XTRSTB9

TMSB12

TDID13

TDOA14

RTCKD10

XSRSTA9

JTAGSELC12

MPX_MODE_1[0]AC11

MPX_MODE_1[1]AD11

MPX_MODE_5[0]AE11

MPX_MODE_5[1]AF11

TESTMODE[0]AC12

TESTMODE[1]AF12

TESTMODE[2]AD22

VPDAC19

TRACECLKC10

TRACECTLB10

TRACEDATA[0]B11

TRACEDATA[1]C11

TRACEDATA[2]D11

TRACEDATA[3]A10

GPIO_PD[13]AE8

GPIO_PD[14]AD8

GPIO_PD[15]AC8

GPIO_PD[16]AF7

GPIO_PD[17]AE7

GPIO_PD[18]AD7

GPIO_PD[19]AC7

GPIO_PD[20]AF6

GPIO_PD[21]AE6

GPIO_PD[22]AD6

GPIO_PD[23]AC6

GDCI

86R03-01

I2S_ECLK1AD4

I2S_SCK1AD3

I2S_WS1AE3

I2S_SDI1AF3

I2S_SDO1AC4

I2S_ECLK2AD1

I2S_SCK2AC1

I2S_WS2AB4

I2S_SDI2AB3

I2S_SDO2AB2

I2C_SCL0AE20

I2C_SDA0AD20

I2C_SCL1AF20

I2C_SDA1AF21

PWM_O0AD2

PWM_O0AE9

PWM_O1AC2

PWM_O1AD9

GDCC

86R03-01

HSYNC0B3

VSYNC0C3

GV0C2

DCLKIN0A5

DCLKO0A3

DE0B2

DOUTR0[2]C6

DOUTR0[3]D6

DOUTR0[4]B5

DOUTR0[5]C5

DOUTR0[6]D5

DOUTR0[7]C4

DOUTG0[2]A7

DOUTG0[3]B7

DOUTG0[4]C7

DOUTG0[5]D7

DOUTG0[6]A6

DOUTG0[7]B6

DOUTB0[2]C9

DOUTB0[3]D9

DOUTB0[4]A8

DOUTB0[5]B8

DOUTB0[6]C8

DOUTB0[7]D8

HSYNC1J4

VSYNC1J3

GV1J2

DCLKIN1G1

DCLKO1J1

DE1K4

DOUTR1[2]F1

DOUTR1[3]G4

DOUTR1[4]G3

DOUTR1[5]G2

DOUTR1[6]H4

DOUTR1[7]H3

DOUTG1[2]E3

DOUTG1[3]E2

DOUTG1[4]E1

DOUTG1[5]F4

DOUTG1[6]F3

DOUTG1[7]F2

DOUTB1[2]C1

DOUTB1[3]D4

DOUTB1[4]D3

DOUTB1[5]D2

DOUTB1[6]D1

DOUTB1[7]E4

GDCG

86R03-01

AD_VIN0

AD_VRH0

AD_VRL0

AD_VR0

AD_VIN1

AD_VRH1

AD_VRL1

AD_VR1

MA(0)MA(1)MA(2)MA(3)MA(4)MA(5)MA(6)MA(7)MA(8)MA(9)MA(10)MA(11)MA(12)MA(13)MBA(0)MBA(1)

MDQ(0)MDQ(1)MDQ(2)MDQ(3)MDQ(4)MDQ(5)MDQ(6)MDQ(7)MDQ(8)MDQ(9)MDQ(10)MDQ(11)MDQ(12)MDQ(13)MDQ(14)MDQ(15)MDQ(16)MDQ(17)MDQ(18)MDQ(19)MDQ(20)MDQ(21)MDQ(22)MDQ(23)MDQ(24)MDQ(25)MDQ(26)MDQ(27)MDQ(28)MDQ(29)MDQ(30)MDQ(31)MDM(0)MDM(1)MDM(2)MDM(3)

MCKEMCSMRASMCASMWEVREF0VREF1OCDODTODTCONTMCKE_STARTDDRTYPE

HSYNC0VSYNC0GV0DCLKIN0DCLKO0DE0DOUTR0(2)DOUTR0(3)DOUTR0(4)DOUTR0(5)DOUTR0(6)DOUTR0(7)DOUTG0(2)DOUTG0(3)DOUTG0(4)DOUTG0(5)DOUTG0(6)DOUTG0(7)DOUTB0(2)DOUTB0(3)DOUTB0(4)DOUTB0(5)DOUTB0(6)DOUTB0(7)

HSYNC1VSYNC1GV1DCLKIN1DCLKO1DE1DOUTR1(2)DOUTR1(3)DOUTR1(4)DOUTR1(5)DOUTR1(6)DOUTR1(7)DOUTG1(2)DOUTG1(3)DOUTG1(4)DOUTG1(5)DOUTG1(6)DOUTG1(7)DOUTB1(2)DOUTB1(3)DOUTB1(4)DOUTB1(5)DOUTB1(6)DOUTB1(7)

VIN0(0)VIN0(1)VIN0(2)VIN0(3)VIN0(4)VIN0(5)VIN0(6)VIN0(7)VINVSYNC0VINHSYNC0VINFID0CCLK0VIN1(0)VIN1(1)VIN1(2)VIN1(3)VIN1(4)VIN1(5)VIN1(6)VIN1(7)VINVSYNC1VINHSYNC1VINFID1CCLK1

AD_VIN0AD13

AD_VRH0AE13

AD_VRL0AB13

AD_VR0AC13

AD_VIN1AD14

AD_VRH1AE14

AD_VRL1AB14

AD_VR1AC14

GDCD

86R03-01

XRSTCRIPM0CRIPM1CRIPM2CRIPM3VINITHIPLLBYPASSBIGENDPLLTDTRST

TCKXTRSTTMSTDITDO

RTCKXSRST

JTAGSELMPX_MODE_1(0)MPX_MODE_1(1)MPX_MODE_5(0)MPX_MODE_5(1)

TESTMODE(0)TESTMODE(1)TESTMODE(2)VPD

TRACECLKTRACECTLTRACEDATA(0)TRACEDATA(1)TRACEDATA(2)TRACEDATA(3)GPIO_PD(13)GPIO_PD(14)GPIO_PD(15)GPIO_PD(16)GPIO_PD(17)GPIO_PD(18)GPIO_PD(19)GPIO_PD(20)GPIO_PD(21)GPIO_PD(22)GPIO_PD(23)

VIN0[0]M4

VIN0[1]L1

VIN0[2]L2

VIN0[3]L3

VIN0[4]L4

VIN0[5]K1

VIN0[6]K2

VIN0[7]K3

VINVSYNC0M3

VINHSYNC0N3

VINFID0N2

CCLK0M1

VIN1[0]Y4

VIN1[1]Y3

VIN1[2]Y2

VIN1[3]W4

VIN1[4]W3

VIN1[5]W2

VIN1[6]W1

VIN1[7]V3

VINVSYNC1AA3

VINHSYNC1AA4

VINFID1AB1

CCLK1AA1

GDCH

86R03-01

1V8_DDR_Vdde

XTRST

R4

0R0DGND

(Unused)AF4

(Unused)AF8

(Unused)AF9

(Unused)AF10

(Unused)AE4

(Unused)AE10

(Unused)AC3

(Unused)AD10

(Unused)AD19

(Unused)AC9

(Unused)AC10

GDCP

86R03-01

MDQS0_P

MDQS0_NMDQS1_P

MDQS1_NMDQS2_P

MDQS2_NMDQS3_P

MDQS3_N

D1

BAT43W

C3

47u 6V3

P0C101 P0C102

P0C201

P0C202

P0C301

P0C302

P0C401

P0C402

P0D101 P0D102

P0GDCA0A17

P0GDCA0A19

P0GDCA0A20

P0GDCA0A21

P0GDCA0A22

P0GDCA0A23

P0GDCA0A24

P0GDCA0B16

P0GDCA0B17

P0GDCA0B18

P0GDCA0B19

P0GDCA0B20

P0GDCA0B21

P0GDCA0B22

P0GDCA0B23

P0GDCA0B24

P0GDCA0B25

P0GDCA0C16

P0GDCA0C17

P0GDCA0C18

P0GDCA0C19

P0GDCA0C20

P0GDCA0C21

P0GDCA0C22

P0GDCA0C23

P0GDCA0C24

P0GDCA0C25

P0GDCA0C26

P0GDCA0D15

P0GDCA0D16

P0GDCA0D17

P0GDCA0D18

P0GDCA0D19

P0GDCA0D20

P0GDCA0D21

P0GDCA0D22

P0GDCA0D23

P0GDCA0D24

P0GDCA0D25

P0GDCA0D26

P0GDCA0E23

P0GDCA0E24

P0GDCA0E25

P0GDCA0E26

P0GDCA0F23

P0GDCA0F24

P0GDCA0F25

P0GDCB0AC5

P0GDCB0AC16

P0GDCB0AC17

P0GDCB0AC18

P0GDCB0AC20

P0GDCB0AD5

P0GDCB0AD16

P0GDCB0AD17

P0GDCB0AD18

P0GDCB0AD21

P0GDCB0AE5

P0GDCB0AE15

P0GDCB0AE16

P0GDCB0AE17

P0GDCB0AE18

P0GDCB0AE19

P0GDCB0AE21

P0GDCB0AF5

P0GDCB0AF15

P0GDCB0AF16

P0GDCB0AF17

P0GDCB0AF18

P0GDCB0AF19

P0GDCB0AF22

P0GDCC0AB2

P0GDCC0AB3

P0GDCC0AB4

P0GDCC0AC1

P0GDCC0AC2

P0GDCC0AC4

P0GDCC0AD1

P0GDCC0AD2

P0GDCC0AD3

P0GDCC0AD4

P0GDCC0AD9

P0GDCC0AD20

P0GDCC0AE3

P0GDCC0AE9

P0GDCC0AE20

P0GDCC0AF3

P0GDCC0AF20

P0GDCC0AF21

P0GDCD0AB13

P0GDCD0AB14

P0GDCD0AC13

P0GDCD0AC14

P0GDCD0AD13

P0GDCD0AD14

P0GDCD0AE13

P0GDCD0AE14

P0GDCE0AB25

P0GDCE0AB26

P0GDCE0AC23

P0GDCE0AC24

P0GDCE0AC25

P0GDCE0AC26

P0GDCE0AD23

P0GDCE0AD24

P0GDCE0AD25

P0GDCE0AD26

P0GDCE0AE23

P0GDCE0AE24

P0GDCE0AE25

P0GDCE0AE26

P0GDCE0AF23

P0GDCE0AF24

P0GDCE0G23

P0GDCE0G24

P0GDCE0G25

P0GDCE0H23

P0GDCE0H24

P0GDCE0H25

P0GDCE0J23

P0GDCE0J24

P0GDCE0J25

P0GDCE0K23

P0GDCE0K24

P0GDCE0L22

P0GDCE0L23

P0GDCE0L24

P0GDCE0L25

P0GDCE0M23

P0GDCE0M24

P0GDCE0M25

P0GDCE0R23

P0GDCE0R24

P0GDCE0R25

P0GDCE0T23

P0GDCE0T24

P0GDCE0T25

P0GDCE0U23

P0GDCE0U24

P0GDCE0U25

P0GDCE0V22

P0GDCE0V23

P0GDCE0V24

P0GDCE0W23

P0GDCE0W24

P0GDCE0W25

P0GDCE0Y23

P0GDCE0Y24

P0GDCE0Y25

P0GDCF0AA23

P0GDCF0AA24

P0GDCF0AA25

P0GDCF0AB23

P0GDCF0AB24

P0GDCF0AC21

P0GDCF0AC22

P0GDCF0AE22

P0GDCF0G26

P0GDCF0H26

P0GDCF0K25

P0GDCF0K26

P0GDCF0L26

P0GDCF0N23

P0GDCF0N26

P0GDCF0P23

P0GDCF0P26

P0GDCF0T26

P0GDCF0U26

P0GDCF0V25

P0GDCF0W26

P0GDCF0Y26

P0GDCG0A3

P0GDCG0A5

P0GDCG0A6

P0GDCG0A7

P0GDCG0A8

P0GDCG0B2

P0GDCG0B3

P0GDCG0B5

P0GDCG0B6

P0GDCG0B7

P0GDCG0B8

P0GDCG0C1

P0GDCG0C2

P0GDCG0C3

P0GDCG0C4

P0GDCG0C5

P0GDCG0C6

P0GDCG0C7

P0GDCG0C8

P0GDCG0C9

P0GDCG0D1

P0GDCG0D2

P0GDCG0D3

P0GDCG0D4

P0GDCG0D5

P0GDCG0D6

P0GDCG0D7

P0GDCG0D8

P0GDCG0D9

P0GDCG0E1

P0GDCG0E2

P0GDCG0E3

P0GDCG0E4

P0GDCG0F1

P0GDCG0F2

P0GDCG0F3

P0GDCG0F4

P0GDCG0G1

P0GDCG0G2

P0GDCG0G3

P0GDCG0G4

P0GDCG0H3

P0GDCG0H4

P0GDCG0J1

P0GDCG0J2

P0GDCG0J3

P0GDCG0J4

P0GDCG0K4

P0GDCH0AA1

P0GDCH0AA3

P0GDCH0AA4

P0GDCH0AB1

P0GDCH0K1

P0GDCH0K2

P0GDCH0K3

P0GDCH0L1

P0GDCH0L2

P0GDCH0L3

P0GDCH0L4

P0GDCH0M1

P0GDCH0M3

P0GDCH0M4

P0GDCH0N2

P0GDCH0N3

P0GDCH0V3

P0GDCH0W1

P0GDCH0W2

P0GDCH0W3

P0GDCH0W4

P0GDCH0Y2

P0GDCH0Y3

P0GDCH0Y4

P0GDCI0A9

P0GDCI0A10

P0GDCI0A11

P0GDCI0A14

P0GDCI0A16

P0GDCI0AC6

P0GDCI0AC7

P0GDCI0AC8

P0GDCI0AC11

P0GDCI0AC12

P0GDCI0AC19

P0GDCI0AD6

P0GDCI0AD7

P0GDCI0AD8

P0GDCI0AD11

P0GDCI0AD12

P0GDCI0AD22

P0GDCI0AE6

P0GDCI0AE7

P0GDCI0AE8

P0GDCI0AE11

P0GDCI0AE12

P0GDCI0AF6

P0GDCI0AF7

P0GDCI0AF11

P0GDCI0AF12

P0GDCI0B9

P0GDCI0B10

P0GDCI0B11

P0GDCI0B12

P0GDCI0B13

P0GDCI0B14

P0GDCI0C10

P0GDCI0C11

P0GDCI0C12

P0GDCI0C13

P0GDCI0C14

P0GDCI0C15

P0GDCI0D10

P0GDCI0D11

P0GDCI0D12

P0GDCI0D13

P0GDCI0D14

P0GDCP0AC3

P0GDCP0AC9

P0GDCP0AC10

P0GDCP0AD10

P0GDCP0AD19

P0GDCP0AE4

P0GDCP0AE10

P0GDCP0AF4

P0GDCP0AF8

P0GDCP0AF9

P0GDCP0AF10

P0R101 P0R102

P0R201 P0R202

P0R301 P0R302

P0R401 P0R402

P0Sw101

P0Sw102

P0Sw103

P0Sw104

P0U201

P0U202

P0U203

P0U204 P0U205

P0U206

P0U207

P0U208

P0U209

P0U301

P0U302

P0U303

P0U304

N0AD0VIN0

N0AD0VIN1

N0AD0VR0

N0AD0VR1

N0AD0VRH0

N0AD0VRH1

N0AD0VRL0

N0AD0VRL1

N0BIGEND

N0CAN0RX0

N0CAN0RX1

N0CAN0TX0

N0CAN0TX1

N0CCLK0

N0CCLK1

N0CLK

N0CRIPM0

N0CRIPM1

N0CRIPM2

N0CRIPM3

N0DCLKIN0

N0DCLKIN1

N0DCLKO0

N0DCLKO1

N0DDRTYPE

N0DE0

N0DE1

N0DOUTB0(2)

N0DOUTB0(3)

N0DOUTB0(4)

N0DOUTB0(5)

N0DOUTB0(6)

N0DOUTB0(7)

N0DOUTB1(2)

N0DOUTB1(3)

N0DOUTB1(4)

N0DOUTB1(5)

N0DOUTB1(6)

N0DOUTB1(7)

N0DOUTG0(2)

N0DOUTG0(3)

N0DOUTG0(4)

N0DOUTG0(5)

N0DOUTG0(6)

N0DOUTG0(7)

N0DOUTG1(2)

N0DOUTG1(3)

N0DOUTG1(4)

N0DOUTG1(5)

N0DOUTG1(6)

N0DOUTG1(7)

N0DOUTR0(2)

N0DOUTR0(3)

N0DOUTR0(4)

N0DOUTR0(5)

N0DOUTR0(6)

N0DOUTR0(7)

N0DOUTR1(2)

N0DOUTR1(3)

N0DOUTR1(4)

N0DOUTR1(5)

N0DOUTR1(6)

N0DOUTR1(7)

N0GPIO0PD(13)

N0GPIO0PD(14)

N0GPIO0PD(15)

N0GPIO0PD(16)

N0GPIO0PD(17)

N0GPIO0PD(18)

N0GPIO0PD(19)

N0GPIO0PD(20)

N0GPIO0PD(21)

N0GPIO0PD(22)

N0GPIO0PD(23)

N0GV0

N0GV1

N0HSYNC0

N0HSYNC1

N0I2C0SCL0

N0I2C0SCL1

N0I2C0SDA0

N0I2C0SDA1

N0I2S0ECLK1

N0I2S0ECLK2

N0I2S0SCK1

N0I2S0SCK2

N0I2S0SDI1

N0I2S0SDI2

N0I2S0SDO1

N0I2S0SDO2

N0I2S0WS1

N0I2S0WS2

N0INT0A(0)

N0INT0A(1)

N0INT0A(2)

N0INT0A(3)

N0JTAGSEL

N0MA(0)

N0MA(1)

N0MA(2)

N0MA(3)

N0MA(4)

N0MA(5)

N0MA(6)

N0MA(7)

N0MA(8)

N0MA(9)

N0MA(10)

N0MA(11)

N0MA(12)

N0MA(13)

N0MBA(0)

N0MBA(1)

N0MCAS

N0MCKE

N0MCKE0START

N0MCK0N

N0MCK0P

N0MCS

N0MDM(0)

N0MDM(1)

N0MDM(2)

N0MDM(3)

N0MDQ(0)

N0MDQ(1)

N0MDQ(2)

N0MDQ(3)

N0MDQ(4)

N0MDQ(5)

N0MDQ(6)

N0MDQ(7)

N0MDQ(8)

N0MDQ(9)

N0MDQ(10)

N0MDQ(11)

N0MDQ(12)

N0MDQ(13)

N0MDQ(14)

N0MDQ(15)

N0MDQ(16)

N0MDQ(17)

N0MDQ(18)

N0MDQ(19)

N0MDQ(20)

N0MDQ(21)

N0MDQ(22)

N0MDQ(23)

N0MDQ(24)

N0MDQ(25)

N0MDQ(26)

N0MDQ(27)

N0MDQ(28)

N0MDQ(29)

N0MDQ(30)

N0MDQ(31)

N0MDQS00N

N0MDQS00P

N0MDQS10N

N0MDQS10P

N0MDQS20N

N0MDQS20P

N0MDQS30N

N0MDQS30P

N0MEM0EA(1)

N0MEM0EA(2)

N0MEM0EA(3)

N0MEM0EA(4)

N0MEM0EA(5)

N0MEM0EA(6)

N0MEM0EA(7)

N0MEM0EA(8)

N0MEM0EA(9)

N0MEM0EA(10)

N0MEM0EA(11)

N0MEM0EA(12)

N0MEM0EA(13)

N0MEM0EA(14)

N0MEM0EA(15)

N0MEM0EA(16)

N0MEM0EA(17)

N0MEM0EA(18)

N0MEM0EA(19)

N0MEM0EA(20)

N0MEM0EA(21)

N0MEM0EA(22)

N0MEM0EA(23)

N0MEM0EA(24)

N0MEM0ED(0)

N0MEM0ED(1)

N0MEM0ED(2)

N0MEM0ED(3)

N0MEM0ED(4)

N0MEM0ED(5)

N0MEM0ED(6)

N0MEM0ED(7)

N0MEM0ED(8)

N0MEM0ED(9)

N0MEM0ED(10)

N0MEM0ED(11)

N0MEM0ED(12)

N0MEM0ED(13)

N0MEM0ED(14)

N0MEM0ED(15)

N0MEM0RDY

N0MEM0XCS(0)

N0MEM0XCS(2)

N0MEM0XCS(4)

N0MEM0XRD

N0MEM0XWR(0)

N0MEM0XWR(1)

N0MPX0MODE01(0)

N0MPX0MODE01(1)

N0MPX0MODE05(0)

N0MPX0MODE05(1)

N0MRAS

N0MWE

N0OCD

N0ODT

N0ODTCONT

N0PADDLE0U2

N0PLLBYPASS

N0PLLTDTRST

N0PWM0O0

N0PWM0O1

N0PWM0O2

N0PWM0O3

N0RESET

N0RTCK

N0SD0CLK

N0SD0CMD

N0SD0DAT(0)

N0SD0DAT(1)

N0SD0DAT(2)

N0SD0DAT(3)

N0SD0WP

N0SD0XMCD

N0TCK

N0TDI

N0TDO

N0TESTMODE(0)

N0TESTMODE(1)

N0TESTMODE(2)

N0TMS

N0TRACECLK

N0TRACECTL

N0TRACEDATA(0)

N0TRACEDATA(1)

N0TRACEDATA(2)

N0TRACEDATA(3)

N0UART0SIN0

N0UART0SIN1

N0UART0SIN2

N0UART0SOUT0

N0UART0SOUT1

N0UART0SOUT2

N0UART0XCTS0

N0UART0XRTS0

N0VIN0(0)

N0VIN0(1)

N0VIN0(2)

N0VIN0(3)

N0VIN0(4)

N0VIN0(5)

N0VIN0(6)

N0VIN0(7)

N0VIN1(0)

N0VIN1(1)

N0VIN1(2)

N0VIN1(3)

N0VIN1(4)

N0VIN1(5)

N0VIN1(6)

N0VIN1(7)

N0VINFID0

N0VINFID1

N0VINHSYNC0

N0VINHSYNC1

N0VINITHI

N0VINVSYNC0

N0VINVSYNC1

N0VPD

N0VREF0

N0VREF1

N0VSYNC0

N0VSYNC1

N0XRST

N0XSRST

N0XTRST

P0C101 P0C102

P0C201

P0C202

P0C301

P0C302

P0C401

P0C402

P0D101 P0D102

P0GDCA0A17

P0GDCA0A19

P0GDCA0A20

P0GDCA0A21

P0GDCA0A22

P0GDCA0A23

P0GDCA0A24

P0GDCA0B16

P0GDCA0B17

P0GDCA0B18

P0GDCA0B19

P0GDCA0B20

P0GDCA0B21

P0GDCA0B22

P0GDCA0B23

P0GDCA0B24

P0GDCA0B25

P0GDCA0C16

P0GDCA0C17

P0GDCA0C18

P0GDCA0C19

P0GDCA0C20

P0GDCA0C21

P0GDCA0C22

P0GDCA0C23

P0GDCA0C24

P0GDCA0C25

P0GDCA0C26

P0GDCA0D15

P0GDCA0D16

P0GDCA0D17

P0GDCA0D18

P0GDCA0D19

P0GDCA0D20

P0GDCA0D21

P0GDCA0D22

P0GDCA0D23

P0GDCA0D24

P0GDCA0D25

P0GDCA0D26

P0GDCA0E23

P0GDCA0E24

P0GDCA0E25

P0GDCA0E26

P0GDCA0F23

P0GDCA0F24

P0GDCA0F25

P0GDCB0AC5

P0GDCB0AC16

P0GDCB0AC17

P0GDCB0AC18

P0GDCB0AC20

P0GDCB0AD5

P0GDCB0AD16

P0GDCB0AD17

P0GDCB0AD18

P0GDCB0AD21

P0GDCB0AE5

P0GDCB0AE15

P0GDCB0AE16

P0GDCB0AE17

P0GDCB0AE18

P0GDCB0AE19

P0GDCB0AE21

P0GDCB0AF5

P0GDCB0AF15

P0GDCB0AF16

P0GDCB0AF17

P0GDCB0AF18

P0GDCB0AF19

P0GDCB0AF22

P0GDCC0AB2

P0GDCC0AB3

P0GDCC0AB4

P0GDCC0AC1

P0GDCC0AC2

P0GDCC0AC4

P0GDCC0AD1

P0GDCC0AD2

P0GDCC0AD3

P0GDCC0AD4

P0GDCC0AD9

P0GDCC0AD20

P0GDCC0AE3

P0GDCC0AE9

P0GDCC0AE20

P0GDCC0AF3

P0GDCC0AF20

P0GDCC0AF21

P0GDCD0AB13

P0GDCD0AB14

P0GDCD0AC13

P0GDCD0AC14

P0GDCD0AD13

P0GDCD0AD14

P0GDCD0AE13

P0GDCD0AE14

P0GDCE0AB25

P0GDCE0AB26

P0GDCE0AC23

P0GDCE0AC24

P0GDCE0AC25

P0GDCE0AC26

P0GDCE0AD23

P0GDCE0AD24

P0GDCE0AD25

P0GDCE0AD26

P0GDCE0AE23

P0GDCE0AE24

P0GDCE0AE25

P0GDCE0AE26

P0GDCE0AF23

P0GDCE0AF24

P0GDCE0G23

P0GDCE0G24

P0GDCE0G25

P0GDCE0H23

P0GDCE0H24

P0GDCE0H25

P0GDCE0J23

P0GDCE0J24

P0GDCE0J25

P0GDCE0K23

P0GDCE0K24

P0GDCE0L22

P0GDCE0L23

P0GDCE0L24

P0GDCE0L25

P0GDCE0M23

P0GDCE0M24

P0GDCE0M25

P0GDCE0R23

P0GDCE0R24

P0GDCE0R25

P0GDCE0T23

P0GDCE0T24

P0GDCE0T25

P0GDCE0U23

P0GDCE0U24

P0GDCE0U25

P0GDCE0V22

P0GDCE0V23

P0GDCE0V24

P0GDCE0W23

P0GDCE0W24

P0GDCE0W25

P0GDCE0Y23

P0GDCE0Y24

P0GDCE0Y25

P0GDCF0AA23

P0GDCF0AA24

P0GDCF0AA25

P0GDCF0AB23

P0GDCF0AB24

P0GDCF0AC21

P0GDCF0AC22

P0GDCF0AE22

P0GDCF0G26

P0GDCF0H26

P0GDCF0K25

P0GDCF0K26

P0GDCF0L26

P0GDCF0N23

P0GDCF0N26

P0GDCF0P23

P0GDCF0P26

P0GDCF0T26

P0GDCF0U26

P0GDCF0V25

P0GDCF0W26

P0GDCF0Y26

P0GDCG0A3

P0GDCG0A5

P0GDCG0A6

P0GDCG0A7

P0GDCG0A8

P0GDCG0B2

P0GDCG0B3

P0GDCG0B5

P0GDCG0B6

P0GDCG0B7

P0GDCG0B8

P0GDCG0C1

P0GDCG0C2

P0GDCG0C3

P0GDCG0C4

P0GDCG0C5

P0GDCG0C6

P0GDCG0C7

P0GDCG0C8

P0GDCG0C9

P0GDCG0D1

P0GDCG0D2

P0GDCG0D3

P0GDCG0D4

P0GDCG0D5

P0GDCG0D6

P0GDCG0D7

P0GDCG0D8

P0GDCG0D9

P0GDCG0E1

P0GDCG0E2

P0GDCG0E3

P0GDCG0E4

P0GDCG0F1

P0GDCG0F2

P0GDCG0F3

P0GDCG0F4

P0GDCG0G1

P0GDCG0G2

P0GDCG0G3

P0GDCG0G4

P0GDCG0H3

P0GDCG0H4

P0GDCG0J1

P0GDCG0J2

P0GDCG0J3

P0GDCG0J4

P0GDCG0K4

P0GDCH0AA1

P0GDCH0AA3

P0GDCH0AA4

P0GDCH0AB1

P0GDCH0K1

P0GDCH0K2

P0GDCH0K3

P0GDCH0L1

P0GDCH0L2

P0GDCH0L3

P0GDCH0L4

P0GDCH0M1

P0GDCH0M3

P0GDCH0M4

P0GDCH0N2

P0GDCH0N3

P0GDCH0V3

P0GDCH0W1

P0GDCH0W2

P0GDCH0W3

P0GDCH0W4

P0GDCH0Y2

P0GDCH0Y3

P0GDCH0Y4

P0GDCI0A9

P0GDCI0A10

P0GDCI0A11

P0GDCI0A14

P0GDCI0A16

P0GDCI0AC6

P0GDCI0AC7

P0GDCI0AC8

P0GDCI0AC11

P0GDCI0AC12

P0GDCI0AC19

P0GDCI0AD6

P0GDCI0AD7

P0GDCI0AD8

P0GDCI0AD11

P0GDCI0AD12

P0GDCI0AD22

P0GDCI0AE6

P0GDCI0AE7

P0GDCI0AE8

P0GDCI0AE11

P0GDCI0AE12

P0GDCI0AF6

P0GDCI0AF7

P0GDCI0AF11

P0GDCI0AF12

P0GDCI0B9

P0GDCI0B10

P0GDCI0B11

P0GDCI0B12

P0GDCI0B13

P0GDCI0B14

P0GDCI0C10

P0GDCI0C11

P0GDCI0C12

P0GDCI0C13

P0GDCI0C14

P0GDCI0C15

P0GDCI0D10

P0GDCI0D11

P0GDCI0D12

P0GDCI0D13

P0GDCI0D14

P0GDCP0AC3

P0GDCP0AC9

P0GDCP0AC10

P0GDCP0AD10

P0GDCP0AD19

P0GDCP0AE4

P0GDCP0AE10

P0GDCP0AF4

P0GDCP0AF8

P0GDCP0AF9

P0GDCP0AF10

P0R101 P0R102

P0R201 P0R202

P0R301 P0R302

P0R401 P0R402

P0Sw101

P0Sw102

P0Sw103

P0Sw104

P0U201

P0U202

P0U203

P0U204 P0U205

P0U206

P0U207

P0U208

P0U209

P0U301

P0U302

P0U303

P0U304

N0AD0VIN0

N0AD0VIN1

N0AD0VR0

N0AD0VR1

N0AD0VRH0

N0AD0VRH1

N0AD0VRL0

N0AD0VRL1

N0BIGEND

N0CAN0RX0

N0CAN0RX1

N0CAN0TX0

N0CAN0TX1

N0CCLK0

N0CCLK1

N0CLK

N0CRIPM0

N0CRIPM1

N0CRIPM2

N0CRIPM3

N0DCLKIN0

N0DCLKIN1

N0DCLKO0

N0DCLKO1

N0DDRTYPE

N0DE0

N0DE1

N0DOUTB0(2)

N0DOUTB0(3)

N0DOUTB0(4)

N0DOUTB0(5)

N0DOUTB0(6)

N0DOUTB0(7)

N0DOUTB1(2)

N0DOUTB1(3)

N0DOUTB1(4)

N0DOUTB1(5)

N0DOUTB1(6)

N0DOUTB1(7)

N0DOUTG0(2)

N0DOUTG0(3)

N0DOUTG0(4)

N0DOUTG0(5)

N0DOUTG0(6)

N0DOUTG0(7)

N0DOUTG1(2)

N0DOUTG1(3)

N0DOUTG1(4)

N0DOUTG1(5)

N0DOUTG1(6)

N0DOUTG1(7)

N0DOUTR0(2)

N0DOUTR0(3)

N0DOUTR0(4)

N0DOUTR0(5)

N0DOUTR0(6)

N0DOUTR0(7)

N0DOUTR1(2)

N0DOUTR1(3)

N0DOUTR1(4)

N0DOUTR1(5)

N0DOUTR1(6)

N0DOUTR1(7)

N0GPIO0PD(13)

N0GPIO0PD(14)

N0GPIO0PD(15)

N0GPIO0PD(16)

N0GPIO0PD(17)

N0GPIO0PD(18)

N0GPIO0PD(19)

N0GPIO0PD(20)

N0GPIO0PD(21)

N0GPIO0PD(22)

N0GPIO0PD(23)

N0GV0

N0GV1

N0HSYNC0

N0HSYNC1

N0I2C0SCL0

N0I2C0SCL1

N0I2C0SDA0

N0I2C0SDA1

N0I2S0ECLK1

N0I2S0ECLK2

N0I2S0SCK1

N0I2S0SCK2

N0I2S0SDI1

N0I2S0SDI2

N0I2S0SDO1

N0I2S0SDO2

N0I2S0WS1

N0I2S0WS2

N0INT0A(0)

N0INT0A(1)

N0INT0A(2)

N0INT0A(3)

N0JTAGSEL

N0MA(0)

N0MA(1)

N0MA(2)

N0MA(3)

N0MA(4)

N0MA(5)

N0MA(6)

N0MA(7)

N0MA(8)

N0MA(9)

N0MA(10)

N0MA(11)

N0MA(12)

N0MA(13)

N0MBA(0)

N0MBA(1)

N0MCAS

N0MCKE

N0MCKE0START

N0MCK0N

N0MCK0P

N0MCS

N0MDM(0)

N0MDM(1)

N0MDM(2)

N0MDM(3)

N0MDQ(0)

N0MDQ(1)

N0MDQ(2)

N0MDQ(3)

N0MDQ(4)

N0MDQ(5)

N0MDQ(6)

N0MDQ(7)

N0MDQ(8)

N0MDQ(9)

N0MDQ(10)

N0MDQ(11)

N0MDQ(12)

N0MDQ(13)

N0MDQ(14)

N0MDQ(15)

N0MDQ(16)

N0MDQ(17)

N0MDQ(18)

N0MDQ(19)

N0MDQ(20)

N0MDQ(21)

N0MDQ(22)

N0MDQ(23)

N0MDQ(24)

N0MDQ(25)

N0MDQ(26)

N0MDQ(27)

N0MDQ(28)

N0MDQ(29)

N0MDQ(30)

N0MDQ(31)

N0MDQS00N

N0MDQS00P

N0MDQS10N

N0MDQS10P

N0MDQS20N

N0MDQS20P

N0MDQS30N

N0MDQS30P

N0MEM0EA(1)

N0MEM0EA(2)

N0MEM0EA(3)

N0MEM0EA(4)

N0MEM0EA(5)

N0MEM0EA(6)

N0MEM0EA(7)

N0MEM0EA(8)

N0MEM0EA(9)

N0MEM0EA(10)

N0MEM0EA(11)

N0MEM0EA(12)

N0MEM0EA(13)

N0MEM0EA(14)

N0MEM0EA(15)

N0MEM0EA(16)

N0MEM0EA(17)

N0MEM0EA(18)

N0MEM0EA(19)

N0MEM0EA(20)

N0MEM0EA(21)

N0MEM0EA(22)

N0MEM0EA(23)

N0MEM0EA(24)

N0MEM0ED(0)

N0MEM0ED(1)

N0MEM0ED(2)

N0MEM0ED(3)

N0MEM0ED(4)

N0MEM0ED(5)

N0MEM0ED(6)

N0MEM0ED(7)

N0MEM0ED(8)

N0MEM0ED(9)

N0MEM0ED(10)

N0MEM0ED(11)

N0MEM0ED(12)

N0MEM0ED(13)

N0MEM0ED(14)

N0MEM0ED(15)

N0MEM0RDY

N0MEM0XCS(0)

N0MEM0XCS(2)

N0MEM0XCS(4)

N0MEM0XRD

N0MEM0XWR(0)

N0MEM0XWR(1)

N0MPX0MODE01(0)

N0MPX0MODE01(1)

N0MPX0MODE05(0)

N0MPX0MODE05(1)

N0MRAS

N0MWE

N0OCD

N0ODT

N0ODTCONT

N0PADDLE0U2

N0PLLBYPASS

N0PLLTDTRST

N0PWM0O0

N0PWM0O1

N0PWM0O2

N0PWM0O3

N0RESET

N0RTCK

N0SD0CLK

N0SD0CMD

N0SD0DAT(0)

N0SD0DAT(1)

N0SD0DAT(2)

N0SD0DAT(3)

N0SD0WP

N0SD0XMCD

N0TCK

N0TDI

N0TDO

N0TESTMODE(0)

N0TESTMODE(1)

N0TESTMODE(2)

N0TMS

N0TRACECLK

N0TRACECTL

N0TRACEDATA(0)

N0TRACEDATA(1)

N0TRACEDATA(2)

N0TRACEDATA(3)

N0UART0SIN0

N0UART0SIN1

N0UART0SIN2

N0UART0SOUT0

N0UART0SOUT1

N0UART0SOUT2

N0UART0XCTS0

N0UART0XRTS0

N0VIN0(0)

N0VIN0(1)

N0VIN0(2)

N0VIN0(3)

N0VIN0(4)

N0VIN0(5)

N0VIN0(6)

N0VIN0(7)

N0VIN1(0)

N0VIN1(1)

N0VIN1(2)

N0VIN1(3)

N0VIN1(4)

N0VIN1(5)

N0VIN1(6)

N0VIN1(7)

N0VINFID0

N0VINFID1

N0VINHSYNC0

N0VINHSYNC1

N0VINITHI

N0VINVSYNC0

N0VINVSYNC1

N0VPD

N0VREF0

N0VREF1

N0VSYNC0

N0VSYNC1

N0XRST

N0XSRST

N0XTRST

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

3 8

GDC power

DUT_power.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

GD

C p

ower

SK-8

6R03

-01

:Jad

e-L

GD

C S

tart

er K

it

19/03/2010

HHoe 08.02.2010

C108

100n

C110

100n

C119

1n

C121

1n

C1141n

C1151n

C1161n

C1171nC1181n

C103100n

C104100n

C105100n

C106100nC107100nDGND

PLL_GND

AGND

DGNDDGND

C122

100n

C138

1nDGND

C123

100n

C139

1nDGND

C124

100n

C140

1nDGND

C125

100n

C141

1nDGND

C126

100n

C142

1nDGND

C127

100n

C143

1nDGND

C128

100n

C144

1nDGND

C129

100n

C145

1nDGND

C130

100n

C146

1nDGND

C131

100n

C147

1nDGND

C132

100n

C148

1nDGND

C133

100n

C149

1nDGND

C134

100n

C150

1nDGND

C135

100n

C151

1nDGND

C136

100n

C152

1nDGND

C137

100n

C153

1nDGND

1V2_PLL

3V3_ADC

1V8

3V3

1V2

PLL_GND

AGND

L100

BLM18PG600SN1

DGND

1V8

1V2

C111

10u 6V3

C112

10u 6V3

DGND

DGND

C113

10u 6V3

DGND

3V3_Vdde

1V2_core

3V3_Vdde

1V2_core

1V8_DDR_Vdde

1V8_DDR_Vdde

3V3_ADC

L104

BLM18PG600SN1L105

BLM18PG600SN1

L103

BLM18PG600SN1

L106

BLM18PG600SN1

L108

BLM18PG600SN1

3V3_LAN

L107

BLM18PG600SN1

L110

BLM18PG600SN1

3V3_Flash

C154

10u 6V3

C156

10u 6V3

C158

10u 6V3

C160

10u 6V3

C155

10u 6V3

C157

10u 6V3

C159

10u 6V3

C161

10u 6V3

DGND

DGND

3V3_RS232

3V3_JTAG

3V3_display

L101

BLM18PG600SN1L102

BLM18PG600SN1

L112

BLM18PG600SN1

1 at each side of DUT

1 at each side of DUT

[Ethernet]

[Flash]

[interfaces_1]

[interfaces_1]

[interfaces_1]

L114

BLM18PG600SN13V3_ECLK

[DUT]

VSSP24

VSSN24

VSSP4

VSSR4

VSST4

VSSU4

VSSV4

VSSJ5

VSSK5

VSSR5

VSST5

VSSAA5

VSSAB5

VSSAB10

VSSAB11

VSSAB15

VSSAB16

VSSAB17

VSSY22

VSSW22

VSSR22

VSSM22

VSSH22

VSSG22

VSSE19

VSSE18

VSSE13

VSSE12

VSSE7

VSSE6

VSSL11

VSSM11

VSSN11

VSSP11

VSSR11

GDCN

86R03-01

VSST11

VSST12

VSST13

VSST14

VSST15

VSST16

VSSR16

VSSP16

VSSN16

VSSM16

VSSL16

VSSL15

VSSL14

VSSL13

VSSL12

VSSM12

VSSN12

VSSP12

VSSR12

VSSR13

VSSR14

VSSR15

VSSP15

VSSN15

VSSM15

VSSM14

VSSM13

VSSN13

VSSP13

VSSP14

VSSN14

VSS or VDDER3

VSS or VDDEP5

VSS or VDDIP2

VSS or VDDIU3

GDCO

86R03-01

VDDEH2

VDDEM2

VDDEAA2

VDDEB15

VDDEB4

VDDEAD15

VDDEAC15

VDDEE5

VDDEF5

VDDEL5

VDDEM5

VDDEW5

VDDEY5

VDDEAB6

VDDEAB7

VDDEAB12

VDDEAB18

VDDEAB19

VDDEE21

VDDEE20

VDDEE15

VDDEE14

VDDEE9

VDDEE8

VDDEM10

VDDEN10

VDDET10

VDDEU10

VDDEU13

VDDEU14

VDDEK16

VDDEK15

VDDEK12

VDDEK11

GDCK

86R03-01

VDDIN4

VDDIG5

VDDIH5

VDDIN5

VDDIU5

VDDIV5

VDDIAB8

VDDIAB9

VDDIAB20

VDDIAB21

VDDIP22

VDDIN22

VDDIF22

VDDIE22

VDDIE17

VDDIE16

VDDIE11

VDDIE10

VDDIK10

VDDIL10

VDDIP10

VDDIR10

VDDIU11

VDDIU12

VDDIU15

VDDIU16

VDDIR17

VDDIP17

VDDIL17

VDDIK17

VDDIK14

VDDIK13

GDCL

86R03-01

VSSA1

VSSB1

VSSH1

VSSN1

VSSP1

VSSR1

VSST1

VSSU1

VSSV1

VSSY1

VSSAE1

VSSAF1

VSSAF2

VSSAF25

VSSAF26

VSSAA26

VSSV26

VSSR26

VSSM26

VSSJ26

VSSF26

VSSB26

VSSA26

VSSA25

VSSA18

VSSA15

VSSA4

VSSA2

VSSR2

VSST2

VSSU2

VSSV2

VSSAE2

VSSP3

VSST3

GDCM

86R03-01

DGND

L117

BLM18PG600SN11V2_PLL

1V8

1V2

3V3

1V8_ddr2L113

BLM18PG600SN1

3V3_USBL109

BLM18PG600SN1

1V8_USBL115

BLM18PG600SN1

AD_AVDAF13

AD_AVSAF14

PLLVDDA13

PLLVSSA12

DDRVDEP25

DDRVDEN25

DDRVDEAB22

DDRVDEAA22

DDRVDEU22

DDRVDET22

DDRVDEK22

DDRVDEJ22

DDRVDEU17

DDRVDET17

DDRVDEN17

DDRVDEM17

GDCJ

86R03-01

P0C10301 P0C10302

P0C10401 P0C10402

P0C10501 P0C10502

P0C10601 P0C10602

P0C10701 P0C10702

P0C10801

P0C10802

P0C11001

P0C11002

P0C11101

P0C11102

P0C11201

P0C11202

P0C11301

P0C11302

P0C11401 P0C11402

P0C11501 P0C11502

P0C11601 P0C11602

P0C11701 P0C11702

P0C11801 P0C11802

P0C11901

P0C11902

P0C12101

P0C12102

P0C12201

P0C12202

P0C12301

P0C12302

P0C12401

P0C12402

P0C12501

P0C12502

P0C12601

P0C12602

P0C12701

P0C12702

P0C12801

P0C12802

P0C12901

P0C12902

P0C13001

P0C13002

P0C13101

P0C13102

P0C13201

P0C13202

P0C13301

P0C13302

P0C13401

P0C13402

P0C13501

P0C13502

P0C13601

P0C13602

P0C13701

P0C13702

P0C13801

P0C13802

P0C13901

P0C13902

P0C14001

P0C14002

P0C14101

P0C14102

P0C14201

P0C14202

P0C14301

P0C14302

P0C14401

P0C14402

P0C14501

P0C14502

P0C14601

P0C14602

P0C14701

P0C14702

P0C14801

P0C14802

P0C14901

P0C14902

P0C15001

P0C15002

P0C15101

P0C15102

P0C15201

P0C15202

P0C15301

P0C15302

P0C15401

P0C15402

P0C15501

P0C15502

P0C15601

P0C15602

P0C15701

P0C15702

P0C15801

P0C15802

P0C15901

P0C15902

P0C16001

P0C16002

P0C16101

P0C16102

P0GDCJ0A12

P0GDCJ0A13

P0GDCJ0AA22

P0GDCJ0AB22

P0GDCJ0AF13

P0GDCJ0AF14

P0GDCJ0J22

P0GDCJ0K22

P0GDCJ0M17

P0GDCJ0N17

P0GDCJ0N25

P0GDCJ0P25

P0GDCJ0T17

P0GDCJ0T22

P0GDCJ0U17

P0GDCJ0U22

P0GDCK0AA2

P0GDCK0AB6

P0GDCK0AB7

P0GDCK0AB12

P0GDCK0AB18

P0GDCK0AB19

P0GDCK0AC15

P0GDCK0AD15

P0GDCK0B4

P0GDCK0B15

P0GDCK0E5

P0GDCK0E8

P0GDCK0E9

P0GDCK0E14

P0GDCK0E15

P0GDCK0E20

P0GDCK0E21

P0GDCK0F5

P0GDCK0H2

P0GDCK0K11

P0GDCK0K12

P0GDCK0K15

P0GDCK0K16

P0GDCK0L5

P0GDCK0M2

P0GDCK0M5

P0GDCK0M10

P0GDCK0N10

P0GDCK0T10

P0GDCK0U10

P0GDCK0U13

P0GDCK0U14

P0GDCK0W5

P0GDCK0Y5

P0GDCL0AB8

P0GDCL0AB9

P0GDCL0AB20

P0GDCL0AB21

P0GDCL0E10

P0GDCL0E11

P0GDCL0E16

P0GDCL0E17

P0GDCL0E22

P0GDCL0F22

P0GDCL0G5

P0GDCL0H5

P0GDCL0K10

P0GDCL0K13

P0GDCL0K14

P0GDCL0K17

P0GDCL0L10

P0GDCL0L17

P0GDCL0N4

P0GDCL0N5

P0GDCL0N22

P0GDCL0P10

P0GDCL0P17

P0GDCL0P22

P0GDCL0R10

P0GDCL0R17

P0GDCL0U5

P0GDCL0U11

P0GDCL0U12

P0GDCL0U15

P0GDCL0U16

P0GDCL0V5

P0GDCM0A1

P0GDCM0A2

P0GDCM0A4

P0GDCM0A15

P0GDCM0A18

P0GDCM0A25

P0GDCM0A26

P0GDCM0AA26

P0GDCM0AE1

P0GDCM0AE2

P0GDCM0AF1

P0GDCM0AF2

P0GDCM0AF25

P0GDCM0AF26

P0GDCM0B1

P0GDCM0B26

P0GDCM0F26

P0GDCM0H1

P0GDCM0J26

P0GDCM0M26

P0GDCM0N1

P0GDCM0P1

P0GDCM0P3

P0GDCM0R1

P0GDCM0R2

P0GDCM0R26

P0GDCM0T1

P0GDCM0T2

P0GDCM0T3

P0GDCM0U1

P0GDCM0U2

P0GDCM0V1

P0GDCM0V2

P0GDCM0V26

P0GDCM0Y1

P0GDCN0AA5

P0GDCN0AB5

P0GDCN0AB10

P0GDCN0AB11

P0GDCN0AB15

P0GDCN0AB16

P0GDCN0AB17

P0GDCN0E6

P0GDCN0E7

P0GDCN0E12

P0GDCN0E13

P0GDCN0E18

P0GDCN0E19

P0GDCN0G22

P0GDCN0H22

P0GDCN0J5

P0GDCN0K5

P0GDCN0L11

P0GDCN0M11

P0GDCN0M22

P0GDCN0N11

P0GDCN0N24

P0GDCN0P4

P0GDCN0P11

P0GDCN0P24

P0GDCN0R4

P0GDCN0R5

P0GDCN0R11

P0GDCN0R22

P0GDCN0T4

P0GDCN0T5

P0GDCN0U4

P0GDCN0V4

P0GDCN0W22

P0GDCN0Y22

P0GDCO0L12

P0GDCO0L13

P0GDCO0L14

P0GDCO0L15

P0GDCO0L16

P0GDCO0M12

P0GDCO0M13

P0GDCO0M14

P0GDCO0M15

P0GDCO0M16

P0GDCO0N12

P0GDCO0N13

P0GDCO0N14

P0GDCO0N15

P0GDCO0N16

P0GDCO0P2

P0GDCO0P5

P0GDCO0P12

P0GDCO0P13

P0GDCO0P14

P0GDCO0P15

P0GDCO0P16

P0GDCO0R3

P0GDCO0R12

P0GDCO0R13

P0GDCO0R14

P0GDCO0R15

P0GDCO0R16

P0GDCO0T11

P0GDCO0T12

P0GDCO0T13

P0GDCO0T14

P0GDCO0T15

P0GDCO0T16

P0GDCO0U3

P0L10001 P0L10002

P0L10101 P0L10102

P0L10201 P0L10202

P0L10301 P0L10302

P0L10401 P0L10402

P0L10501 P0L10502

P0L10601 P0L10602

P0L10701 P0L10702

P0L10801 P0L10802

P0L10901 P0L10902

P0L11001 P0L11002

P0L11201 P0L11202

P0L11301 P0L11302

P0L11401 P0L11402

P0L11501 P0L11502

P0L11701 P0L11702

P0C10301 P0C10302

P0C10401 P0C10402

P0C10501 P0C10502

P0C10601 P0C10602

P0C10701 P0C10702

P0C10801

P0C10802

P0C11001

P0C11002

P0C11101

P0C11102

P0C11201

P0C11202

P0C11301

P0C11302

P0C11401 P0C11402

P0C11501 P0C11502

P0C11601 P0C11602

P0C11701 P0C11702

P0C11801 P0C11802

P0C11901

P0C11902

P0C12101

P0C12102

P0C12201

P0C12202

P0C12301

P0C12302

P0C12401

P0C12402

P0C12501

P0C12502

P0C12601

P0C12602

P0C12701

P0C12702

P0C12801

P0C12802

P0C12901

P0C12902

P0C13001

P0C13002

P0C13101

P0C13102

P0C13201

P0C13202

P0C13301

P0C13302

P0C13401

P0C13402

P0C13501

P0C13502

P0C13601

P0C13602

P0C13701

P0C13702

P0C13801

P0C13802

P0C13901

P0C13902

P0C14001

P0C14002

P0C14101

P0C14102

P0C14201

P0C14202

P0C14301

P0C14302

P0C14401

P0C14402

P0C14501

P0C14502

P0C14601

P0C14602

P0C14701

P0C14702

P0C14801

P0C14802

P0C14901

P0C14902

P0C15001

P0C15002

P0C15101

P0C15102

P0C15201

P0C15202

P0C15301

P0C15302

P0C15401

P0C15402

P0C15501

P0C15502

P0C15601

P0C15602

P0C15701

P0C15702

P0C15801

P0C15802

P0C15901

P0C15902

P0C16001

P0C16002

P0C16101

P0C16102

P0GDCJ0A12

P0GDCJ0A13

P0GDCJ0AA22

P0GDCJ0AB22

P0GDCJ0AF13

P0GDCJ0AF14

P0GDCJ0J22

P0GDCJ0K22

P0GDCJ0M17

P0GDCJ0N17

P0GDCJ0N25

P0GDCJ0P25

P0GDCJ0T17

P0GDCJ0T22

P0GDCJ0U17

P0GDCJ0U22

P0GDCK0AA2

P0GDCK0AB6

P0GDCK0AB7

P0GDCK0AB12

P0GDCK0AB18

P0GDCK0AB19

P0GDCK0AC15

P0GDCK0AD15

P0GDCK0B4

P0GDCK0B15

P0GDCK0E5

P0GDCK0E8

P0GDCK0E9

P0GDCK0E14

P0GDCK0E15

P0GDCK0E20

P0GDCK0E21

P0GDCK0F5

P0GDCK0H2

P0GDCK0K11

P0GDCK0K12

P0GDCK0K15

P0GDCK0K16

P0GDCK0L5

P0GDCK0M2

P0GDCK0M5

P0GDCK0M10

P0GDCK0N10

P0GDCK0T10

P0GDCK0U10

P0GDCK0U13

P0GDCK0U14

P0GDCK0W5

P0GDCK0Y5

P0GDCL0AB8

P0GDCL0AB9

P0GDCL0AB20

P0GDCL0AB21

P0GDCL0E10

P0GDCL0E11

P0GDCL0E16

P0GDCL0E17

P0GDCL0E22

P0GDCL0F22

P0GDCL0G5

P0GDCL0H5

P0GDCL0K10

P0GDCL0K13

P0GDCL0K14

P0GDCL0K17

P0GDCL0L10

P0GDCL0L17

P0GDCL0N4

P0GDCL0N5

P0GDCL0N22

P0GDCL0P10

P0GDCL0P17

P0GDCL0P22

P0GDCL0R10

P0GDCL0R17

P0GDCL0U5

P0GDCL0U11

P0GDCL0U12

P0GDCL0U15

P0GDCL0U16

P0GDCL0V5

P0GDCM0A1

P0GDCM0A2

P0GDCM0A4

P0GDCM0A15

P0GDCM0A18

P0GDCM0A25

P0GDCM0A26

P0GDCM0AA26

P0GDCM0AE1

P0GDCM0AE2

P0GDCM0AF1

P0GDCM0AF2

P0GDCM0AF25

P0GDCM0AF26

P0GDCM0B1

P0GDCM0B26

P0GDCM0F26

P0GDCM0H1

P0GDCM0J26

P0GDCM0M26

P0GDCM0N1

P0GDCM0P1

P0GDCM0P3

P0GDCM0R1

P0GDCM0R2

P0GDCM0R26

P0GDCM0T1

P0GDCM0T2

P0GDCM0T3

P0GDCM0U1

P0GDCM0U2

P0GDCM0V1

P0GDCM0V2

P0GDCM0V26

P0GDCM0Y1

P0GDCN0AA5

P0GDCN0AB5

P0GDCN0AB10

P0GDCN0AB11

P0GDCN0AB15

P0GDCN0AB16

P0GDCN0AB17

P0GDCN0E6

P0GDCN0E7

P0GDCN0E12

P0GDCN0E13

P0GDCN0E18

P0GDCN0E19

P0GDCN0G22

P0GDCN0H22

P0GDCN0J5

P0GDCN0K5

P0GDCN0L11

P0GDCN0M11

P0GDCN0M22

P0GDCN0N11

P0GDCN0N24

P0GDCN0P4

P0GDCN0P11

P0GDCN0P24

P0GDCN0R4

P0GDCN0R5

P0GDCN0R11

P0GDCN0R22

P0GDCN0T4

P0GDCN0T5

P0GDCN0U4

P0GDCN0V4

P0GDCN0W22

P0GDCN0Y22

P0GDCO0L12

P0GDCO0L13

P0GDCO0L14

P0GDCO0L15

P0GDCO0L16

P0GDCO0M12

P0GDCO0M13

P0GDCO0M14

P0GDCO0M15

P0GDCO0M16

P0GDCO0N12

P0GDCO0N13

P0GDCO0N14

P0GDCO0N15

P0GDCO0N16

P0GDCO0P2

P0GDCO0P5

P0GDCO0P12

P0GDCO0P13

P0GDCO0P14

P0GDCO0P15

P0GDCO0P16

P0GDCO0R3

P0GDCO0R12

P0GDCO0R13

P0GDCO0R14

P0GDCO0R15

P0GDCO0R16

P0GDCO0T11

P0GDCO0T12

P0GDCO0T13

P0GDCO0T14

P0GDCO0T15

P0GDCO0T16

P0GDCO0U3

P0L10001 P0L10002

P0L10101 P0L10102

P0L10201 P0L10202

P0L10301 P0L10302

P0L10401 P0L10402

P0L10501 P0L10502

P0L10601 P0L10602

P0L10701 P0L10702

P0L10801 P0L10802

P0L10901 P0L10902

P0L11001 P0L11002

P0L11201 P0L11202

P0L11301 P0L11302

P0L11401 P0L11402

P0L11501 P0L11502

P0L11701 P0L11702

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

4 8

switching regulators

switchers.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS/LW AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

switc

hing

regu

lato

rsSK

-86R

03-0

1 :J

ade-

L G

DC

Sta

rter

Kit

19/03/2010

HHoe 08.02.2010

RUN/SS1

SGND2

PVin3

SW 4

SW 5

PGND 6

PGND 7

SW 8

SW 9

PVin10

SVin11

Pgood 12

Ith13

Vfb14

RT15

Sync/Mode16

GND 17

U200

LTC3412AEUF#PBF

C22322p

R210

61k9 0.1%

R209

20k 0.1%

C220820p

R2067k5

C217

47p

R204

330k

C225

1

L2

1uH5 3A2 C209100u 6V3

C210100u 6V3

C211100u 6V3

C212100u 6V3

5V_reg

3V3

DGND

DGND

R201100k

RUN7

SGND22

PVin17

SW 16

SW 15

PGND 10

SW 14

SW 13

PVin18

SVin19

Pgood 8

Ith6

RT1

GND 25

SW 12

SW 11

Phm

ode

2

Mode3

FB4

Track/SS5

Von 9

Boost 20

IntV

cc21

Clk

out

23C

lkN

24

U201LTC3605EUF#PBF

1

L1

1uH0

DGND

5V_reg

C222

220p

R20716.2k

DGND

C22422p

R208

11k8 0.1%

C226

DGND

R211

86k6 0.1%

C205100n

DGND

R205178k

C221100n

F1

7A slow fuse

D200

SBG1025L-T-FC207

100n

DGND

C206

100n

3

2

1

J_12Vin

PSU

C2002u2 10V

DGND

Input = 12V

Output = 5V/5A

RT1= 16G/900K

L1= (5V/900k/2.5A)*(1-5V/12V) = 1.3uH

C20147u 16V

C218

10p

R200100k

C208100n

R20310R

ROSC= 308G/900K-10kOhm = 332k L2= (3.3V/900k/1.2A)*(1-3.3V/5V) = 1uH

R13=(3.3V/0.8V-1) *R11

DGND

7A (?) slow fuse, 1206 package

5V_reg

R213470R

D201LED_green

DGND

5V ON LED

R214470R

D202LED_green

R215470R

D203LED_green

3V3 ON LED 1V8/1V2 ON LED

1

2

3

Q2002SK3018

1

2

3

Q2012SK3018

DGND3v3_ok

1v8_ok3v3_ok

L1

5V_ok

1V8_ok

Power sequencing: 5V -> 1V2 & 1V8 -> 3V3

Output = 3.3V/1.6A

Vin

12

Vin

28

Sync/Mode9

SW115

Run11

Vfb14

Phase14 Freq 12

Ith13

GN

DA

13

Padd

le17

Pgood 11

SW2 10

Run2 7

Vfb2 5

Ith2 6

GN

DD

16

U202LTC3417A

1

L3

2uH0

1

L4

2uH0

C228100n

C229100n

C23122p

C23022p

C2402n2

C2396n8

DGNDDGND

DGND

DGNDDGND

DGNDDGND

R212100k

R21920k 0.1% R218 20k 0.1%

R217

25k5 0.1%

R216

10k 0.1%

R2225k9

R2212k87

DGND DGND

1V81V2

5V_reg

Output = 1.2V/1.3AOutput = 1.8V/1.6A

L3= (1.8V/900k/0.3*1A)*(1-1.8V/5V) = 4.3uH L4= (1.2V/900k/0.3*1.5A)*(1-1.2V/5V) = 2.3uH

R220

162k

1V8_ok

C213100u 6V3

C214100u 6V3

C235

100u 6V3

C236

100u 6V3C232

100u 6V3C233100u 6V3

1 2

34

L_input

CMS3-1-R

D2BAT43W

C202100n

C203100n

DGNDDGND

C204100n

P0C20001 P0C20002

P0C20101 P0C20102

P0C20201 P0C20202 P0C20301 P0C20302

P0C20401 P0C20402

P0C20501

P0C20502

P0C20601

P0C20602 P0C20701

P0C20702

P0C20801 P0C20802

P0C20901

P0C20902 P0C21001

P0C21002 P0C21101

P0C21102 P0C21201

P0C21202

P0C21301

P0C21302 P0C21401

P0C21402

P0C21701

P0C21702

P0C21801

P0C21802

P0C22001

P0C22002

P0C22101

P0C22102 P0C22201

P0C22202

P0C22301 P0C22302

P0C22401 P0C22402

P0C22501

P0C22502

P0C22601

P0C22602

P0C22801 P0C22802 P0C22901 P0C22902

P0C23001 P0C23002 P0C23101 P0C23102

P0C23201

P0C23202 P0C23301

P0C23302 P0C23501

P0C23502 P0C23601

P0C23602

P0C23901

P0C23902 P0C24001

P0C24002

P0D201

P0D202

P0D20001 P0D20003

P0D2010A

P0D2010K P0D2020A

P0D2020K P0D2030A

P0D2030K

P0F101 P0F102

P0J012Vin01

P0J012Vin02

P0J012Vin03

P0L101 P0L102

P0L201 P0L202

P0L301

P0L302 P0L401

P0L402

P0L0input01 P0L0input02

P0L0input03 P0L0input04

P0Q20001

P0Q20002

P0Q20003

P0Q20101

P0Q20102

P0Q20103

P0R20001

P0R20002

P0R20101

P0R20102

P0R20301

P0R20302

P0R20401 P0R20402

P0R20501

P0R20502

P0R20601

P0R20602

P0R20701

P0R20702

P0R20801

P0R20802

P0R20901 P0R20902

P0R21001 P0R21002

P0R21101 P0R21102

P0R21201

P0R21202

P0R21301

P0R21302

P0R21401

P0R21402

P0R21501

P0R21502

P0R21601 P0R21602 P0R21701 P0R21702

P0R21801

P0R21802

P0R21901

P0R21902

P0R22001 P0R22002

P0R22101

P0R22102

P0R22201

P0R22202

P0U20001

P0U20002

P0U20003

P0U20004

P0U20005

P0U20006

P0U20007

P0U20008

P0U20009

P0U200010

P0U200011

P0U200012

P0U200013

P0U200014

P0U200015

P0U200016

P0U200017

P0U20101

P0U20102

P0U20103

P0U20104

P0U20105

P0U20106

P0U20107

P0U20108

P0U20109

P0U201010

P0U201011

P0U201012

P0U201013

P0U201014

P0U201015

P0U201016

P0U201017

P0U201018

P0U201019

P0U201020

P0U201021

P0U201022

P0U201023

P0U201024

P0U201025

P0U20201

P0U20202

P0U20203

P0U20204 P0U20205

P0U20206

P0U20207

P0U20208

P0U20209

P0U202010

P0U202011

P0U202012

P0U202013

P0U202014

P0U202015

P0U202016

P0U202017

N01V80OK N03V30OK

N05V0OK

P0C20001 P0C20002

P0C20101 P0C20102

P0C20201 P0C20202 P0C20301 P0C20302

P0C20401 P0C20402

P0C20501

P0C20502

P0C20601

P0C20602 P0C20701

P0C20702

P0C20801 P0C20802

P0C20901

P0C20902 P0C21001

P0C21002 P0C21101

P0C21102 P0C21201

P0C21202

P0C21301

P0C21302 P0C21401

P0C21402

P0C21701

P0C21702

P0C21801

P0C21802

P0C22001

P0C22002

P0C22101

P0C22102 P0C22201

P0C22202

P0C22301 P0C22302

P0C22401 P0C22402

P0C22501

P0C22502

P0C22601

P0C22602

P0C22801 P0C22802 P0C22901 P0C22902

P0C23001 P0C23002 P0C23101 P0C23102

P0C23201

P0C23202 P0C23301

P0C23302 P0C23501

P0C23502 P0C23601

P0C23602

P0C23901

P0C23902 P0C24001

P0C24002

P0D201

P0D202

P0D20001 P0D20003

P0D2010A

P0D2010K P0D2020A

P0D2020K P0D2030A

P0D2030K

P0F101 P0F102

P0J012Vin01

P0J012Vin02

P0J012Vin03

P0L101 P0L102

P0L201 P0L202

P0L301

P0L302 P0L401

P0L402

P0L0input01 P0L0input02

P0L0input03 P0L0input04

P0Q20001

P0Q20002

P0Q20003

P0Q20101

P0Q20102

P0Q20103

P0R20001

P0R20002

P0R20101

P0R20102

P0R20301

P0R20302

P0R20401 P0R20402

P0R20501

P0R20502

P0R20601

P0R20602

P0R20701

P0R20702

P0R20801

P0R20802

P0R20901 P0R20902

P0R21001 P0R21002

P0R21101 P0R21102

P0R21201

P0R21202

P0R21301

P0R21302

P0R21401

P0R21402

P0R21501

P0R21502

P0R21601 P0R21602 P0R21701 P0R21702

P0R21801

P0R21802

P0R21901

P0R21902

P0R22001 P0R22002

P0R22101

P0R22102

P0R22201

P0R22202

P0U20001

P0U20002

P0U20003

P0U20004

P0U20005

P0U20006

P0U20007

P0U20008

P0U20009

P0U200010

P0U200011

P0U200012

P0U200013

P0U200014

P0U200015

P0U200016

P0U200017

P0U20101

P0U20102

P0U20103

P0U20104

P0U20105

P0U20106

P0U20107

P0U20108

P0U20109

P0U201010

P0U201011

P0U201012

P0U201013

P0U201014

P0U201015

P0U201016

P0U201017

P0U201018

P0U201019

P0U201020

P0U201021

P0U201022

P0U201023

P0U201024

P0U201025

P0U20201

P0U20202

P0U20203

P0U20204 P0U20205

P0U20206

P0U20207

P0U20208

P0U20209

P0U202010

P0U202011

P0U202012

P0U202013

P0U202014

P0U202015

P0U202016

P0U202017

N01V80OK N03V30OK

N05V0OK

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

5 8

Ethernet & USB

Ethernet_USB.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

Eth

erne

t & U

SBSK

-86R

03-0

1 :J

ade-

L G

DC

Sta

rter

Kit

19/03/2010

HHoe 08.02.2010

A118

A217

A316

A415

A514

A613

A712

AMDIX_SEL 73

ATEST 9

D064

D163

D262

D359

D458

D557

D656

D753

D852

D951

D1050

D1149

D1246

D1345

D1444

D1543

D1640

D1739

D1838

D1937

D2036

D2133

D2232

D2331

D2430

D2529

D2626

D2725

D2824

D2923

D3022

D3121

EECLK 69

EECS 68EEDIO 67

EXRES1 87

FIFO_SEL 76

GPIO0/nLED1 98

GPIO1/nLED2 99

GPIO2/nLED3 100

IRQ72

nCS94

nRD92 nRESET95

nWR93

PD 75

PME70

RBIAS 10

SPEED_SEL 74

TPI- 82

TPI+ 83

TPO- 78

TPO+ 79

XTAL1 6

XTAL2 5

Ue1A

LAN9218

VREG 2

VDD_CORE3

GND_CORE1

VDD_CORE65

GND_CORE66

VDD_IO20

GND_IO19

VDD_IO28

GND_IO27

VDD_IO35

GND_IO34

VDD_IO42

GND_IO41

VDD_IO48

GND_IO47

VDD_IO55

GND_IO54

VDD_IO61

GND_IO60

VDD_IO97

GND_IO96

VDD_PLL 7

VSS_PLL 4

VDD_REF 8

VSS_REF 11

VDD_A 81

VSS_A 77

VDD_A 85

VSS_A 80

VDD_A 89

VSS_A 86

VSS_A 88

nc 71

nc 84

nc 90

nc 91

Ue1B

LAN9218

EECLKEEIOEECS

SPD_100

LINK_ACK

FDP_LX

SPEED_SEL

FIFO_SEL

AMDIX_SEL

RBIAS

Re241k03V3_LAN

Re25 1k0

Re22 open / 1k0 3V3_LAN

3V3_LAN

DGND

DGNDRe27 open / 1k0

3V3_LANRe17

12k0

DGNDRe29

10k0

DGND

DGND

Re18 120R

Re19 120R

Re20 332R De3 LED_green

Re6 12k4 DGND

Re161M0 Ye1

25MHzCe433p

Ce533p

Ce610u 6V3

Ce7100n

Ce8100n

Ce9100n

Ce10100n

Ce11100n

Ce12100n

Ce13100n

Ce14100n

Ce15100n

Ce16100n

Ce17100n

Ce18100n

Ce1910u 6V3

Ce20100n

Ce21100n

Ce22100n

Ce23100n

DGND DGND

DGND

DGND

DGND

3V3_LAN

3V3_LAN

3V3_LAN

Ce1

100n

Ce3

10u 6V3

Re149R9

Re249R9

Re349R9

Re449R9

Re5

0R0

Re7

49R9Re8

open

123

6

45

78

A_G9

K_G10

A_Y11

K_Y12 sh13

sh14

Je1

RJ4

5_co

nnec

tor

MEM_XRD

(internal regulator output) (internal regulator output)

Re30 4k7 DGND16-bits mode

XRST

Le1BLM18PG600SN1

Vdd_Ae

Vdd_Ae

DGND

DGND

DGND

3V3_LAN

XI 80

XO 1

TESTEN19

ATPGEN41

BURNIN61

R1_0 5

DP_0 10

DM_0 8

VBUSFLG_0 76

VBUSEN_0 77

VBUS_0 12

R1_1 64

DP_1 69

DM_1 67

VBUSFLG_1 74

VBUSEN_1 75

XRESET17

XRD33

XWRL35

XWRH34

XCS32

XINT31

XDREQ037

XDACK038

XDREQ139

XDACK140

XBEL18

CA120

CA221

CA322

CA423

CA524

CA626

CA727

CA828

CA929

CD042

CD143

CD244

CD345

CD446

CD547

CD649

CD750

CD851

CD952

CD1053

CD1155

CD1256

CD1357

CD1458

CD1559

HVDD_3v3 7

HVDD_3v3 11

HVDD_3v3 66

HVDD_3v3 70

HVDD_3v3 73

CVDD 16

CVDD 25

CVDD 48

LVDD_1v8 3

LVDD_1v8 13

LVDD_1v8 15

LVDD_1v8 36

LVDD_1v8 54

LVDD_1v8 62

LVDD_1v8 71

LVDD_1v8 79VSS

2

VSS

4

VSS

6

VSS

9

VSS

14

VSS

30

VSS

60

VSS

63

VSS

65

VSS

68

VSS

72

VSS

78

Uu1

S1R72V18F14

MEM_EA(8)MEM_EA(9)

MEM_EA(1)MEM_EA(2)MEM_EA(3)MEM_EA(4)MEM_EA(5)MEM_EA(6)MEM_EA(7)

MEM_EA(1)MEM_EA(2)MEM_EA(3)MEM_EA(4)MEM_EA(5)MEM_EA(6)MEM_EA(7)

MEM_ED(0)MEM_ED(1)MEM_ED(2)MEM_ED(3)MEM_ED(4)MEM_ED(5)MEM_ED(6)MEM_ED(7)MEM_ED(8)MEM_ED(9)MEM_ED(10)MEM_ED(11)MEM_ED(12)MEM_ED(13)MEM_ED(14)MEM_ED(15)

MEM_ED(0)MEM_ED(1)MEM_ED(2)MEM_ED(3)MEM_ED(4)MEM_ED(5)MEM_ED(6)MEM_ED(7)MEM_ED(8)MEM_ED(9)MEM_ED(10)MEM_ED(11)MEM_ED(12)MEM_ED(13)MEM_ED(14)MEM_ED(15)

DGND DGND

MEM_XRDXRST

MEM_XCS(0)MEM_XWR(0)

MEM_XWR(0)MEM_XWR(1)MEM_XCS(2)INT_A(1)

INT_A(0)

Ru8 4k7

Ru9 4k7

Ru10 4k7

3V3_USB

1V8_USB3V3_USB

DGND

Cu21

100n

Cu22

100n

Cu23

100n

Cu7

100n

Cu8

100n

Cu9

100n

Cu10

100n

Cu11

100n

Cu12

100n

Cu13

100n

Cu14

100n

Cu18

100n

Cu19

100n

Cu20

100n

Cu15

1u 10V

Cu16

1u 10V

Cu17

1u 10V

DGND

DGND

DGND

DGND

3V3_USB

Ru7

470R

1 2Xu1

CX5032GB2400

Cu5

15p

Cu615p

DGND

DGND

Ru2

6k2 0.1%

Ru6

6k2 0.1%DGND

DGND

Cu4

1u 10VDGND

Ru54k7 3V3_USB

keep short !

1

2

3

4

5

shield

J_USB

AU-Y1006R

1 2

34

Lu1

DLW21SR670HQ2

1346

+5

-2

esdu1SVR05-4

3V3_USBDGND

GND1

In2

In3

EN4 oc 5

out 6out 7out 8Uu2

TPS2031D

DGND

5V_reg

Cu2

100n

Cu1100n

L5BLM18PG600SN1

Cu3100n

DGND

Ru315k

Ru415k

DGND

Ru1open

3V3_USB

CTe2410u 6V3

usb_d0_P

usb_d0_N

connector includes isolation and LEDs

P0Ce101

P0Ce102

P0Ce301

P0Ce302

P0Ce401

P0Ce402

P0Ce501

P0Ce502

P0Ce601

P0Ce602

P0Ce701

P0Ce702

P0Ce801

P0Ce802

P0Ce901

P0Ce902

P0Ce1001

P0Ce1002

P0Ce1101

P0Ce1102

P0Ce1201

P0Ce1202

P0Ce1301

P0Ce1302

P0Ce1401

P0Ce1402

P0Ce1501

P0Ce1502

P0Ce1601

P0Ce1602

P0Ce1701

P0Ce1702

P0Ce1801

P0Ce1802

P0Ce1901

P0Ce1902 P0Ce2001

P0Ce2002

P0Ce2101

P0Ce2102

P0Ce2201

P0Ce2202

P0Ce2301

P0Ce2302

P0CTe2401

P0CTe2402

P0Cu101 P0Cu102

P0Cu201 P0Cu202

P0Cu301 P0Cu302

P0Cu401 P0Cu402

P0Cu501 P0Cu502

P0Cu601 P0Cu602

P0Cu701

P0Cu702 P0Cu801

P0Cu802 P0Cu901

P0Cu902

P0Cu1001

P0Cu1002

P0Cu1101

P0Cu1102

P0Cu1201

P0Cu1202

P0Cu1301

P0Cu1302

P0Cu1401

P0Cu1402

P0Cu1501

P0Cu1502

P0Cu1601

P0Cu1602

P0Cu1701

P0Cu1702

P0Cu1801

P0Cu1802

P0Cu1901

P0Cu1902

P0Cu2001

P0Cu2002

P0Cu2101

P0Cu2102

P0Cu2201

P0Cu2202

P0Cu2301

P0Cu2302

P0De30A P0De30K

P0esdu101

P0esdu102

P0esdu103

P0esdu104

P0esdu105

P0esdu106

P0Je101

P0Je102

P0Je103

P0Je104

P0Je105

P0Je106

P0Je107

P0Je108

P0Je109

P0Je1010

P0Je1011

P0Je1012

P0Je1013

P0Je1014

P0J0USB01

P0J0USB02

P0J0USB03

P0J0USB04

P0J0USB05

P0J0USB06

P0L501

P0L502

P0Le101

P0Le102

P0Lu101 P0Lu102

P0Lu103 P0Lu104

P0Re101

P0Re102 P0Re201

P0Re202 P0Re301

P0Re302 P0Re401

P0Re402

P0Re501 P0Re502

P0Re601 P0Re602

P0Re701 P0Re702

P0Re801 P0Re802

P0Re1601

P0Re1602

P0Re1701 P0Re1702

P0Re1801 P0Re1802

P0Re1901 P0Re1902

P0Re2001 P0Re2002

P0Re2201 P0Re2202

P0Re2401

P0Re2402

P0Re2501 P0Re2502

P0Re2701 P0Re2702

P0Re2901 P0Re2902

P0Re3001 P0Re3002

P0Ru101 P0Ru102

P0Ru201 P0Ru202

P0Ru301 P0Ru302

P0Ru401 P0Ru402

P0Ru501 P0Ru502

P0Ru601 P0Ru602

P0Ru701 P0Ru702

P0Ru801 P0Ru802

P0Ru901 P0Ru902

P0Ru1001 P0Ru1002

P0Ue1A05

P0Ue1A06

P0Ue1A09

P0Ue1A010

P0Ue1A012

P0Ue1A013

P0Ue1A014

P0Ue1A015

P0Ue1A016

P0Ue1A017

P0Ue1A018

P0Ue1A021

P0Ue1A022

P0Ue1A023

P0Ue1A024

P0Ue1A025

P0Ue1A026

P0Ue1A029

P0Ue1A030

P0Ue1A031

P0Ue1A032

P0Ue1A033

P0Ue1A036

P0Ue1A037

P0Ue1A038

P0Ue1A039

P0Ue1A040

P0Ue1A043

P0Ue1A044

P0Ue1A045

P0Ue1A046

P0Ue1A049

P0Ue1A050

P0Ue1A051

P0Ue1A052

P0Ue1A053

P0Ue1A056

P0Ue1A057

P0Ue1A058

P0Ue1A059

P0Ue1A062

P0Ue1A063

P0Ue1A064

P0Ue1A067

P0Ue1A068

P0Ue1A069

P0Ue1A070

P0Ue1A072

P0Ue1A073

P0Ue1A074

P0Ue1A075

P0Ue1A076

P0Ue1A078

P0Ue1A079

P0Ue1A082

P0Ue1A083

P0Ue1A087

P0Ue1A092

P0Ue1A093

P0Ue1A094

P0Ue1A095

P0Ue1A098

P0Ue1A099

P0Ue1A0100

P0Ue1B01

P0Ue1B02

P0Ue1B03

P0Ue1B04

P0Ue1B07

P0Ue1B08

P0Ue1B011 P0Ue1B019

P0Ue1B020

P0Ue1B027

P0Ue1B028

P0Ue1B034

P0Ue1B035

P0Ue1B041

P0Ue1B042

P0Ue1B047

P0Ue1B048

P0Ue1B054

P0Ue1B055

P0Ue1B060

P0Ue1B061

P0Ue1B065

P0Ue1B066

P0Ue1B071

P0Ue1B077

P0Ue1B080

P0Ue1B081

P0Ue1B084

P0Ue1B085

P0Ue1B086

P0Ue1B088

P0Ue1B089

P0Ue1B090

P0Ue1B091 P0Ue1B096

P0Ue1B097

P0Uu101

P0Uu102

P0Uu103

P0Uu104

P0Uu105

P0Uu106

P0Uu107

P0Uu108

P0Uu109

P0Uu1010

P0Uu1011

P0Uu1012

P0Uu1013

P0Uu1014

P0Uu1015

P0Uu1016

P0Uu1017

P0Uu1018

P0Uu1019

P0Uu1020

P0Uu1021

P0Uu1022

P0Uu1023

P0Uu1024

P0Uu1025

P0Uu1026

P0Uu1027

P0Uu1028

P0Uu1029

P0Uu1030

P0Uu1031

P0Uu1032

P0Uu1033

P0Uu1034

P0Uu1035

P0Uu1036

P0Uu1037

P0Uu1038

P0Uu1039

P0Uu1040

P0Uu1041

P0Uu1042

P0Uu1043

P0Uu1044

P0Uu1045

P0Uu1046

P0Uu1047

P0Uu1048

P0Uu1049

P0Uu1050

P0Uu1051

P0Uu1052

P0Uu1053

P0Uu1054

P0Uu1055

P0Uu1056

P0Uu1057

P0Uu1058

P0Uu1059

P0Uu1060

P0Uu1061

P0Uu1062

P0Uu1063

P0Uu1064

P0Uu1065

P0Uu1066

P0Uu1067

P0Uu1068

P0Uu1069

P0Uu1070

P0Uu1071

P0Uu1072

P0Uu1073

P0Uu1074

P0Uu1075

P0Uu1076

P0Uu1077

P0Uu1078

P0Uu1079

P0Uu1080

P0Uu201

P0Uu202

P0Uu203

P0Uu204 P0Uu205

P0Uu206

P0Uu207

P0Uu208

P0Xu101 P0Xu102

P0Ye101 P0Ye102

N0AMDIX0SEL

N0EECLK

N0EECS

N0EEIO

N0FDP0LX

N0FIFO0SEL

N0INT0A(0)

N0INT0A(1)

N0LINK0ACK

N0MEM0EA(1)

N0MEM0EA(2)

N0MEM0EA(3)

N0MEM0EA(4)

N0MEM0EA(5)

N0MEM0EA(6)

N0MEM0EA(7)

N0MEM0EA(8)

N0MEM0EA(9)

N0MEM0ED(0)

N0MEM0ED(1)

N0MEM0ED(2)

N0MEM0ED(3)

N0MEM0ED(4)

N0MEM0ED(5)

N0MEM0ED(6)

N0MEM0ED(7)

N0MEM0ED(8)

N0MEM0ED(9)

N0MEM0ED(10)

N0MEM0ED(11)

N0MEM0ED(12)

N0MEM0ED(13)

N0MEM0ED(14)

N0MEM0ED(15)

N0MEM0XCS(0)

N0MEM0XCS(2)

N0MEM0XRD

N0MEM0XWR(0)

N0MEM0XWR(1)

N0RBIAS

N0SPD0100

N0SPEED0SEL

N0USB0D00N

N0USB0D00P

N0XRST

P0Ce101

P0Ce102

P0Ce301

P0Ce302

P0Ce401

P0Ce402

P0Ce501

P0Ce502

P0Ce601

P0Ce602

P0Ce701

P0Ce702

P0Ce801

P0Ce802

P0Ce901

P0Ce902

P0Ce1001

P0Ce1002

P0Ce1101

P0Ce1102

P0Ce1201

P0Ce1202

P0Ce1301

P0Ce1302

P0Ce1401

P0Ce1402

P0Ce1501

P0Ce1502

P0Ce1601

P0Ce1602

P0Ce1701

P0Ce1702

P0Ce1801

P0Ce1802

P0Ce1901

P0Ce1902 P0Ce2001

P0Ce2002

P0Ce2101

P0Ce2102

P0Ce2201

P0Ce2202

P0Ce2301

P0Ce2302

P0CTe2401

P0CTe2402

P0Cu101 P0Cu102

P0Cu201 P0Cu202

P0Cu301 P0Cu302

P0Cu401 P0Cu402

P0Cu501 P0Cu502

P0Cu601 P0Cu602

P0Cu701

P0Cu702 P0Cu801

P0Cu802 P0Cu901

P0Cu902

P0Cu1001

P0Cu1002

P0Cu1101

P0Cu1102

P0Cu1201

P0Cu1202

P0Cu1301

P0Cu1302

P0Cu1401

P0Cu1402

P0Cu1501

P0Cu1502

P0Cu1601

P0Cu1602

P0Cu1701

P0Cu1702

P0Cu1801

P0Cu1802

P0Cu1901

P0Cu1902

P0Cu2001

P0Cu2002

P0Cu2101

P0Cu2102

P0Cu2201

P0Cu2202

P0Cu2301

P0Cu2302

P0De30A P0De30K

P0esdu101

P0esdu102

P0esdu103

P0esdu104

P0esdu105

P0esdu106

P0Je101

P0Je102

P0Je103

P0Je104

P0Je105

P0Je106

P0Je107

P0Je108

P0Je109

P0Je1010

P0Je1011

P0Je1012

P0Je1013

P0Je1014

P0J0USB01

P0J0USB02

P0J0USB03

P0J0USB04

P0J0USB05

P0J0USB06

P0L501

P0L502

P0Le101

P0Le102

P0Lu101 P0Lu102

P0Lu103 P0Lu104

P0Re101

P0Re102 P0Re201

P0Re202 P0Re301

P0Re302 P0Re401

P0Re402

P0Re501 P0Re502

P0Re601 P0Re602

P0Re701 P0Re702

P0Re801 P0Re802

P0Re1601

P0Re1602

P0Re1701 P0Re1702

P0Re1801 P0Re1802

P0Re1901 P0Re1902

P0Re2001 P0Re2002

P0Re2201 P0Re2202

P0Re2401

P0Re2402

P0Re2501 P0Re2502

P0Re2701 P0Re2702

P0Re2901 P0Re2902

P0Re3001 P0Re3002

P0Ru101 P0Ru102

P0Ru201 P0Ru202

P0Ru301 P0Ru302

P0Ru401 P0Ru402

P0Ru501 P0Ru502

P0Ru601 P0Ru602

P0Ru701 P0Ru702

P0Ru801 P0Ru802

P0Ru901 P0Ru902

P0Ru1001 P0Ru1002

P0Ue1A05

P0Ue1A06

P0Ue1A09

P0Ue1A010

P0Ue1A012

P0Ue1A013

P0Ue1A014

P0Ue1A015

P0Ue1A016

P0Ue1A017

P0Ue1A018

P0Ue1A021

P0Ue1A022

P0Ue1A023

P0Ue1A024

P0Ue1A025

P0Ue1A026

P0Ue1A029

P0Ue1A030

P0Ue1A031

P0Ue1A032

P0Ue1A033

P0Ue1A036

P0Ue1A037

P0Ue1A038

P0Ue1A039

P0Ue1A040

P0Ue1A043

P0Ue1A044

P0Ue1A045

P0Ue1A046

P0Ue1A049

P0Ue1A050

P0Ue1A051

P0Ue1A052

P0Ue1A053

P0Ue1A056

P0Ue1A057

P0Ue1A058

P0Ue1A059

P0Ue1A062

P0Ue1A063

P0Ue1A064

P0Ue1A067

P0Ue1A068

P0Ue1A069

P0Ue1A070

P0Ue1A072

P0Ue1A073

P0Ue1A074

P0Ue1A075

P0Ue1A076

P0Ue1A078

P0Ue1A079

P0Ue1A082

P0Ue1A083

P0Ue1A087

P0Ue1A092

P0Ue1A093

P0Ue1A094

P0Ue1A095

P0Ue1A098

P0Ue1A099

P0Ue1A0100

P0Ue1B01

P0Ue1B02

P0Ue1B03

P0Ue1B04

P0Ue1B07

P0Ue1B08

P0Ue1B011 P0Ue1B019

P0Ue1B020

P0Ue1B027

P0Ue1B028

P0Ue1B034

P0Ue1B035

P0Ue1B041

P0Ue1B042

P0Ue1B047

P0Ue1B048

P0Ue1B054

P0Ue1B055

P0Ue1B060

P0Ue1B061

P0Ue1B065

P0Ue1B066

P0Ue1B071

P0Ue1B077

P0Ue1B080

P0Ue1B081

P0Ue1B084

P0Ue1B085

P0Ue1B086

P0Ue1B088

P0Ue1B089

P0Ue1B090

P0Ue1B091 P0Ue1B096

P0Ue1B097

P0Uu101

P0Uu102

P0Uu103

P0Uu104

P0Uu105

P0Uu106

P0Uu107

P0Uu108

P0Uu109

P0Uu1010

P0Uu1011

P0Uu1012

P0Uu1013

P0Uu1014

P0Uu1015

P0Uu1016

P0Uu1017

P0Uu1018

P0Uu1019

P0Uu1020

P0Uu1021

P0Uu1022

P0Uu1023

P0Uu1024

P0Uu1025

P0Uu1026

P0Uu1027

P0Uu1028

P0Uu1029

P0Uu1030

P0Uu1031

P0Uu1032

P0Uu1033

P0Uu1034

P0Uu1035

P0Uu1036

P0Uu1037

P0Uu1038

P0Uu1039

P0Uu1040

P0Uu1041

P0Uu1042

P0Uu1043

P0Uu1044

P0Uu1045

P0Uu1046

P0Uu1047

P0Uu1048

P0Uu1049

P0Uu1050

P0Uu1051

P0Uu1052

P0Uu1053

P0Uu1054

P0Uu1055

P0Uu1056

P0Uu1057

P0Uu1058

P0Uu1059

P0Uu1060

P0Uu1061

P0Uu1062

P0Uu1063

P0Uu1064

P0Uu1065

P0Uu1066

P0Uu1067

P0Uu1068

P0Uu1069

P0Uu1070

P0Uu1071

P0Uu1072

P0Uu1073

P0Uu1074

P0Uu1075

P0Uu1076

P0Uu1077

P0Uu1078

P0Uu1079

P0Uu1080

P0Uu201

P0Uu202

P0Uu203

P0Uu204 P0Uu205

P0Uu206

P0Uu207

P0Uu208

P0Xu101 P0Xu102

P0Ye101 P0Ye102

N0AMDIX0SEL

N0EECLK

N0EECS

N0EEIO

N0FDP0LX

N0FIFO0SEL

N0LINK0ACK

N0RBIAS

N0SPD0100

N0SPEED0SEL

N0USB0D00N

N0USB0D00P

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

6 8

DRAM

DDR2_DRAM.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

DR

AM

SK-8

6R03

-01

:Jad

e-L

GD

C S

tart

er K

it

19/03/2010

HHoe 08.02.2010

A0M8

A1M3

A2M7

A3N2

A4N8

A5N3

A6N7

A7P2

A8P8

A9P3

A10M2

A11P7

A12R2

BA0L2

BA1L3

RFU/BA2L1

CKJ8

CK#K8

CKEK2

CS#L8

WE#K3

CAS#L7

RAS#K7

LDMF3

UDMB3

UDQSB7

UDQS#/NUA8

LDQSF7

LDQS#/NUE8

DQ0 G8

DQ1 G2

DQ2 H7

DQ3 H3

DQ4 H1

DQ5 H9

DQ6 F1

DQ7 F9

DQ8 C8

DQ9 C2

DQ10 D7

DQ11 D3

DQ12 D1

DQ13 D9

DQ14 B1

DQ15 B9

ODT K9

nc A2

nc E2

RFU/A14R3

RFU/A15R7

RFU/A13R8

Vref J2

VddL J1

VssDL J7

Vdd A1

Vdd E1

Vdd J9

Vdd M9

Vdd R1

VddQ A9

VddQ C1

VddQ C3

VddQ C7

VddQ C9

VddQ E9

VddQ G1

VddQ G3

VddQ G7

VddQ G9

Vss

A3

Vss

E3

Vss

J3

Vss

N1

Vss

P9

Vss

QA

7

Vss

QB

2

Vss

QB

8

Vss

QD

2

Vss

QD

8

Vss

QE7

Vss

QF2

Vss

QF8

Vss

QH

2

Vss

QH

8

U400

MT47H32M16HR-3 IT:F

12345

678

Ry400

YC124-22R

12345

678

Ry401

YC124-22R

12345

678

Ry402

YC124-22R

12345

678

Ry403

YC124-22R

12345

678

Ry404

YC124-22R

12345

678

Ry405

YC124-22R

12345

678

Ry406

YC124-22R

1234 5

678

Ry407

YC124-22R

12345

678

Ry408

YC124-22R

12345

678

Ry409

YC124-22R

12345

678

Ry410

YC124-22R

1234 5

678

Ry415

YC124-22R

12345

678

Ry416

YC124-22R

1234 5

678

Ry411

YC124-22R

1234 5

678

Ry412

YC124-22R

1234 5

678

Ry413

YC124-22R

1234 5

678

Ry414

YC124-22R

R406 100R

R411100RC422

1n

C403

1n

C406

100n DGND

DGND

DGND

C405

1n

C408

100n

C404

1n

C407

100n

C410

100n

C409

100n

C412

47u 6V3

C411

47u 6V3

DGND

DGND

MA_T0MA_T1MA_T2MA_T3MA_T4MA_T5MA_T6MA_T7MA_T8MA_T9MA_T10MA_T11MA_T12MA_T13MA_T14MA_T15

MBA_T0MBA_T1MBA_T2

MCK_PMCK_N

MDQS_T1_P

MDQS_T0_P

MDQS_T1_N

MDQS_T0_N

MCKE_T

MCS#_T

MWE#_T

MCAS#_T

MRAS#_T

MDM_T0

MDM_T1

MDQ_T0MDQ_T1MDQ_T2MDQ_T3MDQ_T4MDQ_T5MDQ_T6MDQ_T7MDQ_T8MDQ_T9MDQ_T10MDQ_T11MDQ_T12MDQ_T13MDQ_T14MDQ_T15

MDQ_T0MDQ_T1

MDQ_T2MDQ_T3MDQ_T4

MDQ_T5

MDQ_T6

MDQ_T7

MDQ_T8

MDQ_T9

MDQ_T10

MDQ_T11MDQ_T12

MDQ_T13

MDQ_T14MDQ_T15

MA_T0

MA_T1

MA_T2

MA_T3

MA_T4

MA_T5

MA_T6

MA_T7

MA_T8

MA_T9

MA_T10

MA_T11

MA_T12

MA_T13

MBA_T0MBA_T1

MCKE_TMCS#_T

MWE#_T

MCAS#_T

MRAS#_T

MDM_T0

MDM_T1

MDQS_T1_P

MDQS_T0_PMDQS_T1_N

MDQS_T0_N

low HWhigh HW

R400 0R0

R402 n.m.

R404 n.m.C402

100n

DGND DGND

ODTCONT

L400

BLM18PG600SN1

A0M8

A1M3

A2M7

A3N2

A4N8

A5N3

A6N7

A7P2

A8P8

A9P3

A10M2

A11P7

A12R2

BA0L2

BA1L3

RFU/BA2L1

CKJ8

CK#K8

CKEK2

CS#L8

WE#K3

CAS#L7

RAS#K7

LDMF3

UDMB3

UDQSB7

UDQS#/NUA8

LDQSF7

LDQS#/NUE8

DQ0 G8

DQ1 G2

DQ2 H7

DQ3 H3

DQ4 H1

DQ5 H9

DQ6 F1

DQ7 F9

DQ8 C8

DQ9 C2

DQ10 D7

DQ11 D3

DQ12 D1

DQ13 D9

DQ14 B1

DQ15 B9

ODT K9

nc A2

nc E2

RFU/A14R3

RFU/A15R7

RFU/A13R8

Vref J2

VddL J1

VssDL J7

Vdd A1

Vdd E1

Vdd J9

Vdd M9

Vdd R1

VddQ A9

VddQ C1

VddQ C3

VddQ C7

VddQ C9

VddQ E9

VddQ G1

VddQ G3

VddQ G7

VddQ G9

Vss

A3

Vss

E3

Vss

J3

Vss

N1

Vss

P9

Vss

QA

7

Vss

QB

2

Vss

QB

8

Vss

QD

2

Vss

QD

8

Vss

QE7

Vss

QF2

Vss

QF8

Vss

QH

2

Vss

QH

8

U401

MT47H32M16HR-3 IT:F

R407 100R

R414100RC423

1n

C418

1n

C421

100n DGND

DGND

DGND

C420

1n

C425

100n

C419

1n

C424

100n

C427

100n

C426

100n

C429

47u 6V3

C428

47u 6V3

DGND

DGND

MA_T0MA_T1MA_T2MA_T3MA_T4MA_T5MA_T6MA_T7MA_T8MA_T9MA_T10MA_T11MA_T12MA_T13MAu_T14MAu_T15

MBA_T0MBA_T1MBAu_T2

MCK_N

MDQS_T3_P

MDQS_T2_P

MDQS_T3_N

MDQS_T2_N

MCKE_T

MCS#_T

MWE#_T

MCAS#_T

MRAS#_T

MDM_T2

MDM_T3

MDM_T2

MDM_T3

MDQS_T3_P

MDQS_T2_PMDQS_T3_N

MDQS_T2_N

R401 0R0

R403 n.m.

R405 n.m.C417

100n

DGND DGND

ODTCONT

L401

BLM18PG600SN1

MCK_P

MDQ_T16MDQ_T17MDQ_T18MDQ_T19MDQ_T20MDQ_T21MDQ_T22MDQ_T23MDQ_T24MDQ_T25MDQ_T26MDQ_T27MDQ_T28MDQ_T29MDQ_T30MDQ_T31

MDQ_T16

MDQ_T17

MDQ_T18

MDQ_T19

MDQ_T20

MDQ_T21

MDQ_T22MDQ_T23

MDQ_T24MDQ_T25

MDQ_T26

MDQ_T27MDQ_T28

MDQ_T29

MDQ_T30MDQ_T31

R408 0R0R409 0R0

R410 0R0

DGND

C40110u 6V3

C40010u 6V3

DGND

1V8_ddr2

DGND

1V8_DDR2

VREF0 VREF1

R4151k5

R4161k5

R4171k5

R4181k5

C413100n

C414100n

C415100n

C416100n

DGND DGND

( Jade ) ( Jade )

MCKE

VREF0VREF1

R419 0R0R420 0R0

R421 0R0

DGND

MA(0)

MA(1)

MA(2)

MA(3)

MA(4)

MA(5)

MA(6)

MA(7)

MA(8)

MA(9)

MA(10)

MA(11)

MA(12)

MA(13)

MBA(0)MBA(1)

MCS

MRAS

MCAS

MDQS0_PMDQS0_N

MDQS1_PMDQS1_N MDQS2_P

MDQS2_N

MDQS3_PMDQS3_N

MDM(0)

MDM(1)

MDM(2)

MDM(3)

MWE

MDQ(6)

MDQ(10)

MDQ(0)MDQ(1)

MDQ(2)MDQ(3)MDQ(4)

MDQ(5)

MDQ(7)

MDQ(8)

MDQ(9)

MDQ(11)MDQ(12)

MDQ(13)

MDQ(14)MDQ(15)

MDQ(16)

MDQ(17)

MDQ(18)

MDQ(19)

MDQ(20)

MDQ(21)

MDQ(22)MDQ(23)

MDQ(24)MDQ(25)

MDQ(26)

MDQ(27)MDQ(28)

MDQ(29)

MDQ(30)MDQ(31)

P0C40001

P0C40002 P0C40101

P0C40102

P0C40201 P0C40202

P0C40301

P0C40302

P0C40401

P0C40402

P0C40501

P0C40502

P0C40601

P0C40602

P0C40701

P0C40702

P0C40801

P0C40802

P0C40901

P0C40902

P0C41001

P0C41002

P0C41101

P0C41102

P0C41201

P0C41202

P0C41301

P0C41302

P0C41401

P0C41402

P0C41501

P0C41502

P0C41601

P0C41602

P0C41701 P0C41702

P0C41801

P0C41802

P0C41901

P0C41902

P0C42001

P0C42002

P0C42101

P0C42102

P0C42201 P0C42202

P0C42301 P0C42302

P0C42401

P0C42402

P0C42501

P0C42502

P0C42601

P0C42602

P0C42701

P0C42702

P0C42801

P0C42802

P0C42901

P0C42902

P0L40001 P0L40002

P0L40101 P0L40102

P0R40001 P0R40002

P0R40101 P0R40102

P0R40201 P0R40202

P0R40301 P0R40302

P0R40401 P0R40402

P0R40501 P0R40502

P0R40601 P0R40602

P0R40701 P0R40702

P0R40801 P0R40802

P0R40901 P0R40902

P0R41001 P0R41002

P0R41101 P0R41102

P0R41401 P0R41402

P0R41501

P0R41502

P0R41601

P0R41602

P0R41701

P0R41702

P0R41801

P0R41802

P0R41901 P0R41902

P0R42001 P0R42002

P0R42101 P0R42102

P0Ry40001

P0Ry40002

P0Ry40003

P0Ry40004 P0Ry40005

P0Ry40006

P0Ry40007

P0Ry40008

P0Ry40101

P0Ry40102

P0Ry40103

P0Ry40104 P0Ry40105

P0Ry40106

P0Ry40107

P0Ry40108

P0Ry40201

P0Ry40202

P0Ry40203

P0Ry40204 P0Ry40205

P0Ry40206

P0Ry40207

P0Ry40208

P0Ry40301

P0Ry40302

P0Ry40303

P0Ry40304 P0Ry40305

P0Ry40306

P0Ry40307

P0Ry40308

P0Ry40401

P0Ry40402

P0Ry40403

P0Ry40404 P0Ry40405

P0Ry40406

P0Ry40407

P0Ry40408

P0Ry40501

P0Ry40502

P0Ry40503

P0Ry40504 P0Ry40505

P0Ry40506

P0Ry40507

P0Ry40508

P0Ry40601

P0Ry40602

P0Ry40603

P0Ry40604 P0Ry40605

P0Ry40606

P0Ry40607

P0Ry40608

P0Ry40701

P0Ry40702

P0Ry40703

P0Ry40704 P0Ry40705

P0Ry40706

P0Ry40707

P0Ry40708

P0Ry40801

P0Ry40802

P0Ry40803

P0Ry40804 P0Ry40805

P0Ry40806

P0Ry40807

P0Ry40808

P0Ry40901

P0Ry40902

P0Ry40903

P0Ry40904 P0Ry40905

P0Ry40906

P0Ry40907

P0Ry40908

P0Ry41001

P0Ry41002

P0Ry41003

P0Ry41004 P0Ry41005

P0Ry41006

P0Ry41007

P0Ry41008

P0Ry41101

P0Ry41102

P0Ry41103

P0Ry41104 P0Ry41105

P0Ry41106

P0Ry41107

P0Ry41108

P0Ry41201

P0Ry41202

P0Ry41203

P0Ry41204 P0Ry41205

P0Ry41206

P0Ry41207

P0Ry41208

P0Ry41301

P0Ry41302

P0Ry41303

P0Ry41304 P0Ry41305

P0Ry41306

P0Ry41307

P0Ry41308

P0Ry41401

P0Ry41402

P0Ry41403

P0Ry41404 P0Ry41405

P0Ry41406

P0Ry41407

P0Ry41408

P0Ry41501

P0Ry41502

P0Ry41503

P0Ry41504 P0Ry41505

P0Ry41506

P0Ry41507

P0Ry41508

P0Ry41601

P0Ry41602

P0Ry41603

P0Ry41604 P0Ry41605

P0Ry41606

P0Ry41607

P0Ry41608

P0U4000A1

P0U4000A2

P0U4000A3

P0U4000A7

P0U4000A8

P0U4000A9

P0U4000B1

P0U4000B2

P0U4000B3

P0U4000B7

P0U4000B8

P0U4000B9

P0U4000C1

P0U4000C2

P0U4000C3

P0U4000C7

P0U4000C8

P0U4000C9

P0U4000D1 P0U4000D2

P0U4000D3

P0U4000D7

P0U4000D8

P0U4000D9

P0U4000E1

P0U4000E2

P0U4000E3

P0U4000E7

P0U4000E8

P0U4000E9

P0U4000F1

P0U4000F2

P0U4000F3

P0U4000F7

P0U4000F8

P0U4000F9

P0U4000G1

P0U4000G2

P0U4000G3

P0U4000G7

P0U4000G8

P0U4000G9

P0U4000H1

P0U4000H2

P0U4000H3

P0U4000H7

P0U4000H8

P0U4000H9

P0U4000J1

P0U4000J2

P0U4000J3

P0U4000J7

P0U4000J8

P0U4000J9

P0U4000K2

P0U4000K3

P0U4000K7

P0U4000K8

P0U4000K9

P0U4000L1

P0U4000L2

P0U4000L3

P0U4000L7

P0U4000L8

P0U4000M2

P0U4000M3

P0U4000M7

P0U4000M8

P0U4000M9

P0U4000N1

P0U4000N2

P0U4000N3

P0U4000N7

P0U4000N8

P0U4000P2

P0U4000P3

P0U4000P7

P0U4000P8

P0U4000P9

P0U4000R1

P0U4000R2

P0U4000R3

P0U4000R7

P0U4000R8

P0U4010A1

P0U4010A2

P0U4010A3

P0U4010A7

P0U4010A8

P0U4010A9

P0U4010B1

P0U4010B2

P0U4010B3

P0U4010B7

P0U4010B8

P0U4010B9

P0U4010C1

P0U4010C2

P0U4010C3

P0U4010C7

P0U4010C8

P0U4010C9

P0U4010D1

P0U4010D2

P0U4010D3

P0U4010D7

P0U4010D8

P0U4010D9

P0U4010E1

P0U4010E2

P0U4010E3

P0U4010E7

P0U4010E8

P0U4010E9

P0U4010F1

P0U4010F2

P0U4010F3

P0U4010F7

P0U4010F8

P0U4010F9

P0U4010G1

P0U4010G2

P0U4010G3

P0U4010G7

P0U4010G8

P0U4010G9

P0U4010H1

P0U4010H2

P0U4010H3

P0U4010H7

P0U4010H8

P0U4010H9

P0U4010J1

P0U4010J2

P0U4010J3

P0U4010J7

P0U4010J8

P0U4010J9

P0U4010K2

P0U4010K3

P0U4010K7

P0U4010K8

P0U4010K9

P0U4010L1

P0U4010L2

P0U4010L3

P0U4010L7

P0U4010L8

P0U4010M2

P0U4010M3

P0U4010M7

P0U4010M8

P0U4010M9

P0U4010N1

P0U4010N2

P0U4010N3

P0U4010N7

P0U4010N8

P0U4010P2

P0U4010P3

P0U4010P7

P0U4010P8

P0U4010P9

P0U4010R1

P0U4010R2

P0U4010R3

P0U4010R7

P0U4010R8

N0MA(0)

N0MA(1)

N0MA(2)

N0MA(3)

N0MA(4)

N0MA(5)

N0MA(6)

N0MA(7)

N0MA(8)

N0MA(9)

N0MA(10)

N0MA(11)

N0MA(12)

N0MA(13)

N0MAU0T14

N0MAU0T15

N0MA0T0

N0MA0T1

N0MA0T2

N0MA0T3

N0MA0T4

N0MA0T5

N0MA0T6

N0MA0T7

N0MA0T8

N0MA0T9

N0MA0T10

N0MA0T11

N0MA0T12

N0MA0T13

N0MA0T14

N0MA0T15

N0MBA(0)

N0MBA(1)

N0MBAU0T2

N0MBA0T0

N0MBA0T1

N0MBA0T2

N0MCAS N0MCAS#0T

N0MCKE

N0MCKE0T

N0MCK0N

N0MCK0P

N0MCS N0MCS#0T

N0MDM(0)

N0MDM(1)

N0MDM(2)

N0MDM(3)

N0MDM0T0

N0MDM0T1

N0MDM0T2

N0MDM0T3

N0MDQ(0)

N0MDQ(1)

N0MDQ(2)

N0MDQ(3)

N0MDQ(4)

N0MDQ(5)

N0MDQ(6)

N0MDQ(7)

N0MDQ(8)

N0MDQ(9)

N0MDQ(10)

N0MDQ(11)

N0MDQ(12)

N0MDQ(13)

N0MDQ(14)

N0MDQ(15)

N0MDQ(16)

N0MDQ(17)

N0MDQ(18)

N0MDQ(19)

N0MDQ(20)

N0MDQ(21)

N0MDQ(22)

N0MDQ(23)

N0MDQ(24)

N0MDQ(25)

N0MDQ(26)

N0MDQ(27)

N0MDQ(28)

N0MDQ(29)

N0MDQ(30)

N0MDQ(31)

N0MDQS00N

N0MDQS00P

N0MDQS10N

N0MDQS10P

N0MDQS20N

N0MDQS20P

N0MDQS30N

N0MDQS30P

N0MDQS0T00N

N0MDQS0T00P

N0MDQS0T10N

N0MDQS0T10P

N0MDQS0T20N

N0MDQS0T20P

N0MDQS0T30N

N0MDQS0T30P

N0MDQ0T0

N0MDQ0T1

N0MDQ0T2

N0MDQ0T3

N0MDQ0T4

N0MDQ0T5

N0MDQ0T6

N0MDQ0T7

N0MDQ0T8

N0MDQ0T9

N0MDQ0T10

N0MDQ0T11

N0MDQ0T12

N0MDQ0T13

N0MDQ0T14

N0MDQ0T15

N0MDQ0T16

N0MDQ0T17

N0MDQ0T18

N0MDQ0T19

N0MDQ0T20

N0MDQ0T21

N0MDQ0T22

N0MDQ0T23

N0MDQ0T24

N0MDQ0T25

N0MDQ0T26

N0MDQ0T27

N0MDQ0T28

N0MDQ0T29

N0MDQ0T30

N0MDQ0T31

N0MRAS N0MRAS#0T

N0MWE N0MWE#0T

N0ODTCONT

N0VREF0

N0VREF1

P0C40001

P0C40002 P0C40101

P0C40102

P0C40201 P0C40202

P0C40301

P0C40302

P0C40401

P0C40402

P0C40501

P0C40502

P0C40601

P0C40602

P0C40701

P0C40702

P0C40801

P0C40802

P0C40901

P0C40902

P0C41001

P0C41002

P0C41101

P0C41102

P0C41201

P0C41202

P0C41301

P0C41302

P0C41401

P0C41402

P0C41501

P0C41502

P0C41601

P0C41602

P0C41701 P0C41702

P0C41801

P0C41802

P0C41901

P0C41902

P0C42001

P0C42002

P0C42101

P0C42102

P0C42201 P0C42202

P0C42301 P0C42302

P0C42401

P0C42402

P0C42501

P0C42502

P0C42601

P0C42602

P0C42701

P0C42702

P0C42801

P0C42802

P0C42901

P0C42902

P0L40001 P0L40002

P0L40101 P0L40102

P0R40001 P0R40002

P0R40101 P0R40102

P0R40201 P0R40202

P0R40301 P0R40302

P0R40401 P0R40402

P0R40501 P0R40502

P0R40601 P0R40602

P0R40701 P0R40702

P0R40801 P0R40802

P0R40901 P0R40902

P0R41001 P0R41002

P0R41101 P0R41102

P0R41401 P0R41402

P0R41501

P0R41502

P0R41601

P0R41602

P0R41701

P0R41702

P0R41801

P0R41802

P0R41901 P0R41902

P0R42001 P0R42002

P0R42101 P0R42102

P0Ry40001

P0Ry40002

P0Ry40003

P0Ry40004 P0Ry40005

P0Ry40006

P0Ry40007

P0Ry40008

P0Ry40101

P0Ry40102

P0Ry40103

P0Ry40104 P0Ry40105

P0Ry40106

P0Ry40107

P0Ry40108

P0Ry40201

P0Ry40202

P0Ry40203

P0Ry40204 P0Ry40205

P0Ry40206

P0Ry40207

P0Ry40208

P0Ry40301

P0Ry40302

P0Ry40303

P0Ry40304 P0Ry40305

P0Ry40306

P0Ry40307

P0Ry40308

P0Ry40401

P0Ry40402

P0Ry40403

P0Ry40404 P0Ry40405

P0Ry40406

P0Ry40407

P0Ry40408

P0Ry40501

P0Ry40502

P0Ry40503

P0Ry40504 P0Ry40505

P0Ry40506

P0Ry40507

P0Ry40508

P0Ry40601

P0Ry40602

P0Ry40603

P0Ry40604 P0Ry40605

P0Ry40606

P0Ry40607

P0Ry40608

P0Ry40701

P0Ry40702

P0Ry40703

P0Ry40704 P0Ry40705

P0Ry40706

P0Ry40707

P0Ry40708

P0Ry40801

P0Ry40802

P0Ry40803

P0Ry40804 P0Ry40805

P0Ry40806

P0Ry40807

P0Ry40808

P0Ry40901

P0Ry40902

P0Ry40903

P0Ry40904 P0Ry40905

P0Ry40906

P0Ry40907

P0Ry40908

P0Ry41001

P0Ry41002

P0Ry41003

P0Ry41004 P0Ry41005

P0Ry41006

P0Ry41007

P0Ry41008

P0Ry41101

P0Ry41102

P0Ry41103

P0Ry41104 P0Ry41105

P0Ry41106

P0Ry41107

P0Ry41108

P0Ry41201

P0Ry41202

P0Ry41203

P0Ry41204 P0Ry41205

P0Ry41206

P0Ry41207

P0Ry41208

P0Ry41301

P0Ry41302

P0Ry41303

P0Ry41304 P0Ry41305

P0Ry41306

P0Ry41307

P0Ry41308

P0Ry41401

P0Ry41402

P0Ry41403

P0Ry41404 P0Ry41405

P0Ry41406

P0Ry41407

P0Ry41408

P0Ry41501

P0Ry41502

P0Ry41503

P0Ry41504 P0Ry41505

P0Ry41506

P0Ry41507

P0Ry41508

P0Ry41601

P0Ry41602

P0Ry41603

P0Ry41604 P0Ry41605

P0Ry41606

P0Ry41607

P0Ry41608

P0U4000A1

P0U4000A2

P0U4000A3

P0U4000A7

P0U4000A8

P0U4000A9

P0U4000B1

P0U4000B2

P0U4000B3

P0U4000B7

P0U4000B8

P0U4000B9

P0U4000C1

P0U4000C2

P0U4000C3

P0U4000C7

P0U4000C8

P0U4000C9

P0U4000D1 P0U4000D2

P0U4000D3

P0U4000D7

P0U4000D8

P0U4000D9

P0U4000E1

P0U4000E2

P0U4000E3

P0U4000E7

P0U4000E8

P0U4000E9

P0U4000F1

P0U4000F2

P0U4000F3

P0U4000F7

P0U4000F8

P0U4000F9

P0U4000G1

P0U4000G2

P0U4000G3

P0U4000G7

P0U4000G8

P0U4000G9

P0U4000H1

P0U4000H2

P0U4000H3

P0U4000H7

P0U4000H8

P0U4000H9

P0U4000J1

P0U4000J2

P0U4000J3

P0U4000J7

P0U4000J8

P0U4000J9

P0U4000K2

P0U4000K3

P0U4000K7

P0U4000K8

P0U4000K9

P0U4000L1

P0U4000L2

P0U4000L3

P0U4000L7

P0U4000L8

P0U4000M2

P0U4000M3

P0U4000M7

P0U4000M8

P0U4000M9

P0U4000N1

P0U4000N2

P0U4000N3

P0U4000N7

P0U4000N8

P0U4000P2

P0U4000P3

P0U4000P7

P0U4000P8

P0U4000P9

P0U4000R1

P0U4000R2

P0U4000R3

P0U4000R7

P0U4000R8

P0U4010A1

P0U4010A2

P0U4010A3

P0U4010A7

P0U4010A8

P0U4010A9

P0U4010B1

P0U4010B2

P0U4010B3

P0U4010B7

P0U4010B8

P0U4010B9

P0U4010C1

P0U4010C2

P0U4010C3

P0U4010C7

P0U4010C8

P0U4010C9

P0U4010D1

P0U4010D2

P0U4010D3

P0U4010D7

P0U4010D8

P0U4010D9

P0U4010E1

P0U4010E2

P0U4010E3

P0U4010E7

P0U4010E8

P0U4010E9

P0U4010F1

P0U4010F2

P0U4010F3

P0U4010F7

P0U4010F8

P0U4010F9

P0U4010G1

P0U4010G2

P0U4010G3

P0U4010G7

P0U4010G8

P0U4010G9

P0U4010H1

P0U4010H2

P0U4010H3

P0U4010H7

P0U4010H8

P0U4010H9

P0U4010J1

P0U4010J2

P0U4010J3

P0U4010J7

P0U4010J8

P0U4010J9

P0U4010K2

P0U4010K3

P0U4010K7

P0U4010K8

P0U4010K9

P0U4010L1

P0U4010L2

P0U4010L3

P0U4010L7

P0U4010L8

P0U4010M2

P0U4010M3

P0U4010M7

P0U4010M8

P0U4010M9

P0U4010N1

P0U4010N2

P0U4010N3

P0U4010N7

P0U4010N8

P0U4010P2

P0U4010P3

P0U4010P7

P0U4010P8

P0U4010P9

P0U4010R1

P0U4010R2

P0U4010R3

P0U4010R7

P0U4010R8

N0MAU0T14

N0MAU0T15

N0MA0T0

N0MA0T1

N0MA0T2

N0MA0T3

N0MA0T4

N0MA0T5

N0MA0T6

N0MA0T7

N0MA0T8

N0MA0T9

N0MA0T10

N0MA0T11

N0MA0T12

N0MA0T13

N0MA0T14

N0MA0T15

N0MBAU0T2

N0MBA0T0

N0MBA0T1

N0MBA0T2

N0MCAS#0T

N0MCKE0T

N0MCS#0T

N0MDM0T0

N0MDM0T1

N0MDM0T2

N0MDM0T3

N0MDQS0T00N

N0MDQS0T00P

N0MDQS0T10N

N0MDQS0T10P

N0MDQS0T20N

N0MDQS0T20P

N0MDQS0T30N

N0MDQS0T30P

N0MDQ0T0

N0MDQ0T1

N0MDQ0T2

N0MDQ0T3

N0MDQ0T4

N0MDQ0T5

N0MDQ0T6

N0MDQ0T7

N0MDQ0T8

N0MDQ0T9

N0MDQ0T10

N0MDQ0T11

N0MDQ0T12

N0MDQ0T13

N0MDQ0T14

N0MDQ0T15

N0MDQ0T16

N0MDQ0T17

N0MDQ0T18

N0MDQ0T19

N0MDQ0T20

N0MDQ0T21

N0MDQ0T22

N0MDQ0T23

N0MDQ0T24

N0MDQ0T25

N0MDQ0T26

N0MDQ0T27

N0MDQ0T28

N0MDQ0T29

N0MDQ0T30

N0MDQ0T31

N0MRAS#0T

N0MWE#0T

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

7 8

display connector & flash memory

Flash_display.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

disp

lay

conn

ecto

r &

flas

h m

emor

ySK

-86R

03-0

1 :J

ade-

L G

DC

Sta

rter

Kit

19/03/2010

HHoe 08.02.2010

A0E2

A1D2

A2C2

A3A2

A4B2

A5D3

A6C3

A7A3

A8B6

A9A6

A10C6

A11D6

A12B7

A13A7

A14C7

A15D7

A16E7

A17B3

A18C4

A19D5

A20D4

A21C5

A22B8

A23C8

A24F8

DQ0 E3

DQ1 H3

DQ2 E4

DQ3 H4

DQ4 H5

DQ5 E5

DQ6 H6

DQ7 E6

DQ8 F3

DQ9 G3

DQ10 F4

DQ11 G4

DQ12 F5

DQ13 G6

DQ14 F6

DQ15/A-1 G7

CE#F2

OE#G2

WE#A5

WP#/ACCB4

RESET#B5

BYTE#F7

RY/BY#A4

VCC G5

VIO F1

VIO D8

VSS H2

VSS E8

VSS H7

NC A1

NC B1

NC C1

NC D1

NC E1

NC G1

NC H1

NC A8

NC G8

NC H8

U601

S29GL256N10FFI010_NOR-flah

C609

10n

C610

10n

C611

10n

C612

100n

C613

10u 6V3

MEM_XRD

3V3_Flash

R602

4k7

XRST

MEM_RDY

DGND

R6034k7

R6004k7

DGNDDGND

( A25 on 1G )R604

open / 0R0

R601

open / 0R0

MEM_EA(1)MEM_EA(2)MEM_EA(3)MEM_EA(4)MEM_EA(5)MEM_EA(6)MEM_EA(7)MEM_EA(8)MEM_EA(9)MEM_EA(10)MEM_EA(11)MEM_EA(12)MEM_EA(13)MEM_EA(14)MEM_EA(15)MEM_EA(16)MEM_EA(17)MEM_EA(18)MEM_EA(19)MEM_EA(20)MEM_EA(21)MEM_EA(22)MEM_EA(23)MEM_EA(24)

MEM_ED(0)MEM_ED(1)MEM_ED(2)MEM_ED(3)MEM_ED(4)MEM_ED(5)MEM_ED(6)MEM_ED(7)MEM_ED(8)MEM_ED(9)MEM_ED(10)MEM_ED(11)MEM_ED(12)MEM_ED(13)MEM_ED(14)MEM_ED(15)

MEM_XCS(4)

MEM_XWR(0)

GPIO_PD(14)GPIO_PD(15)

Rd3 33R

DGND

DGND

Rd2 0R0Rd1 openINT_A(2)

INT_A(3) d3d4d5d6d7d8d9d10

d13d14d15d16d17d18

d25d26d27d28d29d30d31d32d33

d35d36d37d38

HSYNC0VSYNC0

GV0DE0

DOUTR0(2)DOUTR0(3)DOUTR0(4)DOUTR0(5)DOUTR0(6)DOUTR0(7)

DOUTG0(2)DOUTG0(3)

DOUTG0(4)DOUTG0(5)DOUTG0(6)DOUTG0(7)

DOUTB0(2)DOUTB0(3)DOUTB0(4)DOUTB0(5)

DOUTB0(6)DOUTB0(7)

I2C_SCL0

I2C_SDA0

D00_clk

d5d6d7d8d9d10

d13d14d15d16d17d18

d25d26d27d28d29d30

d31d32d33

123456789

10111213141516171819202122232425262728293031323334353637383940

J_dis0

FTSH-120-02-L-DV-ES-P

123456789

10111213141516171819202122232425262728293031323334353637383940

J_dis0_FFC

FH12A-40S-0.5SH

12345

678Ryd1 YC124-33R

12345

678Ryd2 YC124-33R

12345

678Ryd3 YC124-33R

12345

678Ryd4 YC124-33R

12345

678Ryd5 YC124-33R

12345

678Ryd6

YC124-33R

DGND

D01_clk

REF1

ClkOut 8

Clk2 2Clk1 3

Clk3 5

Clk4 7

Vdd6

GND4

U1

IDT2305

Cd2100n

Cd110u 6V3

Rd633R

Rd4 10k Rd510k DGND

Cd310p

DCLKO0

D00_clkD01_clk

LEDCTRL

PWCTRL

YU

YDXL

XR

XRST

Blue 2Blue 3Blue 4Blue 5Blue 6Blue 7

Green 2Green 3Green 4Green 5Green 6Green 7

Red 2Red 3Red 4Red 5Red 6Red 7

HsyncVsyncENB

Rd31 33R

DGND

DGND

Rd2_1 0R0Rd1_1 openINT_A(2)

INT_A(3)

HSYNC1VSYNC1

GV1DE1

DOUTR1(2)DOUTR1(3)DOUTR1(4)DOUTR1(5)DOUTR1(6)DOUTR1(7)

DOUTG1(2)DOUTG1(3)

DOUTG1(4)DOUTG1(5)DOUTG1(6)DOUTG1(7)

DOUTB1(2)DOUTB1(3)DOUTB1(4)DOUTB1(5)

DOUTB1(6)DOUTB1(7)

I2C_SCL1

I2C_SDA1

123456789

10111213141516171819202122232425262728293031323334353637383940

J_dis1

FTSH-120-02-L-DV-ES-P

12345

678Ryd11 YC124-33R

12345

678Ryd21 YC124-33R

12345

678Ryd31 YC124-33R

12345

678Ryd41 YC124-33R

12345

678Ryd51 YC124-33R

12345

678Ryd61

YC124-33R

Cd4

100n

Rd74k7

Rd84k7

X+A3

Y+B3

X-C3

Y-D3

AuxA1

GNDD2 VddRef A2

penirq B1

SDA C1

SCL D1

A1 C2

A0 B2

U600

TSC2007Cd5

1u 10V

DGND

YU

YD

XL

XR

I2C_SCL0

I2C_SDA0

Rd94k7

Rd104k7

Rd12open / 0R0

Rd13open / 0R0

DGNDPWCTRL

Rd1110kRd14

0R0GPIO_PD(13)

LEDCTRL

Rd1810k

Rd17

0R0PWM_O3

DGND

3V3_display

3V3_display

3V3_display

3V3_display

DCLKO1

3V3_display

3V3_display

3V3_display

3V3_display

DGND

penirq INT_A(2)Rd19

0R0

interconnects between both display connectors symbolized by strings: eg. d5 is pin 5 of J_dis0 AND pin 8 of J_dis0_FFC

Rd 14, Rd17 were open in 1v0,0R0 in 2v1.

Rd [7..10] were 1k54k7 in 2v1.

Rd 19 added to connect touch controller interrupt { 2v1}

P0C60901

P0C60902 P0C61001

P0C61002 P0C61101

P0C61102 P0C61201

P0C61202 P0C61301

P0C61302

P0Cd101

P0Cd102 P0Cd201

P0Cd202

P0Cd301 P0Cd302

P0Cd401

P0Cd402 P0Cd501

P0Cd502

P0J0dis001

P0J0dis002

P0J0dis003

P0J0dis004

P0J0dis005

P0J0dis006

P0J0dis007

P0J0dis008

P0J0dis009

P0J0dis0010

P0J0dis0011

P0J0dis0012

P0J0dis0013

P0J0dis0014

P0J0dis0015

P0J0dis0016

P0J0dis0017

P0J0dis0018

P0J0dis0019

P0J0dis0020

P0J0dis0021

P0J0dis0022

P0J0dis0023

P0J0dis0024

P0J0dis0025

P0J0dis0026

P0J0dis0027

P0J0dis0028

P0J0dis0029

P0J0dis0030

P0J0dis0031

P0J0dis0032

P0J0dis0033

P0J0dis0034

P0J0dis0035

P0J0dis0036

P0J0dis0037

P0J0dis0038

P0J0dis0039

P0J0dis0040

P0J0dis00FFC01

P0J0dis00FFC02

P0J0dis00FFC03

P0J0dis00FFC04

P0J0dis00FFC05

P0J0dis00FFC06

P0J0dis00FFC07

P0J0dis00FFC08

P0J0dis00FFC09

P0J0dis00FFC010

P0J0dis00FFC011

P0J0dis00FFC012

P0J0dis00FFC013

P0J0dis00FFC014

P0J0dis00FFC015

P0J0dis00FFC016

P0J0dis00FFC017

P0J0dis00FFC018

P0J0dis00FFC019

P0J0dis00FFC020

P0J0dis00FFC021

P0J0dis00FFC022

P0J0dis00FFC023

P0J0dis00FFC024

P0J0dis00FFC025

P0J0dis00FFC026

P0J0dis00FFC027

P0J0dis00FFC028

P0J0dis00FFC029

P0J0dis00FFC030

P0J0dis00FFC031

P0J0dis00FFC032

P0J0dis00FFC033

P0J0dis00FFC034

P0J0dis00FFC035

P0J0dis00FFC036

P0J0dis00FFC037

P0J0dis00FFC038

P0J0dis00FFC039

P0J0dis00FFC040

P0J0dis101

P0J0dis102

P0J0dis103

P0J0dis104

P0J0dis105

P0J0dis106

P0J0dis107

P0J0dis108

P0J0dis109

P0J0dis1010

P0J0dis1011

P0J0dis1012

P0J0dis1013

P0J0dis1014

P0J0dis1015

P0J0dis1016

P0J0dis1017

P0J0dis1018

P0J0dis1019

P0J0dis1020

P0J0dis1021

P0J0dis1022

P0J0dis1023

P0J0dis1024

P0J0dis1025

P0J0dis1026

P0J0dis1027

P0J0dis1028

P0J0dis1029

P0J0dis1030

P0J0dis1031

P0J0dis1032

P0J0dis1033

P0J0dis1034

P0J0dis1035

P0J0dis1036

P0J0dis1037

P0J0dis1038

P0J0dis1039

P0J0dis1040

P0R60001

P0R60002

P0R60101 P0R60102

P0R60201

P0R60202

P0R60301

P0R60302

P0R60401 P0R60402

P0Rd101 P0Rd102 P0Rd10101 P0Rd10102

P0Rd201 P0Rd202 P0Rd20101 P0Rd20102

P0Rd301 P0Rd302

P0Rd401 P0Rd402 P0Rd501 P0Rd502

P0Rd601 P0Rd602

P0Rd701 P0Rd702

P0Rd801 P0Rd802

P0Rd901 P0Rd902

P0Rd1001

P0Rd1002

P0Rd1101

P0Rd1102

P0Rd1201

P0Rd1202

P0Rd1301

P0Rd1302

P0Rd1401 P0Rd1402

P0Rd1701 P0Rd1702

P0Rd1801

P0Rd1802

P0Rd1901 P0Rd1902

P0Rd3101 P0Rd3102

P0Ryd101

P0Ryd102

P0Ryd103

P0Ryd104 P0Ryd105

P0Ryd106

P0Ryd107

P0Ryd108

P0Ryd201

P0Ryd202

P0Ryd203

P0Ryd204 P0Ryd205

P0Ryd206

P0Ryd207

P0Ryd208

P0Ryd301

P0Ryd302

P0Ryd303

P0Ryd304 P0Ryd305

P0Ryd306

P0Ryd307

P0Ryd308

P0Ryd401

P0Ryd402

P0Ryd403

P0Ryd404 P0Ryd405

P0Ryd406

P0Ryd407

P0Ryd408

P0Ryd501

P0Ryd502

P0Ryd503

P0Ryd504 P0Ryd505

P0Ryd506

P0Ryd507

P0Ryd508

P0Ryd601

P0Ryd602

P0Ryd603

P0Ryd604 P0Ryd605

P0Ryd606

P0Ryd607

P0Ryd608

P0Ryd1101

P0Ryd1102

P0Ryd1103

P0Ryd1104 P0Ryd1105

P0Ryd1106

P0Ryd1107

P0Ryd1108

P0Ryd2101

P0Ryd2102

P0Ryd2103

P0Ryd2104 P0Ryd2105

P0Ryd2106

P0Ryd2107

P0Ryd2108

P0Ryd3101

P0Ryd3102

P0Ryd3103

P0Ryd3104 P0Ryd3105

P0Ryd3106

P0Ryd3107

P0Ryd3108

P0Ryd4101

P0Ryd4102

P0Ryd4103

P0Ryd4104 P0Ryd4105

P0Ryd4106

P0Ryd4107

P0Ryd4108

P0Ryd5101

P0Ryd5102

P0Ryd5103

P0Ryd5104 P0Ryd5105

P0Ryd5106

P0Ryd5107

P0Ryd5108

P0Ryd6101

P0Ryd6102

P0Ryd6103

P0Ryd6104 P0Ryd6105

P0Ryd6106

P0Ryd6107

P0Ryd6108

P0U101

P0U102

P0U103

P0U104

P0U105

P0U106

P0U107

P0U108

P0U6000A1

P0U6000A2

P0U6000A3 P0U6000B1

P0U6000B2

P0U6000B3 P0U6000C1

P0U6000C2

P0U6000C3 P0U6000D1

P0U6000D2

P0U6000D3

P0U6010A1

P0U6010A2

P0U6010A3

P0U6010A4

P0U6010A5

P0U6010A6

P0U6010A7

P0U6010A8

P0U6010B1

P0U6010B2

P0U6010B3

P0U6010B4

P0U6010B5

P0U6010B6

P0U6010B7

P0U6010B8

P0U6010C1

P0U6010C2

P0U6010C3

P0U6010C4

P0U6010C5

P0U6010C6

P0U6010C7

P0U6010C8

P0U6010D1

P0U6010D2

P0U6010D3

P0U6010D4

P0U6010D5

P0U6010D6

P0U6010D7

P0U6010D8

P0U6010E1

P0U6010E2 P0U6010E3

P0U6010E4

P0U6010E5

P0U6010E6

P0U6010E7

P0U6010E8

P0U6010F1

P0U6010F2

P0U6010F3

P0U6010F4

P0U6010F5

P0U6010F6

P0U6010F7

P0U6010F8

P0U6010G1

P0U6010G2

P0U6010G3

P0U6010G4

P0U6010G5

P0U6010G6

P0U6010G7

P0U6010G8

P0U6010H1

P0U6010H2

P0U6010H3

P0U6010H4

P0U6010H5

P0U6010H6

P0U6010H7

P0U6010H8

N0P\E\N\I\R\Q\

N0D000CLK

N0D010CLK

N0D3

N0D4

N0D5

N0D6

N0D7

N0D8

N0D9

N0D10

N0D13

N0D14

N0D15

N0D16

N0D17

N0D18

N0D25

N0D26

N0D27

N0D28

N0D29

N0D30

N0D31

N0D32

N0D33

N0D35

N0D36

N0D37

N0D38

N0DCLKO0

N0DCLKO1

N0DE0 N0DE1

N0DOUTB0(2)

N0DOUTB0(3)

N0DOUTB0(4)

N0DOUTB0(5)

N0DOUTB0(6)

N0DOUTB0(7)

N0DOUTB1(2)

N0DOUTB1(3)

N0DOUTB1(4)

N0DOUTB1(5)

N0DOUTB1(6)

N0DOUTB1(7)

N0DOUTG0(2)

N0DOUTG0(3)

N0DOUTG0(4)

N0DOUTG0(5)

N0DOUTG0(6)

N0DOUTG0(7)

N0DOUTG1(2)

N0DOUTG1(3)

N0DOUTG1(4)

N0DOUTG1(5)

N0DOUTG1(6)

N0DOUTG1(7)

N0DOUTR0(2)

N0DOUTR0(3)

N0DOUTR0(4)

N0DOUTR0(5)

N0DOUTR0(6)

N0DOUTR0(7)

N0DOUTR1(2)

N0DOUTR1(3)

N0DOUTR1(4)

N0DOUTR1(5)

N0DOUTR1(6)

N0DOUTR1(7)

N0GPIO0PD(13)

N0GPIO0PD(14)

N0GPIO0PD(15)

N0GV0 N0GV1

N0HSYNC0 N0HSYNC1

N0I2C0SCL0 N0I2C0SCL1

N0I2C0SDA0 N0I2C0SDA1

N0INT0A(2)

N0INT0A(3)

N0LEDCTRL

N0MEM0EA(1)

N0MEM0EA(2)

N0MEM0EA(3)

N0MEM0EA(4)

N0MEM0EA(5)

N0MEM0EA(6)

N0MEM0EA(7)

N0MEM0EA(8)

N0MEM0EA(9)

N0MEM0EA(10)

N0MEM0EA(11)

N0MEM0EA(12)

N0MEM0EA(13)

N0MEM0EA(14)

N0MEM0EA(15)

N0MEM0EA(16)

N0MEM0EA(17)

N0MEM0EA(18)

N0MEM0EA(19)

N0MEM0EA(20)

N0MEM0EA(21)

N0MEM0EA(22)

N0MEM0EA(23)

N0MEM0EA(24)

N0MEM0ED(0)

N0MEM0ED(1)

N0MEM0ED(2)

N0MEM0ED(3)

N0MEM0ED(4)

N0MEM0ED(5)

N0MEM0ED(6)

N0MEM0ED(7)

N0MEM0ED(8)

N0MEM0ED(9)

N0MEM0ED(10)

N0MEM0ED(11)

N0MEM0ED(12)

N0MEM0ED(13)

N0MEM0ED(14)

N0MEM0ED(15)

N0MEM0RDY

N0MEM0XCS(4)

N0MEM0XRD

N0MEM0XWR(0)

N0PWCTRL

N0PWM0O3

N0VSYNC0 N0VSYNC1

N0XL

N0XR

N0XRST

N0YD

N0YU

P0C60901

P0C60902 P0C61001

P0C61002 P0C61101

P0C61102 P0C61201

P0C61202 P0C61301

P0C61302

P0Cd101

P0Cd102 P0Cd201

P0Cd202

P0Cd301 P0Cd302

P0Cd401

P0Cd402 P0Cd501

P0Cd502

P0J0dis001

P0J0dis002

P0J0dis003

P0J0dis004

P0J0dis005

P0J0dis006

P0J0dis007

P0J0dis008

P0J0dis009

P0J0dis0010

P0J0dis0011

P0J0dis0012

P0J0dis0013

P0J0dis0014

P0J0dis0015

P0J0dis0016

P0J0dis0017

P0J0dis0018

P0J0dis0019

P0J0dis0020

P0J0dis0021

P0J0dis0022

P0J0dis0023

P0J0dis0024

P0J0dis0025

P0J0dis0026

P0J0dis0027

P0J0dis0028

P0J0dis0029

P0J0dis0030

P0J0dis0031

P0J0dis0032

P0J0dis0033

P0J0dis0034

P0J0dis0035

P0J0dis0036

P0J0dis0037

P0J0dis0038

P0J0dis0039

P0J0dis0040

P0J0dis00FFC01

P0J0dis00FFC02

P0J0dis00FFC03

P0J0dis00FFC04

P0J0dis00FFC05

P0J0dis00FFC06

P0J0dis00FFC07

P0J0dis00FFC08

P0J0dis00FFC09

P0J0dis00FFC010

P0J0dis00FFC011

P0J0dis00FFC012

P0J0dis00FFC013

P0J0dis00FFC014

P0J0dis00FFC015

P0J0dis00FFC016

P0J0dis00FFC017

P0J0dis00FFC018

P0J0dis00FFC019

P0J0dis00FFC020

P0J0dis00FFC021

P0J0dis00FFC022

P0J0dis00FFC023

P0J0dis00FFC024

P0J0dis00FFC025

P0J0dis00FFC026

P0J0dis00FFC027

P0J0dis00FFC028

P0J0dis00FFC029

P0J0dis00FFC030

P0J0dis00FFC031

P0J0dis00FFC032

P0J0dis00FFC033

P0J0dis00FFC034

P0J0dis00FFC035

P0J0dis00FFC036

P0J0dis00FFC037

P0J0dis00FFC038

P0J0dis00FFC039

P0J0dis00FFC040

P0J0dis101

P0J0dis102

P0J0dis103

P0J0dis104

P0J0dis105

P0J0dis106

P0J0dis107

P0J0dis108

P0J0dis109

P0J0dis1010

P0J0dis1011

P0J0dis1012

P0J0dis1013

P0J0dis1014

P0J0dis1015

P0J0dis1016

P0J0dis1017

P0J0dis1018

P0J0dis1019

P0J0dis1020

P0J0dis1021

P0J0dis1022

P0J0dis1023

P0J0dis1024

P0J0dis1025

P0J0dis1026

P0J0dis1027

P0J0dis1028

P0J0dis1029

P0J0dis1030

P0J0dis1031

P0J0dis1032

P0J0dis1033

P0J0dis1034

P0J0dis1035

P0J0dis1036

P0J0dis1037

P0J0dis1038

P0J0dis1039

P0J0dis1040

P0R60001

P0R60002

P0R60101 P0R60102

P0R60201

P0R60202

P0R60301

P0R60302

P0R60401 P0R60402

P0Rd101 P0Rd102 P0Rd10101 P0Rd10102

P0Rd201 P0Rd202 P0Rd20101 P0Rd20102

P0Rd301 P0Rd302

P0Rd401 P0Rd402 P0Rd501 P0Rd502

P0Rd601 P0Rd602

P0Rd701 P0Rd702

P0Rd801 P0Rd802

P0Rd901 P0Rd902

P0Rd1001

P0Rd1002

P0Rd1101

P0Rd1102

P0Rd1201

P0Rd1202

P0Rd1301

P0Rd1302

P0Rd1401 P0Rd1402

P0Rd1701 P0Rd1702

P0Rd1801

P0Rd1802

P0Rd1901 P0Rd1902

P0Rd3101 P0Rd3102

P0Ryd101

P0Ryd102

P0Ryd103

P0Ryd104 P0Ryd105

P0Ryd106

P0Ryd107

P0Ryd108

P0Ryd201

P0Ryd202

P0Ryd203

P0Ryd204 P0Ryd205

P0Ryd206

P0Ryd207

P0Ryd208

P0Ryd301

P0Ryd302

P0Ryd303

P0Ryd304 P0Ryd305

P0Ryd306

P0Ryd307

P0Ryd308

P0Ryd401

P0Ryd402

P0Ryd403

P0Ryd404 P0Ryd405

P0Ryd406

P0Ryd407

P0Ryd408

P0Ryd501

P0Ryd502

P0Ryd503

P0Ryd504 P0Ryd505

P0Ryd506

P0Ryd507

P0Ryd508

P0Ryd601

P0Ryd602

P0Ryd603

P0Ryd604 P0Ryd605

P0Ryd606

P0Ryd607

P0Ryd608

P0Ryd1101

P0Ryd1102

P0Ryd1103

P0Ryd1104 P0Ryd1105

P0Ryd1106

P0Ryd1107

P0Ryd1108

P0Ryd2101

P0Ryd2102

P0Ryd2103

P0Ryd2104 P0Ryd2105

P0Ryd2106

P0Ryd2107

P0Ryd2108

P0Ryd3101

P0Ryd3102

P0Ryd3103

P0Ryd3104 P0Ryd3105

P0Ryd3106

P0Ryd3107

P0Ryd3108

P0Ryd4101

P0Ryd4102

P0Ryd4103

P0Ryd4104 P0Ryd4105

P0Ryd4106

P0Ryd4107

P0Ryd4108

P0Ryd5101

P0Ryd5102

P0Ryd5103

P0Ryd5104 P0Ryd5105

P0Ryd5106

P0Ryd5107

P0Ryd5108

P0Ryd6101

P0Ryd6102

P0Ryd6103

P0Ryd6104 P0Ryd6105

P0Ryd6106

P0Ryd6107

P0Ryd6108

P0U101

P0U102

P0U103

P0U104

P0U105

P0U106

P0U107

P0U108

P0U6000A1

P0U6000A2

P0U6000A3 P0U6000B1

P0U6000B2

P0U6000B3 P0U6000C1

P0U6000C2

P0U6000C3 P0U6000D1

P0U6000D2

P0U6000D3

P0U6010A1

P0U6010A2

P0U6010A3

P0U6010A4

P0U6010A5

P0U6010A6

P0U6010A7

P0U6010A8

P0U6010B1

P0U6010B2

P0U6010B3

P0U6010B4

P0U6010B5

P0U6010B6

P0U6010B7

P0U6010B8

P0U6010C1

P0U6010C2

P0U6010C3

P0U6010C4

P0U6010C5

P0U6010C6

P0U6010C7

P0U6010C8

P0U6010D1

P0U6010D2

P0U6010D3

P0U6010D4

P0U6010D5

P0U6010D6

P0U6010D7

P0U6010D8

P0U6010E1

P0U6010E2 P0U6010E3

P0U6010E4

P0U6010E5

P0U6010E6

P0U6010E7

P0U6010E8

P0U6010F1

P0U6010F2

P0U6010F3

P0U6010F4

P0U6010F5

P0U6010F6

P0U6010F7

P0U6010F8

P0U6010G1

P0U6010G2

P0U6010G3

P0U6010G4

P0U6010G5

P0U6010G6

P0U6010G7

P0U6010G8

P0U6010H1

P0U6010H2

P0U6010H3

P0U6010H4

P0U6010H5

P0U6010H6

P0U6010H7

P0U6010H8

N0P\E\N\I\R\Q\

N0D000CLK

N0D010CLK

N0D3

N0D4

N0D5

N0D6

N0D7

N0D8

N0D9

N0D10

N0D13

N0D14

N0D15

N0D16

N0D17

N0D18

N0D25

N0D26

N0D27

N0D28

N0D29

N0D30

N0D31

N0D32

N0D33

N0D35

N0D36

N0D37

N0D38

N0LEDCTRL

N0PWCTRL

N0XL

N0XR

N0YD

N0YU

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

D D

C C

B B

A A

8 8

various interfaces

interfaces_1.SchDoc

Title

Checked:

Description:

Date:

File: Sheet of

SK-86R03-01 :Jade-L GDC Starter Kit

FS AAuthor: Date: Revision:

Alte Landstrasse 25D-85521 OttobrunnGermany

Version:2v3

Smartest GmbH

vari

ous i

nter

face

sSK

-86R

03-0

1 :J

ade-

L G

DC

Sta

rter

Kit

19/03/2010

HHoe 08.02.2010

1 23 45 67 89 10

11 1213 1415 1617 1819 20

J_JTAG

Molex 15-91-0200

R70010k

R70310k

R70810k

R71110k

R71310k

R70910k

R71210k

R71410k

C703

100n

DGND

DGND

XTRSTTDITMSTCKRTCKTDOXSRST

3V3_JTAG

R71510k0

R71610k0

R71710k0

R71810k0

DGND

R72310k0

R7240R0

R72510k0

R7260R0

R72110k0

R7220R0

R72710k0

R7280R0

R7310R0

R73010k0

R736

4k7

R7370R0

R7390R0

R741open

R7430R0

3V3_Vdde

3V3_Vdde

1

2

3

4 5

6

7

8DIPSW701

CT 219-4-LPST

CRIPM0CRIPM1CRIPM2CRIPM3

MPX_MODE_1(0)

MPX_MODE_1(1)

MPX_MODE_5(0)

MPX_MODE_5(1)

VINITHIPLLBYPASSBIGEND

MCKE_STARTDDRTYPE

OCD

ODT R801

200RR802

200R

1V8_DDR_Vdde

I2C_SCL0I2C_SCL1

I2C_SDA0

I2C_SDA1

XTRST

PLLTDTRST

VPD

1 2 3 45678 Ry700

YC124-4k7

1234 5

678

Ry701

YC124-4k7

1234 5

678

Ry702

YC124-4k7

12345

678

Ry703

YC124-4k7

DGND

3V3_Vdde

XSRST

MEM_RDYMEM_RDY has a 4k7 pullup ( R602)

XSRST has a 10k pullup ( R700)

on flash sheet

on JTAG connector

JTAGSEL

TESTMODE(0)TESTMODE(1)

TESTMODE(2)

R719

4k7

XTRST has a 10k pullup ( R713)

on JTAG connector

DGND

1 23 45 67 89 10

J_trace

FTSH-105-01-F-DV-P

R745 33RTRACECLKTRACECTL TRACEDATA(0)

TRACEDATA(1)TRACEDATA(2)TRACEDATA(3)

DGND

AD_VRH0

AD_VRL0

AD_VRH1

AD_VRL1

INT_A(0)INT_A(1)

INT_A(2)INT_A(3)

C710

27p

C709

27p

C1+1 V+ 2

C1-3

C2+4

C2-5

V- 6

T1_in7

T2_in8

T3_in10

invalid9

forc

eon

11

R3out12

R2out13

R1out14

VL

15

R1in 18

R2in 17

R3in 16

T3out 19

T2out 20

T1out 21G

ND

22V

cc23

forc

eoff

24

25

U700MAX3387E

L700BLM18PG600SN1

L701

BLM18PG600SN1

C705100n

C706100n

C708

100n

C707

100n

C704100n

DGND

DGND

3V3_RS232

DGND

UART_SIN0

UART_SOUT0

paddle

1 2

3 4

5 6

7 8

9 10

J_RS232

RS232_connDGND

DGND

3V3_RS232Auto-shutdown disabled !

UART_XCTS0

UART_XRTS0

B_UART_SIN1

B_UART_SOUT1

UART_SIN1

UART_SOUT1

RS232 levels RS232 levels

R74910R0

R75110k0

R75310R0

AGND

3V3_ADC

R75010R0

R75210k0

R75410R0

AGND

3V3_ADC

1

2

3

4

Sw700

B3S-1000

1

2

3

4

Sw701

B3S-1000

1

2

3

4

Sw702

B3S-1000

R755470R

D700LED_green

R756470R

D701LED_green

1

2

3

Q7002SK3018

1

2

3

Q7012SK3018

DGND

R757470R

D702LED_green

1

2

3

Q7022SK3018

GPIO_PD(16) GPIO_PD(17) GPIO_PD(18)

GPIO_PD(19) GPIO_PD(20) GPIO_PD(21)R758100k

R759100k

R760100k

5V_reg

R76110k

R76210k

R76310k

C71110p

C71210p

C71310p

3V3

DGND

R701 10k0R704 10k0R710 10k0

DGND

R729

10k0

R732 0R0R733 33RR734 0R0

R735 0R0I2S_SCK2I2S_WS2 I2S_SDI2

I2S_SDO2

1 23 45 67 89 10

11 1213 1415 1617 1819 2021 2223 2425 2627 2829 3031 3233 3435 3637 3839 40

J_periph1

FTSH-120

1 23 45 67 89 10

11 1213 1415 1617 1819 2021 2223 2425 2627 2829 3031 3233 3435 3637 3839 40

J_periph2

FTSH-120

PWM_O0

PWM_O2

PWM_O1

PWM_O3

I2S_ECLK1I2S_SCK1

I2S_WS1I2S_SDI1

I2S_SDO1

I2S_ECLK2

I2C_SCL0 I2C_SDA0I2C_SCL1 I2C_SDA1

AD_VIN0AD_VR0AD_VIN1AD_VR1

R720 33RR744 33R

UART_SIN2UART_SOUT2

VINVSYNC0VINHSYNC0VINFID0

R748 33R

R746 33RR747 33R

GPIO_PD(13)GPIO_PD(14)GPIO_PD(15)GPIO_PD(16)GPIO_PD(17)

GPIO_PD(18)

GPIO_PD(19)

GPIO_PD(20)

GPIO_PD(21)GPIO_PD(22)GPIO_PD(23)

B_UART_SIN1 B_UART_SOUT1

DGND

INT_A(0) INT_A(1)INT_A(2)INT_A(3)

1V8

1V2

3V3

5V_reg

F700PTCF701PTCF702PTCF703PTC

DGND

AD_VRH0AD_VRL0AD_VRH1AD_VRL1

3V3_Vdde

SD_CLK SD_CMDSD_DAT(0)SD_DAT(1)

SD_DAT(2)SD_DAT(3)

SD_WPSD_XMCD

CAN_RX0CAN_RX1 CAN_TX0

CAN_TX1

CCLK0

CCLK1VINFID1

VIN0(0)

VIN0(1) VIN0(2)

VIN0(3)VIN0(4)

VIN0(5) VIN0(6)

VIN0(7)

VIN1(0)VIN1(1)

VIN1(2)

VIN1(3)VIN1(4)

VIN1(5)VIN1(6)VIN1(7)

VINVSYNC1VINHSYNC1

1 23 45 67 89 10

11 1213 1415 1617 1819 20

J_periph3

FTSH-110

DGND

DGND

DGND

DGND

DGND

P0C70301

P0C70302

P0C70401

P0C70402

P0C70501

P0C70502

P0C70601

P0C70602

P0C70701 P0C70702

P0C70801 P0C70802

P0C70901 P0C70902

P0C71001

P0C71002

P0C71101

P0C71102

P0C71201

P0C71202

P0C71301

P0C71302

P0D7000A

P0D7000K P0D7010A

P0D7010K P0D7020A

P0D7020K

P0DIPSW70101

P0DIPSW70102

P0DIPSW70103

P0DIPSW70104 P0DIPSW70105

P0DIPSW70106

P0DIPSW70107

P0DIPSW70108

P0F70001 P0F70002

P0F70101 P0F70102

P0F70201 P0F70202

P0F70301 P0F70302

P0J0JTAG01 P0J0JTAG02

P0J0JTAG03 P0J0JTAG04

P0J0JTAG05 P0J0JTAG06

P0J0JTAG07 P0J0JTAG08

P0J0JTAG09 P0J0JTAG010

P0J0JTAG011 P0J0JTAG012

P0J0JTAG013 P0J0JTAG014

P0J0JTAG015 P0J0JTAG016

P0J0JTAG017 P0J0JTAG018

P0J0JTAG019 P0J0JTAG020

P0J0periph101 P0J0periph102

P0J0periph103 P0J0periph104

P0J0periph105 P0J0periph106

P0J0periph107 P0J0periph108

P0J0periph109 P0J0periph1010

P0J0periph1011 P0J0periph1012

P0J0periph1013 P0J0periph1014

P0J0periph1015 P0J0periph1016

P0J0periph1017 P0J0periph1018

P0J0periph1019 P0J0periph1020

P0J0periph1021 P0J0periph1022

P0J0periph1023 P0J0periph1024

P0J0periph1025 P0J0periph1026

P0J0periph1027 P0J0periph1028

P0J0periph1029 P0J0periph1030

P0J0periph1031 P0J0periph1032

P0J0periph1033 P0J0periph1034

P0J0periph1035 P0J0periph1036

P0J0periph1037 P0J0periph1038

P0J0periph1039 P0J0periph1040

P0J0periph201 P0J0periph202

P0J0periph203 P0J0periph204

P0J0periph205 P0J0periph206

P0J0periph207 P0J0periph208

P0J0periph209 P0J0periph2010

P0J0periph2011 P0J0periph2012

P0J0periph2013 P0J0periph2014

P0J0periph2015 P0J0periph2016

P0J0periph2017 P0J0periph2018

P0J0periph2019 P0J0periph2020

P0J0periph2021 P0J0periph2022

P0J0periph2023 P0J0periph2024

P0J0periph2025 P0J0periph2026

P0J0periph2027 P0J0periph2028

P0J0periph2029 P0J0periph2030

P0J0periph2031 P0J0periph2032

P0J0periph2033 P0J0periph2034

P0J0periph2035 P0J0periph2036

P0J0periph2037 P0J0periph2038

P0J0periph2039 P0J0periph2040

P0J0periph301 P0J0periph302

P0J0periph303 P0J0periph304

P0J0periph305 P0J0periph306

P0J0periph307 P0J0periph308

P0J0periph309 P0J0periph3010

P0J0periph3011 P0J0periph3012

P0J0periph3013 P0J0periph3014

P0J0periph3015 P0J0periph3016

P0J0periph3017 P0J0periph3018

P0J0periph3019 P0J0periph3020

P0J0RS23201 P0J0RS23202

P0J0RS23203 P0J0RS23204

P0J0RS23205 P0J0RS23206

P0J0RS23207 P0J0RS23208

P0J0RS23209 P0J0RS232010

P0J0trace01 P0J0trace02

P0J0trace03 P0J0trace04

P0J0trace05 P0J0trace06

P0J0trace07 P0J0trace08

P0J0trace09 P0J0trace010

P0L70001 P0L70002

P0L70101 P0L70102

P0Q70001

P0Q70002

P0Q70003

P0Q70101

P0Q70102

P0Q70103

P0Q70201

P0Q70202

P0Q70203

P0R70001

P0R70002

P0R70101 P0R70102

P0R70301

P0R70302

P0R70401 P0R70402

P0R70801

P0R70802

P0R70901

P0R70902

P0R71001 P0R71002

P0R71101

P0R71102

P0R71201

P0R71202

P0R71301

P0R71302

P0R71401

P0R71402

P0R71501

P0R71502

P0R71601

P0R71602

P0R71701

P0R71702

P0R71801

P0R71802

P0R71901 P0R71902

P0R72001 P0R72002

P0R72101

P0R72102

P0R72201

P0R72202

P0R72301

P0R72302

P0R72401

P0R72402

P0R72501

P0R72502

P0R72601

P0R72602

P0R72701

P0R72702

P0R72801

P0R72802

P0R72901 P0R72902

P0R73001

P0R73002

P0R73101

P0R73102

P0R73201 P0R73202

P0R73301 P0R73302

P0R73401 P0R73402

P0R73501 P0R73502

P0R73601 P0R73602

P0R73701

P0R73702

P0R73901

P0R73902

P0R74101

P0R74102

P0R74301

P0R74302

P0R74401 P0R74402

P0R74501 P0R74502

P0R74601 P0R74602

P0R74701 P0R74702

P0R74801 P0R74802

P0R74901

P0R74902

P0R75001

P0R75002

P0R75101

P0R75102

P0R75201

P0R75202

P0R75301

P0R75302

P0R75401

P0R75402

P0R75501

P0R75502

P0R75601

P0R75602

P0R75701

P0R75702

P0R75801

P0R75802

P0R75901

P0R75902

P0R76001

P0R76002

P0R76101

P0R76102

P0R76201

P0R76202

P0R76301

P0R76302

P0R80101 P0R80102

P0R80201 P0R80202

P0Ry70001

P0Ry70002

P0Ry70003

P0Ry70004

P0Ry70005

P0Ry70006

P0Ry70007

P0Ry70008

P0Ry70101

P0Ry70102

P0Ry70103

P0Ry70104 P0Ry70105

P0Ry70106

P0Ry70107

P0Ry70108

P0Ry70201

P0Ry70202

P0Ry70203

P0Ry70204 P0Ry70205

P0Ry70206

P0Ry70207

P0Ry70208

P0Ry70301

P0Ry70302

P0Ry70303

P0Ry70304 P0Ry70305

P0Ry70306

P0Ry70307

P0Ry70308

P0Sw70001

P0Sw70002

P0Sw70003

P0Sw70004

P0Sw70101

P0Sw70102

P0Sw70103

P0Sw70104

P0Sw70201

P0Sw70202

P0Sw70203

P0Sw70204

P0U70001 P0U70002

P0U70003

P0U70004

P0U70005

P0U70006

P0U70007

P0U70008

P0U70009

P0U700010

P0U700011

P0U700012

P0U700013

P0U700014

P0U700015

P0U700016

P0U700017

P0U700018

P0U700019

P0U700020

P0U700021

P0U700022

P0U700023

P0U700024

P0U700025

N0AD0VIN0

N0AD0VIN1

N0AD0VR0

N0AD0VR1 N0AD0VRH0 N0AD0VRH1

N0AD0VRL0 N0AD0VRL1

N0BIGEND

N0B0UART0SIN1

N0B0UART0SOUT1

N0CAN0RX0

N0CAN0RX1 N0CAN0TX0

N0CAN0TX1

N0CCLK0

N0CCLK1

N0CRIPM0

N0CRIPM1

N0CRIPM2

N0CRIPM3

N0DDRTYPE

N0GPIO0PD(13)

N0GPIO0PD(14) N0GPIO0PD(15)

N0GPIO0PD(16) N0GPIO0PD(17)

N0GPIO0PD(18)

N0GPIO0PD(19)

N0GPIO0PD(20)

N0GPIO0PD(21)

N0GPIO0PD(22) N0GPIO0PD(23)

N0I2C0SCL0

N0I2C0SCL1

N0I2C0SDA0

N0I2C0SDA1

N0I2S0ECLK1

N0I2S0ECLK2

N0I2S0SCK1

N0I2S0SCK2

N0I2S0SDI1

N0I2S0SDI2

N0I2S0SDO1

N0I2S0SDO2

N0I2S0WS1

N0I2S0WS2

N0INT0A(0) N0INT0A(1)

N0INT0A(2) N0INT0A(3)

N0JTAGSEL

N0MCKE0START

N0MEM0RDY

N0MPX0MODE01(0)

N0MPX0MODE01(1)

N0MPX0MODE05(0)

N0MPX0MODE05(1)

N0OCD

N0ODT

N0PADDLE

N0PLLBYPASS

N0PLLTDTRST

N0PWM0O0 N0PWM0O1

N0PWM0O2 N0PWM0O3

N0RTCK

N0SD0CLK N0SD0CMD

N0SD0DAT(0) N0SD0DAT(1)

N0SD0DAT(2)

N0SD0DAT(3)

N0SD0WP

N0SD0XMCD

N0TCK

N0TDI

N0TDO

N0TESTMODE(0)

N0TESTMODE(1)

N0TESTMODE(2)

N0TMS

N0TRACECLK

N0TRACECTL N0TRACEDATA(0)

N0TRACEDATA(1)

N0TRACEDATA(2)

N0TRACEDATA(3)

N0UART0SIN0

N0UART0SIN1

N0UART0SIN2

N0UART0SOUT0

N0UART0SOUT1

N0UART0SOUT2

N0UART0XCTS0

N0UART0XRTS0

N0VIN0(0)

N0VIN0(1) N0VIN0(2)

N0VIN0(3)

N0VIN0(4)

N0VIN0(5) N0VIN0(6)

N0VIN0(7)

N0VIN1(0)

N0VIN1(1)

N0VIN1(2)

N0VIN1(3)

N0VIN1(4)

N0VIN1(5) N0VIN1(6)

N0VIN1(7)

N0VINFID0

N0VINFID1

N0VINHSYNC0

N0VINHSYNC1

N0VINITHI

N0VINVSYNC0

N0VINVSYNC1

N0VPD

N0XSRST

N0XTRST

P0C70301

P0C70302

P0C70401

P0C70402

P0C70501

P0C70502

P0C70601

P0C70602

P0C70701 P0C70702

P0C70801 P0C70802

P0C70901 P0C70902

P0C71001

P0C71002

P0C71101

P0C71102

P0C71201

P0C71202

P0C71301

P0C71302

P0D7000A

P0D7000K P0D7010A

P0D7010K P0D7020A

P0D7020K

P0DIPSW70101

P0DIPSW70102

P0DIPSW70103

P0DIPSW70104 P0DIPSW70105

P0DIPSW70106

P0DIPSW70107

P0DIPSW70108

P0F70001 P0F70002

P0F70101 P0F70102

P0F70201 P0F70202

P0F70301 P0F70302

P0J0JTAG01 P0J0JTAG02

P0J0JTAG03 P0J0JTAG04

P0J0JTAG05 P0J0JTAG06

P0J0JTAG07 P0J0JTAG08

P0J0JTAG09 P0J0JTAG010

P0J0JTAG011 P0J0JTAG012

P0J0JTAG013 P0J0JTAG014

P0J0JTAG015 P0J0JTAG016

P0J0JTAG017 P0J0JTAG018

P0J0JTAG019 P0J0JTAG020

P0J0periph101 P0J0periph102

P0J0periph103 P0J0periph104

P0J0periph105 P0J0periph106

P0J0periph107 P0J0periph108

P0J0periph109 P0J0periph1010

P0J0periph1011 P0J0periph1012

P0J0periph1013 P0J0periph1014

P0J0periph1015 P0J0periph1016

P0J0periph1017 P0J0periph1018

P0J0periph1019 P0J0periph1020

P0J0periph1021 P0J0periph1022

P0J0periph1023 P0J0periph1024

P0J0periph1025 P0J0periph1026

P0J0periph1027 P0J0periph1028

P0J0periph1029 P0J0periph1030

P0J0periph1031 P0J0periph1032

P0J0periph1033 P0J0periph1034

P0J0periph1035 P0J0periph1036

P0J0periph1037 P0J0periph1038

P0J0periph1039 P0J0periph1040

P0J0periph201 P0J0periph202

P0J0periph203 P0J0periph204

P0J0periph205 P0J0periph206

P0J0periph207 P0J0periph208

P0J0periph209 P0J0periph2010

P0J0periph2011 P0J0periph2012

P0J0periph2013 P0J0periph2014

P0J0periph2015 P0J0periph2016

P0J0periph2017 P0J0periph2018

P0J0periph2019 P0J0periph2020

P0J0periph2021 P0J0periph2022

P0J0periph2023 P0J0periph2024

P0J0periph2025 P0J0periph2026

P0J0periph2027 P0J0periph2028

P0J0periph2029 P0J0periph2030

P0J0periph2031 P0J0periph2032

P0J0periph2033 P0J0periph2034

P0J0periph2035 P0J0periph2036

P0J0periph2037 P0J0periph2038

P0J0periph2039 P0J0periph2040

P0J0periph301 P0J0periph302

P0J0periph303 P0J0periph304

P0J0periph305 P0J0periph306

P0J0periph307 P0J0periph308

P0J0periph309 P0J0periph3010

P0J0periph3011 P0J0periph3012

P0J0periph3013 P0J0periph3014

P0J0periph3015 P0J0periph3016

P0J0periph3017 P0J0periph3018

P0J0periph3019 P0J0periph3020

P0J0RS23201 P0J0RS23202

P0J0RS23203 P0J0RS23204

P0J0RS23205 P0J0RS23206

P0J0RS23207 P0J0RS23208

P0J0RS23209 P0J0RS232010

P0J0trace01 P0J0trace02

P0J0trace03 P0J0trace04

P0J0trace05 P0J0trace06

P0J0trace07 P0J0trace08

P0J0trace09 P0J0trace010

P0L70001 P0L70002

P0L70101 P0L70102

P0Q70001

P0Q70002

P0Q70003

P0Q70101

P0Q70102

P0Q70103

P0Q70201

P0Q70202

P0Q70203

P0R70001

P0R70002

P0R70101 P0R70102

P0R70301

P0R70302

P0R70401 P0R70402

P0R70801

P0R70802

P0R70901

P0R70902

P0R71001 P0R71002

P0R71101

P0R71102

P0R71201

P0R71202

P0R71301

P0R71302

P0R71401

P0R71402

P0R71501

P0R71502

P0R71601

P0R71602

P0R71701

P0R71702

P0R71801

P0R71802

P0R71901 P0R71902

P0R72001 P0R72002

P0R72101

P0R72102

P0R72201

P0R72202

P0R72301

P0R72302

P0R72401

P0R72402

P0R72501

P0R72502

P0R72601

P0R72602

P0R72701

P0R72702

P0R72801

P0R72802

P0R72901 P0R72902

P0R73001

P0R73002

P0R73101

P0R73102

P0R73201 P0R73202

P0R73301 P0R73302

P0R73401 P0R73402

P0R73501 P0R73502

P0R73601 P0R73602

P0R73701

P0R73702

P0R73901

P0R73902

P0R74101

P0R74102

P0R74301

P0R74302

P0R74401 P0R74402

P0R74501 P0R74502

P0R74601 P0R74602

P0R74701 P0R74702

P0R74801 P0R74802

P0R74901

P0R74902

P0R75001

P0R75002

P0R75101

P0R75102

P0R75201

P0R75202

P0R75301

P0R75302

P0R75401

P0R75402

P0R75501

P0R75502

P0R75601

P0R75602

P0R75701

P0R75702

P0R75801

P0R75802

P0R75901

P0R75902

P0R76001

P0R76002

P0R76101

P0R76102

P0R76201

P0R76202

P0R76301

P0R76302

P0R80101 P0R80102

P0R80201 P0R80202

P0Ry70001

P0Ry70002

P0Ry70003

P0Ry70004

P0Ry70005

P0Ry70006

P0Ry70007

P0Ry70008

P0Ry70101

P0Ry70102

P0Ry70103

P0Ry70104 P0Ry70105

P0Ry70106

P0Ry70107

P0Ry70108

P0Ry70201

P0Ry70202

P0Ry70203

P0Ry70204 P0Ry70205

P0Ry70206

P0Ry70207

P0Ry70208

P0Ry70301

P0Ry70302

P0Ry70303

P0Ry70304 P0Ry70305

P0Ry70306

P0Ry70307

P0Ry70308

P0Sw70001

P0Sw70002

P0Sw70003

P0Sw70004

P0Sw70101

P0Sw70102

P0Sw70103

P0Sw70104

P0Sw70201

P0Sw70202

P0Sw70203

P0Sw70204

P0U70001 P0U70002

P0U70003

P0U70004

P0U70005

P0U70006

P0U70007

P0U70008

P0U70009

P0U700010

P0U700011

P0U700012

P0U700013

P0U700014

P0U700015

P0U700016

P0U700017

P0U700018

P0U700019

P0U700020

P0U700021

P0U700022

P0U700023

P0U700024

P0U700025

N0B0UART0SIN1

N0B0UART0SOUT1

N0PADDLE