22
President’s Report General Topics New AVS Members Remembering AVS Members International Symposium and Exhibition First Announcements Conference Reports Meetings Calendar SemiZone-AVS Fellowship Program The AVS has partnered with SemiZone, a company that provides online learning resources for technical topics of interest to the semiconductor industry. The AVS will award a $1,000 ³SemiZone-AVS Fellowship² to a qualified AVS member. These fellowships entitle a recipient to enroll in SemiZone courses free of charge with a total value not to exceed $1,000. For more details click on General Topics. AVS 51st International Symposium and Exhibition The AVS 51st International Symposium Call for Papers is currently being distributed. A list of the topical conferences, special sessions and topics for the meeting can be found by clicking on International Symposium and Exhibition. Image Credit: The surface of a 4 μm-thick GaSb film grown on a GaAs(001) substrate by molecular beam epitaxy. The image, with a field of view of approximately 1 μm, reveals the nanometer-scale morphology of the spiral-like structures that grow around threading dislocations in the film (caused by the film's 7% lattice mismatch with the substrate). Each threading dislocation creates a 0.3 nm-height "step" where it emerges at the surface. From work by P. M. Thibado, B. R. Bennett, B. V. Shanabrook, and L. J. Whitman. Graphics by L. J. Whitman. Copyright © AVS 2004. All rights reserved. www.avs.org | Contact AVS | Close Window

Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

President’s Report

General Topics

New AVS Members

Remembering AVS Members

International Symposium and Exhibition

First Announcements

Conference Reports

Meetings Calendar

SemiZone-AVS Fellowship Program The AVS has partnered with SemiZone, a company that provides online learning resources for technical topics of interest to the semiconductor industry. The AVS will award a $1,000 ³SemiZone-AVS Fellowship² to a qualified AVS member. These fellowships entitle a recipient to enroll in SemiZone courses free of charge with a total value not to exceed $1,000. For more details click on General Topics.

AVS 51st International Symposium and Exhibition The AVS 51st International Symposium Call for Papers is currently being distributed. A list of the topical conferences, special sessions and topics for the meeting can be found by clicking on International Symposium and Exhibition.

Image Credit: The surface of a 4 µm-thick GaSb film grown on a GaAs(001) substrate by molecular beam epitaxy. The image, with a field of view of approximately 1 µm, reveals the nanometer-scale morphology of the spiral-like structures that grow around threading dislocations in the film (caused by the film's 7% lattice mismatch with the substrate). Each threading dislocation creates a 0.3 nm-height "step" where it emerges at the surface. From work by P. M. Thibado, B. R. Bennett, B. V. Shanabrook, and L. J. Whitman. Graphics by L. J. Whitman.

Copyright © AVS 2004. All rights reserved.

www.avs.org | Contact AVS | Close Window

Page 2: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

By Robert Childs, 2004 AVS President

It is a great honor that I begin this second half of the first century of AVS as your President, and I look forward to a year of continued renewal in our efforts to make AVS the most diverse and member-useful Society for engineers, research scientists, and manufacturers alike.

As a multidisciplinary group we still have one common denominator that unites us—the need to work with tools that use vacuum, and because of this we can come together talking in very different technical languages and still find ways of helping each other improve our techniques.

It is interesting to note that AVS activities such as our symposia, both national and regional, equipment exhibits, the JVST and SSS, and other reporting methods bring together not only the results of front line research but the engineering that goes into the development of the research and the eventual manufacturing methods that benefit mankind in so many ways.

This makes us unique to other societies in that the interfacing that goes on in our Society by engineers and manufacturers of the equipment and users like research scientists and product manufacturers from all sorts of disciplines such as semiconductor manufacturing, bio interface and sensors, nanotechnology and homeland security is all on the table in one place. This makes it possible for people from outside your particular field to understand your work and give a fresh look at problems you may be trying to solve.

At the November Board of Director's meeting one of the main topics of discussion was the need to make ourselves known more widely through a stronger marketing initiative. To that end, we have revamped the Marketing Committee and intend to make better use of our onboard marketing talent and standardize the image and message we as a Society want to put forward.

Past President, Steve Rossnagel, has volunteered to head up this effort and is already busy gathering ideas and people to make this happen. Della Miller, who heads up our west coast office, is professionally trained in marketing implementation, and looks forward to working with the new committee.

For a number of years Della has guided us individually in our efforts to put out attractive and useful literature and now sees the possibility of a more unified approach to our marketing endeavors that will make AVS a more appealing home to those not yet convinced or aware of the benefits of Society membership. Stayed tuned for reports of their progress in the coming months.

With all the new and wonderful programs started in the last couple of years it is important to take time to thank the people responsible for these efforts. Yes, it is you the volunteers who worked on the various committees and boards that decide the course of AVS. In recent times of economic downturn and national disaster we were blessed to have the extraordinary leadership of Rudy Ludeke and Dawn Bonnell, our most recent Presidents, to bring us through these times and into a Society that is more efficiently run and yet better able to provide the benefits of membership we enjoy.

As most of the decisions are made by these volunteers it could not be accomplished without the exceptionally talented staff in our various AVS offices who implement these programs and let us know when we are going astray. We, the benefactors of these efforts, thank you and I look forward to serving you as your President in the coming year.

Contact AVS | Close Window

Page 3: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

ASTM Surface Analysis Standards Available on CD-ROM

The ASTM Committee E42 on Surface Analysis in collaboration with the AVS Education Committee, has made available a CD-ROM with the ASTM Standards and Guides related to surface analysis.

Twenty-six ASTM Standard Guides and Practices about surface analysis can now be purchased on one CD-ROM for only $50.00, plus postage and handling. A significant savings over the $32 each it costs to download them from ASTM site.

Beginning in 1976, these standards have required thousands of hours of work by hundreds of volunteers and have been done very thoughtfully and carefully by leading scientists, engineers, and technologists—most of whom have been or are now members of the AVS Applied Surface Science Division. The standards are reviewed every five years and those on this CD-ROM are current as of November 2003. Visit https://www.avs.org/education/CD_E42.html or http://www.avs.org to order your copy now.

SemiZone-AVS Fellowship Program

The AVS has partnered with SemiZone, a company that provides online learning resources for technical topics of interest to the semiconductor industry. They provide a very comprehensive list of courses that range from fundamental to advanced topics covering materials, equipment, processes, devices, design, and manufacturing technologies.

These courses and interactive learning tools are provided online, allowing engineers, scientists, technicians, and other industry professionals to access material anytime and anywhere.

The AVS will award a $1,000 “SemiZone-AVS Fellowship” to a qualified AVS member. These fellowships entitle a recipient to enroll in SemiZone courses free of charge with a total value not to exceed $1,000. A fellow must utilize the grant by June 30, 2004. Qualified “SemiZone-AVS Fellowship” recipients are limited to the following individuals:

AVS student members

AVS members who are unemployed and/or are in job transition

AVS members who are full-time employees of academic and other nonprofit organizations (e.g., university faculty, postdoctoral students, etc.).

To apply for this fellowship, submit a letter of application to AVS, Margaret Stringer, 120 Wall Street, 32nd Floor, New York, NY 10005-3993 or [email protected] (e-mail applications are encouraged). In the letter, please include your full name, address, membership status, AVS membership number, professional position, and a paragraph explaining your reasons for wanting a AVS-SemiZone Fellowship. Please apply by April 15, 2004.

AIP Launches Scitation

Scitation, is the next step in the evolution of AIP's Online Journal Publishing Service (OJPS)—the home for more than 110 technical publications from 18 STM publishers and a fixture in the online publishing world since 1996.

Page 4: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

In an average month, Scitation supports more than two million user sessions. Users download more than two million tables of contents, one million abstracts, and one million full-text articles, and perform more than one million searches. Scitation contains approximately 600,000 articles, and grows at the rate of more than 6,000 articles per month.

With the January 2004 relaunch, AIP has introduced a suite of new features designed with the sophisticated sci-tech researcher in mind. Scitation's goal is to enable and empower resource discovery through reference linking. We want you to start your research day at Scitation, and use it as your personal navigator for traversing the ocean of scientific content available online.

From Scitation, you can follow hundreds of thousands of links to abstracts and articles throughout the web. This can be done by using the citation-linking backbones constructed by CrossRef, ISI's Web of Science, and other content aggregators and publishers, as well as through links to dozens of specialized content repositories (such as the arXiv.org e-print archive and INSPEC, among others). Scitation wants to be your link to the content you need.

If you have additional questions about Scitation, please contact Online Services Help Desk, AIP, Suite 1NO1, 2 Huntington Quadrangle, Melville, NY 11747-4502, USA 1-800-874-6383, [email protected].

AVS Online Career Center

If you’re looking to fill or find a job, the AVS Online Job Center is your one-stop shop. Jobseekers and employers alike will find the AVS Online Job Center, which now receives over a million hits from 20,000 unique visitors monthly, to be an invaluable resource.

The AVS Online Job Center contains hundreds of new jobs posted monthly and offers a database of thousands of resumes. It covers all physics fields plus related fields such as materials science, computing, biology, chemistry, and engineering.

Employers

Whether you’re an HR manager or a member of technical staff looking to hire, you can take advantage of valuable services for a low cost. For example, you can:

Post multiple job descriptions

Receive job applications online

Track how often your job is viewed

Fill out an Employer profile section, which allows company information to appear at the bottom of each ad you post.

Create automatic resume alerts-when the perfect person for the job posts her resume

Search the resume database by keyword and geographic location to find someone ideal for the position

Jobseekers

Jobseekers have access to a variety of services that take the hassle out of finding a job that’s tailored to their skills. As a job seeker you can:

Create your online profile once and allow prospective employers to find you, or,

Maintain confidentiality until you are ready to apply for a position

Search jobs by multiple criteria

Apply for jobs directly online to save time and paper

Page 5: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Store multiple copies of resume for different kinds of jobs

Create automatic job alerts-you’ll be contacted as soon as your dream job is posted

If you have any questions about any of the services, please contact us at 301-209-3187, [email protected].

Contact AVS | Close Window

Page 6: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Corporate Members 2004

AVS wishes to thank the following Corporate Members for their support. Corporate Membership benefits include voting privileges, complimentary subscriptions, recognition, promotional opportunities, short course discounts, and more.

For more information on the benefits of becoming a Corporate Member, please contact Angela Klink, [email protected], 212-248-0200, or visit www.avs.org.

Regular Members

A&N Corporation

Altair Technologies, Inc.

Applied Nanotechnologies, Inc.

Kratos Analytical

MKS Instruments, Inc.

nPoint, Inc.

Omicron NanoTechnology USA

Sigma-Aldrich

Ulvac Technologies, Inc.

Vacutron Technologies

Mostafa Abboudi Gary Halada Giuseppe Rombola

Daniel Abraham Mehmet Hancer Madhumita Roy

Jones Alami Chang Chia Hao Ricardo Ruiz

Anita Alanko Philip Henderson Enrique Samano

John Albaugh Kurt Holtrop Mehran Sedigh

M.R. Anantharaman Scott Horst Yuichi Setsuhara

Deniz Armani Harold Hosack Pankaj Shah

Jose Aruiza Herrer Mantu Hudait Ming-Hua Shiao

Tana Arunagiri Yves Idzerda Harsono Simka

Peter Badowski Daniel Janiak Daniel Simon

Lane Baker Ping Jian William Simpson

Raj Bansal Ashish Jindal Julio Soares

Gordon Bease Kevin Kelly Perry Spevack

Susan Beatty Tae Won Kim Harold Stranix

Daniel Bergstrom Jung-Hyung Kim Maki Suemitsu

John Biondi Michael Klick Sriram Sundararajan

Oliver Brand Yenpeng Kong Satyarth Suri

Steven Brown Jon Kretzschmar Takao Suzuki

Richard Brown Sunil Kumar Sergey Sysoev

Leonard Buckley Oleksandr Kutana Gregory Szulczewski

David Cahen Yee Lam Kazuo Takahashi

Yuhong Cai Sang Lee Ashley Taylor

Page 7: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Student Members

Christophe Cardinaud Frederic LePimpec Craig Tewell

Eduard Cartier Laurie LeTarte Uvon Tolbert

Melody Chang Yanxin Li Daniela Topasna

Che-Chen Chang Lingyan Li Gregory Topasna

Shengfu Chen An-Ping Li Audrey Troutt

Xioajian Chen Philip Lippel Jeff Tsao

Xing Chen Jane Liu Chris Vandewalle

W.M. Chen Elena Loginova Steven Vitale

Jinyu Chen Ciro Lopez Raymond Vrtis

Jaeouk Choo Erdong Lu Mike Waits

Oliver Chyan Godet Ludovic Robin Walton

Alvin Compaan Antti Makinen Jun Wang

Karen Cross Nelson Mark David Wang

Christian Debbaut Peter McBreen Fumiya Watanabe

Alan Delahoy David McDonald Wei Hung Wen

Michael Derenge Jennifer McKinley Donald Windover

Anand Deshpande Douglas Meier Sam-Yong Woo

Edward Dudeck Paolo Michelato Mark Wood

Evelyn Ebert Robert Miller Dingjun Wu

Ciro Falcony Henrik Mortensen Dalia Yablon

John Fijol Phuong-Cac Nguyen Joan Yater

Thomas Finnerty Barbara Nichols Dimo Yosifov

James Fitzgerald Babak Nikoobakht Robert Young

Terry Francis Masayasu Nishizawa Henry Yu

Daniel Gamelin Riichiro Ohta Amy Zhang

Weiwei Gao Nobuharu Osako Mikhail Zharnikov

Robert Gardner Kalman Pelhos Xiaowang Zhou

Jayne Garno Brett Piekaarski Zuoming Zhu

Vladimir Gelfandbein Philip Rack John Ziegler

Roland Gooch Alexey Rebrov

Roy Gordon Lisa Robillard

Marvin Albao

David Eon

Guangzhi Liu

Jorg Neidhardt

Reid Orth

Rodrigo Petoral

Indriati Pfeiffer

Xiawan Yang

Page 8: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Richard A. Denton

By Jack Singleton

Richard Denton, a founder member of the Committee on Vacuum Techniques, the forerunner of AVS, died on December 17, 2003.

Dick was a dedicated supporter of the Society from its inception until his death and had recently agreed to serve on its new Development Committee. Despite his considerable stature in the vacuum community he always adopted a very low key approach in his interactions with AVS, but in his work for the Society the results were always delivered on time and on target, and he rarely forgot anything—no matter how trivial.

Dick Denton graduated from MIT with a bachelor’s degree in chemical engineering in 1936, and a master’s degree in economics and chemical engineering the following year. His first job was with General Printing, Inc., in New York City, but following the attack on Pearl Harbor, he noted that the war could hardly be fought by “throwing ink at them” and sought employment in a more appropriate location, the Frankfort Arsenal, in Philadelphia.

It was there that he developed his expertise in optical applications, first in improving the polishing techniques for components, and then in the application of the new and critically important antireflection coatings. In assembling the production facility for these new coatings he worked closely with Tom Scatchard, and following the war Dick and Tom founded Optical Film Engineering Company, in Philadelphia , which was later sold to Kinney Vacuum, in 1956.

Having worked for Kinney for a number of years, Dick founded Denton Vacuum in 1964, which continues as a very successful enterprise.

Dick Denton twice served as a Director of the Society, first during the crucial early years, 1959 to 1961, and later in 1971 and 1972. He was a founder of the Delaware Valley Section (later Chapter) in 1964, and also of the Vacuum Technology Division, in 1969—serving as the first chair of each of these groups.

He also served as the chair of the Future Sites and Local Arrangements Committees. In 1985, he was made an AVS Honorary Member. Dick was still taking part in the 5K race at the AVS Symposium in 1994, as can be seen in the photograph which accompanies this tribute, where Larry Kazmerski is presenting a 5K award.

A fascinating oral interview with Dick Denton may be found on the Society of Vacuum Coaters Website. Excerpts from an AVS video interview with Dick Denton may be found in the DVD series, “50 Years of Science and Technology and the AVS,” which may be purchased online at www.avs.org.

Contact AVS | Close Window

Page 9: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Call for Papers

November 14-19, 2004 Anaheim Convention Center Anaheim , California

By Dave Castner and Graham Leggett, AVS 2004 Program Chairs

The AVS 51st International Symposium Call for Papers is currently being distributed. A summary of the topical conferences, special sessions and topics for the meeting is listed below. For further details please visit the AVS Website at www.avs.org.

You will see that a wide range of excellent sessions and international invited speakers have been assembled for this year’s symposium. As we enter the second fifty years of AVS symposia the meetings are being infused with the excitement of new research topics such as biomaterials, nanotechnology, microfluidics, and manufacturing—enhancing the traditional strengths of AVS in the areas of materials, instrumentation and surface characterization.

We encourage you to submit an abstract to one of the sessions. Also, please alert your colleagues to the Call for Papers and encourage them to submit an abstract. Electronic submission via the web or e-mail is easy and strongly encouraged.

Numerous AVS student travel, best paper, and research awards are given out each year at the conference. Please take a moment to look over the requirements for these awards. Note that most of these awards have requirements and submission dates that differ from the general abstract submission process.

We look forward to seeing you in Anaheim for what promises to be an outstanding meeting.

Technical Sessions

Advanced Surface Engineering

Applied Surface Science

Biomaterial Interfaces

Electronic Materials and Devices

High-K Dielectrics

Magnetic Interfaces and Nanostructures

MEMS and NEMS

Manufacturing Science and Technology

Nanometer Scale Science and Technology

Organic Films and Devices

Plasma Science and Technology

Semiconductors

Page 10: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Surface Science

Technology for Sustainability

Thin Films

Vacuum Technology

Topical Conferences/Special Sessions

Biomaterials Plenary Session

Exhibitor Workshop

Materials Solutions for Cooling Technology

Science of Semiconductor White Light

Contact AVS | Close Window

Page 11: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

AVS Florida Chapter (FLAVS), Florida Society for Microscopy, and Florida Section of the American Ceramic Society 2004 Annual Joint Symposium March 8-12, 2004 University of Central Florida Student Union Orlando, Florida The Technical Program for this joint symposium is now available online at www.flavs.org. There is no registration fee to attend either the symposium or equipment exhibit but pre-registration is encouraged. Online registration is now available at http://www.flavs.org/RegForm.htm

Equipment Exhibit

The equipment exhibition will be held on Monday and Tuesday, March 8-9, 2004, in the UCF Student Union Pegasus Ballroom, in conjunction with the poster session, reception, and coffee breaks.

The exhibit will be opened from 11:00 a.m. to 7:00 p.m. on Monday, and from 10:00 a.m. to 3:00 p.m. on Tuesday.

Online vendor registration is available at http://www.flavs.org/vendorregform.htm

For more information on the exhibit or to be an exhibitor, please refer to http://www.flavs.org/Equipment.htm or contact Mark Davidson, [email protected], 352-846-2083.

AVS National Short Course Program

Short courses will be offered on March 8-11, 2004 in the areas of applied vacuum technology, surface analysis and materials characterization, and materials, thin films, and coatings.

To register and for more information, please refer to http://www.avs.org/shortcourses/schedule/orlando.html or contact Margaret Stringer, [email protected].

Latin-American School of Electron Microscopy

A special three-day Electron Microscopy course will be offered on March 10-12, 2004. To register or for additional information, please refer to http://www.flavs.org/LASEM.htm or contact Amelia Dempere, [email protected], 352-392-6985, or Gabriel Braunstein, [email protected], 407-823-4192.

High and Middle School Science Teachers Workshop

A day of hands-on education about microscopy (scanning electron and optical) will take place on Wednesday, March 10, 2004, at the Orlando Science Center . Participants will learn how to connect to the SEM located at OSC over the Internet, as well as selected topics on SEM fundamentals, specimen preparation, vacuum science, and optical microscopy. For additional information, please contact Jeff Bindell, [email protected], 407-823-4194.

For more information about the upcoming symposium, please contact Maggie Puga-Lambers, Program Chair, [email protected], 352-392-7973, or visit www.flavs.org.

26th Annual Symposium on Applied Surface Analysis and 15th Annual Symposium of the AVS Pacific Northwest Chapter

Page 12: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

June 15-18, 2004 Pacific Northwest National Laboratory Richland, Washington

The 26th Annual Symposium on Applied Surface Analysis (Surface Analysis ‘04), http://www.emsl.pnl.gov/new/pnwavs2004/ will be held in conjunction with the 15th Annual Symposium of the AVS Pacific Northwest Chapter (PNWAVS). This event will partially overlap with the EMSL User meeting to allow interested parties to attend both meetings. For more information, visit: http://www.emsl.pnl.gov/new/pnwavs2004/.

Surface Analysis ‘04, the annual topical conference sponsored by the AVS Applied Surface Science Division, is an interdisciplinary meeting to discuss advances in and applications of surface analysis.

Historically, PNWAVS hosts a symposium each year that is related to the research areas and interests in the Pacific Northwest region. Topics will include, but are not limited to, surface science, state-of-the-art methods of characterization, and application of surface science to material systems including semiconductors, oxides, minerals, ceramics, metals, polymers composites, and bio materials.

A goal of this symposium is to promote interaction among all participants from industry, government, and university laboratories. Both invited and contributed papers in the form of oral and poster presentations will be given at the conferences.

Invited Speakers

Some of the leading scientists in the fields listed above will be invited to present their research result. A partial list of the invited speakers is:

Prof. Richard P Van Duyne, Northwestern University, “Nanoparticle Optics for Surface-

Enhanced Sensing and Spectroscopy”

Dr. Simon Bare, UOP Research Center , “Application of In Situ and Operando X-ray Absorption Fine Structure (XAFS) for Catalyst 'Surface' Characterization: Examples

from Studies at UOP”

Dr. Claude R Henry, CRMC2-CNRS, “Nucleation, Growth and Reactivity of Gold

Nanoclusters Supported on MgO(100)”

Prof. Marc Porter, Iowa State University , “High resolution Mapping of Compositional

Differences and Reactions at Buried Interfaces by Electric Force Microscopy”

Prof. Paul S Cremer, University of California, Berkeley, “Studies of Biomembranes on-

Chip”

Dr. Hans M. Christen, Oak Ridge National Laboratory, “Opportunities with Compositional-Spread Film Growth in the Discovery of Materials and Optimization of

Processes”

Dr. Toyohiro Chikyow, National Institute for Material Science, “Combinatorial Material

Exploration and High Throughput Characterizations for the Future Functional Devices”

Dr. Ted Kamins, Hewlett-Packard Laboratories, “Self-Assembled Semiconductor

Nanowires”

Prof. Brian Holloway, College of William and Mary, Williamsburg , “Freestanding Carbon

Nanotubes–A New Morphology on an Old Element”

Page 13: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Northwest Nanoscience and Nanotechnology Network

Participants in the Northwest Nanoscience & Nanotechnology Network (N4) are encouraged to submit abstracts to the symposium. The poster session on June 16, 2004, will be partly focused on nanoscience and nanotechnology. An informal meeting of N4 participants with the EMSL User Advisory Committee is also planned.

ASTM Meeting

On the evening of June 17, 2004, the E-42 committee on surface analysis will meet. More information will be provided at the time of the symposium. All are welcome.

Tutorials and Short Courses

A whole day tutorial session in surface science characterization techniques (XPS, AES, SIMS, TEM, SEM, and ion beam analysis) with related lab tours will be held on June 15, 2004. Seats are limited and students and faculty members who wish to participate in this tutorial should contact Dan Gaspar at [email protected] .

In addition, an AVS short course titled “Data Processing in XPS/ESCA and AES” is scheduled on June 16, 2004. For further information about this course, please contact Margaret Stringer , [email protected] or visit http://www.avs.org/shortcourses/schedule.html .

Vendor Exhibit

Representatives from surface, thin film, and vacuum equipment manufacturers are invited to exhibit at the symposium. The vendor exhibit will be held on June 16-17, 2004. Since space is limited, please register online at http://www.emsl.pnl.gov/new/pnwavs2004/ at your earliest convenience. If you have any questions, please contact Everett Lapp, [email protected] and/or Theva Thevuthasan at [email protected] .

The Upstate New York Chapter NY-Nanotech 2004 Technical Symposium October 6-8, 2004 Albany, New York

The preliminary program, which may be found at www.unyvac.org, includes sessions on nanosystems and materials, and workshops on equipment control, MEMS processing, and leak detection. Planned short courses include:

Metrology for Nanotechnology

Thin Film Deposition

SEM/TEM for Nanotechnology

MEMS/NEMS Processing

IC Fab reliability

Fundamentals of Vacuum Technology

Vendor sponsorship, tables, and displays are available by contacting Ralph Kraft, [email protected].

For more information on the meeting, please contact Dr. Bai Xu, [email protected]. For short course information, please contact Dr. David Jung, [email protected].

Page 14: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

The 16th International Vacuum Microelectronics Conference (IVMC2003)

July 7-11, 2003 Senri Life Science Center Osaka, Japan

By Mikio Takai, IVMC2003 Conference Chair

The conference received 161 abstracts from 13 countries, of which nine were accepted for invited presentations, 35 for oral, and 110 for poster presentations. The conference gathered about 150 attendees from 10 countries in spite of the SARS epidemic.

The subject of discussion covered a wide range of topics such as fundamentals of field emission, fabrication and evaluation of field emitters, novel cathode materials and structures, field emission displays (FEDs) and related technologies, and applications of field emission devices.

Among these topics, an increased submission of papers on the FEDs and related technologies was prominent, which reflects intensive R & D activities for commercialization of FEDs in the market.

In particular, carbon nano tube (CNT) emitters instead of conventional metal Spindt type emitters have extensively been discussed for application of flat panel displays with a large diagonal size up to 38 inches, which would replace with plasma display panels (PDPs) and liquid crystal displays (LCDs) in near future because of the features such as quick response, low power consumption, and low cost manufacturing.

Besides FEDs, applications of field emission to image sensors with extremely high sensitivity, magnetic sensors, gas sensors, X-ray sources, microwave devices, scanning probe microscopes, and ion implanters were presented and drew attention as a new field of applications.

The IVMC2003 was organized under the joint auspices of the 158th Committee on Vacuum Nanoelectronics (Japan Society for the Promotion of Science), the Grant-in-Aid for Scientific Research on Priority Area: High Brightness Electron Source Array and Its Development to Vacuum Microelectronics (the Ministry of Education, Culture, Sports, Science and Technology), and National Institute of Advanced Industrial Science and Technology.

The financial support that was provided by the Commemorative Association for the Japan World Exposition (1970), the Murata Science Foundation, and cosponsored companies were greatly appreciated.

We would like to express our sincere thanks to the International Steering Committee, the International Advisory Committee, and technically cosponsoring organizations.

Atomic Layer Deposition 2004

August 4-6, 2004 DoubleTree Hotel San Jose, California

By Gregory Parsons, ALD 2003 Chair

This AVS Thin Film Division sponsored topical conference focused on chemistry and applications of Atomic Layer Deposition (ALD).

Atomic scale fabrication of thin film materials are of significant interest for advanced electronic, optical, and mechanical applications where control of film composition and interface structure at

Page 15: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

the atomic scale are critical for material performance. ALD provides a unique route to achieve the required degree of chemical control for many applications.

The ALD Topical Conference was chaired by Gregory Parsons from North Carolina State University with an international advisory committee that included Mikko Ritala (Chair of ALD 2004 in Helsinki, Finland), Hyeongtag Jeon (Chair of ALD 2002 in Seoul, Korea) and Steve George (Chair of ALD 2001 in Monterey, California).

The conference included sessions on high-k dielectrics, metals, modeling and surface chemistry, applications in MEMS, and low temperature deposition processes.

The topic of ALD metals and conductors is rapidly growing, and the breadth and depth of the speakers in this area reflected the lively research ongoing in this area. Invited speakers discussing metals ALD included Markku Leskala from University of Helsinki, Antii Rahtu from Harvard, and Dae-Gyu Park from IBM.

Key issues related to relations between precursor structure and surface reactions, and reaction processes in metal reduction were covered. Electrical performance of ALD TiN and TaN were discussed by IBM groups, and highly conformal coverage was demonstrated into deep trench (>1µm) capacitors at the 100nm scale.

Tremendous activity in high-k dielectrics was also reflected in the presentations, with invited talks from Hyeongtag Jeon, Hanyang University; Jerry Chen, IMEC Belgium; Ho-Kyu Kang, Samsung; and Barbara Crivelli ST Agrate Italy.

Sessions focused on fundamental interfacial chemistry and reactions also highlighted high-k/interface processes, including invited talks from Yves Chabal, Rutger University, and Charles Musgrave, Stanford University.

In addition, key issues in high-k dielectrics related to control of film composition and interface reactions during ALD, and performance of ALD dielectrics in device applications were presented.

An invited presentation from Tom Mayer, Sandia National Labs, discussed the challenges for MEMS materials and devices, and highlighted opportunities for ALD materials for conformal low friction coatings.

Tetsuji Yasuda, Advanced Semiconductor Research Center, Tsukuba, Japan, demonstrated interesting opportunities for liquid-based ALD processing of dielectrics.

A special session was also organized that focused on manufacturing-scale ALD equipment, and opportunities and challenges for ALD in advanced manufacturing. This session included contributions from ASM Microchemistry, ASML (now Aviza), Seagate Technology, Genus, Applied Materials, and Tokyo Electron. Key issues discussed included materials for gate and memory applications, as well as ALD process throughput and reliability of ALD materials in comparison to CVD and PVD.

In all there were 16 invited presentations, 35 oral contributed presentations, and 16 poster presentations. Several equipment vendors were present and received excellent attendance and interactions throughout the three days.

There were more than 160 conference attendees, including a broad range from industry and academia (including a large number of students) and many contributors from international countries including Korea, Japan, Finland, Italy, Belgium, Taiwan, Singapore, Germany , Norway, The Netherlands, and Australia.

As in previous years at the ALD conference, the oral presentations were captured electronically and recorded in CD-ROM format. Copies are available from AVS, please contact Della Miller, [email protected] for purchasing details.

The Thin Film Division is also planning to continue the ALD conference series with ALD 2004, August 16-18, 2004, in Helsinki, Finland. For details visit http://www.helsinki.fi/ALD2004/.

SIMS XIV Recap

September 14-19, 2003

Page 16: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Sheraton San Diego Hotel and Marina San Diego, California

By Jerry Hunter, Materials Analysis Services; Bruno W. Schueler, PHI-Evans; and Fred A. Stevie, North Carolina State University

The Fourteenth International Conference on Secondary Ion Mass Spectrometry is the premier international forum on the most recent developments in secondary ion mass spectrometry.

The scientific program covers all aspects of the technique including: fundamentals, quantification, instrumentation, organic and biological analysis, depth profiling, semiconductor and gate dielectric characterization, metals, geology, imaging, TOF-SIMS, standards development, isotope measurements, and related techniques.

The technical program of SIMS XIV included the 15th Annual Workshop on SIMS with special focus on Cluster Ion Bombardment of Surfaces.

Over 275 participants from 23 countries attended the conference. The scientific program included two plenary lectures, 12 keynote invited lectures and 252 contributions, shared between oral and poster presentations.

The conference program was opened with plenary lectures on “Collisions of Organic Ions at Surfaces” by Graham Cooks, and “Challenges in Localized High Precision Isotope Analysis by SIMS” by Georges Slodzian.

Invited, contributed, and poster presentations were given on the following 19 topics:

Advanced Surface Analysis

Biological SIMS Analysis

Cluster Ion Bombardment

Commercial Instrumentation

Depth Profiling

Environmental Studies

Fundamentals

Gate Dielectric Characterization

Geology and Isotopic SIMS Measurements

Instrumentation

Materials Science

Organic Data Analysis

Organic Materials

Quantification

Semiconductor Depth Profiling

Semiconductor Quantification and Metrology

SIMS analysis of Silicon Germanium

SIMS Analysis of Ultra-Shallow Junctions

Page 17: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Ultra-Shallow Depth Profiling

The sessions included invited keynote lectures, providing an overview on the state-of-the art in the field of research. Workshops on the topics of Chemometrics, Cluster Ion Bombardment, SIMS in Life-Sciences, and Current Topics in Depth Profiling provided informal settings for interactive discussions.

The SIMS XIV Conference was held under the auspices of the AVS and the AVS Northern California Chapter.

NAMBE 2003, the 21st North American Conference on Molecular Beam Epitaxy

September 28-October 2, 2003 Keystone, Colorado

By Richard Mirin, National Institute of Standards and Technology, Conference Chair

The 21st North American Conference on Molecular Beam Epitaxy featured 177 attendees and 19 different companies exhibited their new products to the MBE community.

The conference attendees came from all over the world, including North America USA (144), Canada (6), and Mexico (1), Europe (11), Asia (13), and Australia (2).

The technical program consisted of 50 oral presentations and 23 poster presentations, including five late news presentations and three late news posters.

In addition to the technical sessions, the last day of the conference was devoted to three informal workshops designed to facilitate interaction between the participants:

Production of Next-Generation MBE Materials (Chair: Tom Block, Northrop Grumman Space Technology)

Long Wavelength Optoelectronics (Chair: Yong-Hang Zhang, Arizona State University)

MBE for Quantum Information (Co-Chairs: Nitin Samarth, Penn State University and Richard Mirin, NIST)

Technical highlights at the conference included:

Long wavelength VCSELs Grown on GaAs that operated at 1460 nm at room temperature, using an InGaAsNSb quantum well active region (Mark Wistey, Stanford University)

High Curie temperature (160 K) GaMnAs films (Richard Campion, University of Nottingham)

Second-harmonic generation using epitaxially grown, periodically poled GaN (Hock Ng, Lucent)

The real-time strain measurement of metamorphic buffer layers using a multibeam optical stress sensor (Candace Lynch, Broww University)

Comparison of arsenic- and phosphorous-based metamorphic buffers for InP HBT and HEMT applications (Dimitri Lubyshev, IQE).

Wistey and Lynch were the two recipients of Outstanding Student Presentation Awards.

Workshops

The Thursday workshops were a follow-up to the workshops held at NAMBE-18 in 1999 (Banff, Alberta, Canada). The three workshops provided insight into both short- and long-term future

Page 18: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

directions for MBE.

The workshop on Next-generation MBE Materials featured invited talks on a wide variety of topics, including GaN, antimonides, solar cells, in situ monitoring and control, absolute composition standards, and phosphorous maintenance safety.

The Long Wavelength Optoelectronics workshop had two sessions, one on near infrared (NIR) emitters and one on mid-wavelength IR (MWIR) emitters. Topics at these sessions included MBE growth of GaInNAsSb and other dilute nitrides, GaAsSb, InAs quantum dots, THz and antimonide-based quantum cascade lasers on GaAs substrates, and IV-VI lead salt lasers.

The Quantum Information workshop included an introduction to the topic by Michael Flatté from the University of Iowa and invited talks covering several of the proposed quantum computing systems (self-assembled quantum dots, electron spins in quantum dots, oxide-semiconductor materials, and superconducting Josephson junctions) in which MBE is expected to play a role.

The Tuesday night banquet was held at the Keystone Stables. After dinner, line dancing ensued. At the banquet, the 1st Annual MBE Innovator Award was presented to David Miller (Penn State University) by Marlin Braun (Veeco). The award citation reads, “For the invention and development of arsenic capping and the valved arsenic cracker.”

Other Highlights

Several people worked hard to ensure that the conference was a good experience for all the attendees. Conference Manager Wendy Ortega McBride and her assistant, Camille Hoffman, made certain that everything at the conference ran smoothly.

Program Chair Archie Holmes from the University of Texas, Austin and the other Program Committee members Rod Beresford, Tom Block, Brian Bennett, Norman Chang, Bill Goodhue, James Gupta, Shane Johnson, Ron Kaspi, Dan Mars, Joanna Mirecki-Millunchick, Hock Ng, and Gary Wicks read and evaluated more than 75 abstracts, resulting in a very strong technical program.

Proceedings Editor Bill Goodhue from the University of Massachusetts at Lowell did an outstanding job of encouraging paper submissions and suggesting referees for the conference proceedings, which are scheduled to be published in the March/April issue of JVST B.

The next NAMBE conference, NAMBE-22, will be held be chaired by Tony SpringThorpe from the National Research Council, Canada . The conference will be in Banff, Alberta, Canada from October 15-22, 2004. Additional information about this conference can be found at the new NAMBE website, www.nambe.info

Tenth Topical Conference on Quantitative Surface Analysis (QSA-10)

November 3-4, 2003 Baltimore Convention Center Baltimore, Maryland

By Cedric Powell, National Institute of Standards and Technology

The Tenth Topical Conference on Quantitative Surface Analysis (QSA-10) was held in 2003 as part of the AVS 50th International Symposium in Baltimore.

This topical conference (sponsored by the AVS Applied Surface Science Division) provides a forum for discussion on the quantitative aspects of surface, interface, and thin-film characterization, particularly at high spatial resolution.

In many current technological applications, materials are used with such small layer thicknesses and other dimensions that there is little distinction among surface, interface, and thin-film properties, and the same analytical methods can be used for materials characterization.

Morning Session

Page 19: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

The morning session on November 3, 2003, contained three invited lectures dealing with advances in quantitative surface analysis.

The first lecture by J.E. Castle (University of Surrey, UK) described the potential benefits of expert systems for applications in XPS with particular reference to an expert system designed for corrosion applications. Such an expert system could analyze an optimized XPS survey scan and provide information on the oxide composition, the thicknesses of oxide and contamination layers, evidence for possible variation of the substrate composition with depth, and information on chemical states.

The second lecture by J.D. Geller (Geller MicroAnalytical) reviewed sources of uncertainty in quantitative analyses by AES. He presented an evaluation of the magnitude of corrections due to matrix effects for a series of carbides and silicides that were fractured, where possible, prior to analysis. Remaining differences between derived and stoichiometric compositions were attributed to effects of channeling and preferential sputtering.

The final invited lecture by N. Winograd (Pennsylvania State University) described the molecular characterization of biomaterials with time-of-flight SIMS. Useful SIMS images have been obtained from frozen-hydrated biological interfaces (such as liposome particles and single biological cells of 10-30 um diameter) using a special freeze-fracture protocol.

There were two contributed papers in the session, one (by L. Kover et al.) describing the identification of extrinsic and intrinsic excitations in photoelectron spectra of Si and Ge and the other (by D.E Peebles et al.) reporting on the use of multivariate analysis for XPS spectral imaging.

Afternoon Session

The afternoon session contained two invited lectures concerned with thin-film metrology. The first lecture by C.R. Brundle (C.R. Brundle and Associates) reviewed metrology needs for ultra-thin films in the wafer-processing industry, with emphasis on the needs and the challenges. The capabilities of the available techniques (e.g., for measuring composition, composition gradients, interface mixing, and roughness) were described together with examples of their use.

In the second lecture, M.P. Seah (UK National Physical Laboratory) reported results of an intercomparison of silicon-dioxide thickness measurements (with nominal thicknesses between 1.5 nm and 8 nm) in different laboratories by MEIS, NRA, RBS, SIMS, XPS, ellipsometry, GIXRR, NR, and TEM. This intercomparison yielded information on the precision and accuracy of each method that differed from initial estimates of these quantities, thereby leading to recommendations for future quantification.

There were three contributed papers (by T. Jach et al., C. van der Marel et al., and G. Conti et al.) that described characterizations of ultra-thin layers of SiO2 and HfO2 on Si and a fourth paper (by J.A. Ohlhausen et al.) that reported on the multivariate analysis of compressed time-of-flight SIMS images.

An additional 12 contributed papers were presented in the poster session on November 4, 2003. The topics addressed included charge compensation in XPS, a multi-modal imaging and visualization system, measurement of overlayer-film thicknesses by angle-resolved XPS, measurement of electron inelastic mean free paths, prediction of the dielectric constant of silicon oxynitride, analysis of rotating-compensator ellipsometers for metrology, characterization of a buried nanowire by x-ray standing waves, surface phase separation of a polymer blend, comparison of measured and calculated Auger linescans, quantitative analysis of LEED patterns, and measurement of film thicknesses by AFM.

QSA conferences have extended discussion periods following the invited presentations. The discussion periods at QSA-10 were lively as in past conferences.

QSA-11 is planned to be held on October 28-29, 2005, prior to the AVS 52nd International Symposium and Exhibition in Boston.

Page 20: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

Board Meetings

2004

June 13, 2004—Boston, Massachusetts

November 14, 2004, Anaheim, California, in conjunction with the AVS International Symposium

December 12, 2004—New York, New York

Symposia and Topical Conferences

March 2004

8–12 Florida Chapter and Florida Society for Microscopy Joint Symposium, Orlando, FL, USA. Maggie Puga-Lambers, [email protected], or Gabriel Braunstein, [email protected].

April 2004

19–23 ICMCTF 2004, San Diego, CA, USA. Mary Gray, ICMCTF, 14001-C Saint Germain Dr., Ste. 136, Centerville, VA 20120 , 703-266-3287, fax 703-968-8877, [email protected], www.avs.org.

May 2004

17–18 New Mexico Chapter 40th Annual Symposium, Albuquerque, NM, USA . http://www-chne.unm.edu/avs/avsinformation.htm.

June 2004

1–4 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, San Diego, CA, USA. Dan Meisburger, Conference Chair, 408-997-5400, [email protected].

15–18 Surface Analysis 2004/Pacific Northwest Annual Symposium, Richland, WA, USA. Donald Baer, Conference Chair, Battelle, MSIN K8-93, Box 999, Richland, Washington, 509-376-1609, [email protected].

July 2004

11-16 2004 International Vacuum Nanoelectronics Conference, Massachusetts Institute of Technology, Cambridge, MA. Contact: Akintunde Ibitayo (Tayo) Akinwande, Conference Chair, MIT, Rm 39-553, 77 Massachusetts Avenue, Cambridge, MA. E-mail: [email protected]

26–30 27th International Conference on the Physics of Semiconductors, Flagstaff, AZ, USA. Fernando Ponce, Arizona State University, Dept. of Physics and Astronomy, Tempe, AZ , 85287 , 480-965-5557, [email protected].

Page 21: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

August 2004

16-18 Atomic Layer Deposition 2004 (ALD 2004), University of Helsinki, Finland. Contact: Mikko Ritala, Conference chair, Laboratory of Inorganic Chemistry, University of Helsinki, Finland. Phone: 358 9 191 50193, E-mail: [email protected]

September 2004

12–16 7th International Conference on Non-Contact Atomic Force Microscopy, Seattle, WA , USA . Sam Fain, University of Washington, Physics Department, Seattle, WA 98195-1560, USA, 206-543-8444, [email protected].

October 2004

6-8 The Upstate New York Chapter NY-Nanotech 2004 Technical Symposium, Albany NY, USA . Dr. Bai Xu, [email protected], www.albanynanotech.org.

November 2004

8–10 Modeling Electron Transport for Applications in Electron and X-ray Analysis and Metrology, Gaithersburg, MD, USA . Dale Newbury, National Institute of Standards and Technology, 100 Bureau Drive, Stop 8371, Gaithersburg, MD 20899-8371, [email protected].

15–19 AVS 51st International Symposium (Technical Programs, Topical Conferences, Short Courses, and Equipment Exhibition), Anaheim, CA, USA, www.avs.org.

January 2005

23-27 32nd International Conference on the Physics and Chemistry of Semiconductor Interfaces, Bozeman, MT Contact: Yves Idzerda, Conference Chair, Department of Physics, Montana State University, Bozeman, MT 59719. Phone: 406-994-7838, E-mail: [email protected]

May 2005

2–6 ICMCTF 2005, San Diego, CA, USA. Mary Gray, ICMCTF, 14001-C Saint Germain Dr., Ste. 136, Centerville, VA 20120 , 703-266-3287, fax 703-968-8877, [email protected], www.avs.org.

October 2005

28-29 11th Topical Conference on Quantitative Surface Analysis, Burlington, Massachusetts, USA. Conference Chair: David S. Simons, National Institute of Standards and Technology, Bldg. 222, Room A113, Gaithersburg, MD 20899-8370, Phone: 301-975-3903, E-mail: [email protected]

30/11–4 AVS 52nd International Symposium (Technical Programs, Topical Conferences, Short Courses, and Equipment Exhibition), Boston, MA, USA, www.avs.org..

April 2006

24–28 ICMCTF 2006, San Diego, CA, USA. Mary Gray, ICMCTF, 14001-C Saint Germain Dr., Ste. 136, Centerville, VA 20120 , 703-266-3287, fax 703-968-8877, [email protected], www.avs.org.

November 2006

Page 22: Image Credit - AVS · 2015-01-08 · zStore multiple copies of resume for different kinds of jobs zCreate automatic job alerts-you’ll be contacted as soon as your dream job is posted

13–17 AVS 53rd International Symposium (Technical Programs, Topical Conferences, Short Courses, and Equipment Exhibition), San Francisco, CA, USA, www.avs.org.

April 2007

23–27 ICMCTF 2007, San Diego, CA , USA. Mary Gray, ICMCTF, 14001-C Saint Germain Dr., Ste. 136, Centerville, VA 20120 , 703-266-3287, fax 703-968-8877, [email protected], www.avs.org.

October 2007

15–19 AVS 54th International Symposium (Technical Programs, Topical Conferences, Short Courses, and Equipment Exhibition), Seattle, WA, USA, www.avs.org.

Contact AVS | Close Window