4
Gray-tone lithography using an optical diffuser and a contact aligner Marc Christophersen and Bernard F. Phlips Citation: Applied Physics Letters 92, 194102 (2008); doi: 10.1063/1.2924314 View online: http://dx.doi.org/10.1063/1.2924314 View Table of Contents: http://scitation.aip.org/content/aip/journal/apl/92/19?ver=pdfcov Published by the AIP Publishing Articles you may be interested in Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithography J. Vac. Sci. Technol. B 20, 2902 (2002); 10.1116/1.1526358 Chemical and thermal stability of titanium disilicide contacts on silicon J. Appl. Phys. 90, 1655 (2001); 10.1063/1.1383264 Near-field scanning optical nanolithography using amorphous silicon photoresists Appl. Phys. Lett. 74, 141 (1999); 10.1063/1.122976 New approaches to atomic force microscope lithography on silicon J. Vac. Sci. Technol. B 15, 2912 (1997); 10.1116/1.589753 Nanoscale silicon field effect transistors fabricated using imprint lithography Appl. Phys. Lett. 71, 1881 (1997); 10.1063/1.119426 This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditions. Downloaded to IP: 75.99.233.194 On: Mon, 05 May 2014 21:10:27

Gray-tone lithography using an optical diffuser and a contact aligner

Embed Size (px)

Citation preview

Gray-tone lithography using an optical diffuser and a contact alignerMarc Christophersen and Bernard F. Phlips

Citation: Applied Physics Letters 92, 194102 (2008); doi: 10.1063/1.2924314 View online: http://dx.doi.org/10.1063/1.2924314 View Table of Contents: http://scitation.aip.org/content/aip/journal/apl/92/19?ver=pdfcov Published by the AIP Publishing Articles you may be interested in Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithography J. Vac. Sci. Technol. B 20, 2902 (2002); 10.1116/1.1526358 Chemical and thermal stability of titanium disilicide contacts on silicon J. Appl. Phys. 90, 1655 (2001); 10.1063/1.1383264 Near-field scanning optical nanolithography using amorphous silicon photoresists Appl. Phys. Lett. 74, 141 (1999); 10.1063/1.122976 New approaches to atomic force microscope lithography on silicon J. Vac. Sci. Technol. B 15, 2912 (1997); 10.1116/1.589753 Nanoscale silicon field effect transistors fabricated using imprint lithography Appl. Phys. Lett. 71, 1881 (1997); 10.1063/1.119426

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditions. Downloaded to IP:

75.99.233.194 On: Mon, 05 May 2014 21:10:27

Gray-tone lithography using an optical diffuser and a contact alignerMarc Christophersena� and Bernard F. Phlipsb�

Naval Research Laboratory, 4555 Overlook Avenue, SW Code 7651, Washington, D.C. 20375, USA

�Received 27 December 2007; accepted 19 April 2008; published online 13 May 2008�

This paper describes a simple method for the three-dimensional �3D� microfabrication of complexhigh-aspect structures in a one mask lithography process. The method relies on an unconventionalway of performing gray-tone lithography. The main idea is to randomize the collimated light byusing an optical diffuser to generate intensity distributions in the photoresist. The resist topographyis determined by the density of open and opaque squares in the photomask. The resulting 3D resistis then transferred into 3D silicon structures by using reactive ion etching and deep reactive ionetching. © 2008 American Institute of Physics. �DOI: 10.1063/1.2924314�

The fabrication of three dimensional �3D� microstruc-tures with well-defined curved surface contours is of greatimportance for various mechanical, optical, and electronicdevices. Complex geometrical structures or topographies arenecessary to obtain a certain mechanical stability, a specificsurface property, or a predetermined electrostatic field con-figuration. Several approaches have been published to micro-fabricate 3D silicon structures. Some methods exploit thereactive ion etching �RIE� lag effect, an otherwise undesir-able etching phenomenon in which transport limitationcauses scaling of etch depth with mask opening size, e.g.,Ref. 1. Flechter et al. used thermally reflown photoresist incombination with RIE.2 However, these techniques havesome drawbacks such as an unconventional process or a re-stricted shape of 3D microstructures. Gray-tone lithography,in combination with plasma etching, provides an easymethod to fabricate and control profiles and shape. Usually,gray-tone lithography masks are created by two methods: �i�a plurality of subresolution openings in a chromium mask,see, e.g., Refs. 3 and 4 or �ii� high energy beam sensitive�HEBS� glass masks, which are comprised of mask regionswith different metal ion concentrations, resulting in transpar-ency differences.5 Both techniques are not wide spread inindustrial or academic laboratories because either a costlylithography stepper exposure or a special HEBS mask is re-quired. There is a need for an easier and cheaper way toperform gray-tone lithography. Here, we present a methodfor gray-tone lithography with smooth resist profiles by us-ing simple contact lithography with an optical diffuser—seeFig. 1. We extended the work from Chang and Yoon,6 whoused an optical diffuser to locally shape resist for molding, togray-tone lithography. We generated masks with varioussizes and spacings on an opaque background. To first order,the resulting image in the resist can be treated as convolutionof the mask features with the blurred light from the diffuser.The optical theory for projection imaging in combinationwith a diffuser is well developed, for details, see, e.g., Refs.7 and 8. Furthermore, laser-beam based gray-tone lithogra-phy, in combination with RIE and ion milling, has been usedto fabricate optical diffusers,8 but until now, nobody has used

an optical diffuser for gray-tone lithography with contactaligners.

A Novolac based photoresist �AZ P4330 from Clariant�was spun on a silicon wafer ��100� test grade material� witha thickness ranging from 3 to 10 �m. Priming in hexameth-yldisilizane was performed to improve the adhesion. The wa-fer was heated to 110 °C for 90 s on a hot plate in order todrive solvents out of the resist prior to ultraviolet �UV� ex-posure. The resist was UV exposed by using a Karl SussMJB-3 or ABM, Inc. contact aligner. The diffuser was placedon top of the photomask—see Fig. 1�a�. The optical diffuser,Edmund Optics NT02-149 �opal diffusing glass�, is used toachieve a near Lambertian light source. All masks were writ-ten by using a Heidelberg DWL-66 laser pattern generator,with the smallest mask feature �2.5 �m. The required ex-posure dose is a function of the resist thickness used, type ofdiffuser, and desired final profile. For example, the dose usedfor 4 �m thick AZ P4330 was 900 mJ /cm2. During the ex-posure with the diffuser, all sharp corners or edges will aresmeared out. This is ideal for smooth features as found inmicrolenses. �In order to obtain vertical resist structures, asecond exposure with a standard mask is necessary. This is adisadvantage of our approach in comparison to standardgray-tone methods such as HEBS or binary quartz/chromewith subresolution mask features.3,5 Furthermore, the secondmask needs to be aligned with respect to the gray tone ex-posure with “blurred alignment marks.”� We used 1:3 de-ionized water:400 K AZ developer for 3 min, followed by asound de-ionized water rinse. The resist was developed by

a�NRC postdoctoral fellow, Tel.: 1-202-404-2884. FAX: 1-202-767-6473.Electronic mail: [email protected].

b�Tel.: 1-202-767-3572. FAX: 1-202-767-6473. Electronic mail:[email protected].

FIG. 1. Schematic of the method �a�: an optical diffuser is placed on top ofthe photomask during UV exposure for light blurring; example of a calibra-tion curve �b�, resist heights generate by strips with different gaps �3 �mstrip width and variable gaps �micrometers��. The reference is the full resiststep height. The feature edges are at a distance of 100 �m.

APPLIED PHYSICS LETTERS 92, 194102 �2008�

0003-6951/2008/92�19�/194102/3/$23.00 © 2008 American Institute of Physics92, 194102-1 This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditions. Downloaded to IP:

75.99.233.194 On: Mon, 05 May 2014 21:10:27

using the puddle method and hard baked at 100 °C for 30 s.We fabricated a generic calibration mask to experimen-

tally investigate the resulting “gray levels.” Hole and striparrays ranging from 2.5 to 48 �m were patterned on thechromium photomask, see Fig. 1�b�. An opaque open area onthe mask was included adjacent to the highest gray level as areference point, so the heights of gray levels after fabricationcan be measured and correlated to the pixel size that createdthem. In hard-contact mode, a suitable range for the maskelements is 2–15 �m, allowing devices larger than 20 �m.�By using a deliberate defocus of the mask, the maximalmask element size can be increased.� The calibration maskswere later used to determine the etch selectivity, defined asthe etch rate of resist versus silicon, for RIE and deep reac-tive ion etching �DRIE� silicon etching. Based on the cali-bration data, an in-house generated MATLAB® code producedmore sophisticated 3D profiles, e.g., sinusoidal variation ofdots. The images generated were exported and transferredinto the photomask. An example of complex 3D resist profileis shown in Figs. 2�a� and 2�b� sinusoidal resist profile. �Theaccuracy of the profilometer is 0.5 �m horizontal and2.5 nm vertically.� The photomask consisted of squareswith 2–14 �m width with a linear and sinusoidal densitygradient.

Once a photoresist gray-scale structure has been created,the pattern can be transferred into silicon via a selective etch-ing process. The vertical dimensions of a 3D structure insilicon are determined by the given etch selectivity for agiven process. All photoresist masking layers were hardbaked to avoid reticulation during the etching process. Fur-thermore, the hard bake helped to smooth out the transitionbetween the gray levels. A CF4 /O2-plasma was used forRIE etching �AXIC Benchmark 800-II, etching conditions:30 SCCM �SCCM denotes cubic centimeter per minute atSTP� CF4, 5 SCCM O2, 100 mTorr, 75 W forward power,etch time of 30 min�. Figure 2�c� shows the sinusoidal pat-tern from Fig. 2�b� transferred into a silicon profile with anetch selectivity of �1:1.1.

Microlenses are a “classical” example of the use of gray-tone lithography, see, e.g., Ref. 4. Microlens arrays are criti-cal optical elements in the field of microdisplays, communi-cations, and data-storage systems. There are various methods

that can produce microlens arrays including etching,4

reflow,2 microjet,9 and micromolding.6,10 We used a time-multiplexed plasma-etching process to fabricate microlensesby using the Bosch process,.11 For optical components, sur-face smoothness is an important parameter. The Bosch pro-cess tends to form scalloping of the silicon due to the passi-vation cycle in this process. Improved etch recipes canreduce this effect. We used an Oxford 100 fluorine etcher inthe Bosch process mode following the recipe details given inRef. 12. Figure 3 shows a silicon microlens with an idealradius of curvature of 720 �m. An optical micrograph of thephotoresist etch mask used is shown in Fig. 3�a�. The resistto silicon etch selectivity for the DRIE process was �1:60.The resulting silicon lens is shown in Fig. 3�b�. The profilo-meter scan, Fig. 3�c�, compares the photoresist and our finalsilicon profile. The etched silicon profile slightly varies fromthe general shape of the resist. This is probably caused by thenonuniformity of the etching process,13 but this demonstratesthe capability of our method to produce complex 3Dtopographies.

We present a simple way to perform gray-tone lithogra-phy by placing an optical diffuser on top of the photomaskduring UV exposure. The photomasks used are standardchromium contact aligner masks with dimensions �2 �m.By using our approach to gray-tone photolithography, it ispossible to reduce the cost and complexity that are associatedwith traditional grayscale techniques. The resist profiles gen-erated can be transferred with plasma etching into silicontopographies or used for molding. We demonstrated, as anexample, the application of our method for silicon basedmicrolenses.

We would like to thank the Institute for Nanoscience atthe Naval Research Laboratory. This work was partly fundedthrough a National Research Council, NRC, postdoctoral fel-lowship for M.C.

1T. Bourounina, T. Masuzawa, and H. Fujita, J. Microelectromech. Syst.13, 190 �2004�.

2D. A. Fletcher, K. B. Crozier, K. W. Guarini, S. C. Minne, G. S. Kino, C.F. Quate, and K. E. Goodson, J. Microelectromech. Syst. 10, 450 �2001�.

3G. Gal, U.S. Patent No. 5,310,623 �10 May 1994�.4W. Henke, H. J. Quenzer, P. Staudt-Fischbach, and B. Wagner, Microelec-tron. Eng. 27, 267 �1995�.

5C.-K. Wu, U.S. Patent No. 5,078,771 �14 November 1992�.

FIG. 2. Profilometer scan �a� and scanning electron microscope micrographs��b� and �c��, bird’s-eye view, of a sinusoidal thickness variation in photo-resist and after RIE etching in silicon.

FIG. 3. DRIE etched silicon microlens; top-view optical micrograph ofphotoresist �a�, optical micrograph of lens �b�, and profilometer scan ofphotoresist and lens �c�.

194102-2 M. Christophersen and B. F. Phlips Appl. Phys. Lett. 92, 194102 �2008�

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditions. Downloaded to IP:

75.99.233.194 On: Mon, 05 May 2014 21:10:27

6S. I. Chang and J.-B. Yoon, Opt. Express 12, 6366 �2004�.7R. W. Cohn, A. A. Vasiliev, W. Liu, and D. L. Hill, J. Opt. Soc. Am. A 14,1100 �1997�.

8T. R. M. Sales, S. Chakmakjian, G. M. Morris, and D. J. Schertlerm,Photonics Spectra 38, 58 �2004�.

9S. Moon, N. Lee, and S. Kang, J. Micromech. Microeng. 13, 98 �2003�.

10S. Moon and S. Kang, Opt. Eng. �Bellingham� 41, 2267 �2002�.11F. Laermer and A. Schilp, U.S. Patent No. 5,542,558 �6 August 1994�.12E. Quévy, B. Parvais, J. P. Raskin, L. Buchaillot, D. Flandre, and D.

Collard, J. Micromech. Microeng. 12, 328 �2002�.13M. P. Rao, M. F. Aimi, and N. C. MacDonald, Appl. Phys. Lett. 85, 6281

�2004�.

194102-3 M. Christophersen and B. F. Phlips Appl. Phys. Lett. 92, 194102 �2008�

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditions. Downloaded to IP:

75.99.233.194 On: Mon, 05 May 2014 21:10:27