Upload
gary-obrien
View
139
Download
10
Tags:
Embed Size (px)
Citation preview
Design and Fabrication of MEMS Angular Rate and Angular Acceleration Sensors with CMOS Switched
Capacitor Signal Conditioning
by
Gary J. O’Brien
A dissertation submitted in partial fulfillment of the requirements for the degree of
Doctor of Philosophy (Electrical Engineering)
in The University of Michigan 2004
Doctoral Committee:
Professor Khalil Najafi, Chair Professor Richard B. Brown Professor Noel C. Perkins Professor Kensall D. Wise Dr. David J. Monk, Sensor Development Engineering Manager, Sensor Products Division, Motorola Inc.
© Reserved Rights All
BrienO' J.Gary 2004
ii
DEDICATION
This dissertation is dedicated to my wife Pamela and son Connor whose
unyielding love, support, and encouragement have enriched my soul and inspired me to
pursue and complete this research.
iii
ACKNOWLEDGMENTS
I would like to express my gratitude and appreciation for the guidance and support
given by my research advisor Professor Khalil Najafi. I also would like to thank
Professors Wise, Brown, and Perkins for their interest in my research. Many thanks to
Professor Perkins for discussions on rotating body dynamics.
I would also like to express my sincere gratitude to Dr. David Monk, Sensor
Development Engineering Manager, Motorola Sensor Products Division. Dave was able
to provide me with technical guidance, vision, and focus while functioning as both my
manager at Motorola and as my PhD industrial research advisor. Demetre Kondylis
supported this research through direct funding in his former role as Operations Manager,
Motorola Sensor Products Division. I will be forever grateful to Demetre for his
passionate and loyal support without whom none of this research would have been
possible. Brett Richmond continued to support my research efforts after taking the
leadership helm as General Manager, Motorola Sensor Products Division. I would like to
take this opportunity to thank Brett for his continued support and leadership in addition to
being a fellow Georgia Tech alumni (“GO Jackets”).
I want to thank my inertial sensor research group members, past and present, Arvind
Salian, Jun Chae, Hsiao Chen, Fatih Kocer, Haluk Kulah, and Jason Weigold for all their
help and friendship. I would especially like to thank both Arvind and Ark Wong for the
many interesting discussions regarding design and operation of MEMS devices while
working many late hours in the Solid State Electronics Lab.
iv
Mike McCorquodale, Ruba Borno, T. J. Harpster, Stefan Nikles, and Joseph Potkay,
all welcomed and allowed me to virtually live at their Ann Arbor apartment on multiple
occasions during my last year and a half of research for which I will be forever grateful.
Near honorable mention is in order for Brian Stark who was the source and sink of much
humor during my years in Ann Arbor; I wish him the best of luck in his future virtual
engineering endeavors.
I also wish the best of luck to the spring/2004 wave of Michigan PhD graduates who I
was fortunate enough to take classes with in addition to spending many hours in the
SSEL clean room including Andy DeHennis, T-Roy Olsson, Brian Stark, Mike
McCorquodale, Keith Kraver, and T.J. Harpster (who was kind enough to bring
donuts/drinks to my final defense for PhD committee and audience members).
I would also like to thank my sister Kathy and parents Jane and Donald O’Brien for
their love, support and encouragement. Both my grandmothers passed away during the
course of this research and I would like to sincerely thank both Lillian Brennen-O’Brien
and Alberta Nelson-Smith for all their love, support, and fond memories which I will
forever cherish.
This dissertation is dedicated to my wife Pamela Okamoto-O’Brien and son Connor
whose unyielding love, support, and encouragement have enriched my soul and inspired
me to both pursue and complete this research.
Finally, I would like to thank all my past Michigan MEMS research professors,
friends, and alumni with a loud and clear cheer; “GO BLUE”.
v
TABLE OF CONTENTS
DEDICATION................................................................................................................... ii
ACKNOWLEDGEMENTS ............................................................................................ iii
LIST OF FIGURES ......................................................................................................... ix
LIST OF TABLES ...........................................................................................................xv
LIST OF APPENDICES ............................................................................................... xvi CHAPTER
1. INTRODUCTION.........................................................................................1
1.1 Automotive Accelerometer Evolution .............................................2
1.2 MEMS Linear Axis Accelerometers................................................4
1.2.1 Piezoelectric Inertial Sensor Transduction ...................................7
1.2.2 Piezoresistive Inertial Sensor Transduction..................................8
1.2.3 Tunneling Inertial Sensor Transduction......................................11
1.2.4 Thermal Inertial Sensor Transduction ........................................13
1.2.5 Capacitive Inertial Sensor Transduction.....................................15
1.3 MEMS Angular Acceleration and Rate Sensors............................17
1.4 Thesis Outline ................................................................................19
2. VIBRATORY RATE GYROSCOPE PRINCIPLES ..............................21
2.1 Foucault Pendulum History ...........................................................22
2.2 Foucault Pendulum Properties .......................................................24
2.3 Pendulum Physical Properties........................................................27
vi
2.4 Pendulum Normal Mode Model ....................................................29
2.5 Open Loop Normal Mode Model ..................................................32
2.6 Closed Loop Normal Mode Model ................................................33
2.7 Summary of Angular Rate Sensor Principles ................................34
3. VIBRATORY RATE GYROSCOPE TYPES..........................................35
3.1 Prismatic Beam Vibratory Gyroscopes..........................................35
3.2 Tuning Fork Vibratory Gyroscopes ...............................................37
3.3 Linear Axis Accelerometer Vibratory Gyroscopes........................39
3.4 Torsion Mode Vibratory Gyroscopes ............................................42
3.5 Vibrating Shell Gyroscopes ...........................................................45
3.6 Automotive Gyroscope Classification and Performance...............49
3.7 Vibratory Gyroscope Performance Summary................................51
4. SURFACE MICROMACHINED DUAL ANCHOR GYROSCOPE .............................................................................................53
4.1 Dual Anchor Gyroscope Basic Design and Performance Goals ..............................................................................................53
4.2 Angular Rate Sensor Operation .....................................................54
4.3 Basic Angular Rate Sensor Configuration.....................................57
4.4 Angular rate Sensor Design Enhancements...................................59
4.4.1 Anti-Stiction Beam Tip Anchors ................................................60
4.4.2 Dual Anchor Attach ....................................................................63
4.4.3 Z-Axis Overtravel Stop...............................................................69
4.4.4 Dual Beam Torsion Spring .........................................................73
4.4.5 Differential Dual Electrode Sense Ring Capacitance .................75
4.5 Angular Rate Sensor Resonant Frequency Models .......................80
4.6 Angular Rate Sensor Empirical Results.........................................82
vii
4.7 Angular Rate Sensor FEA Simulation Results ..............................83
4.8 Brownian Noise .............................................................................85
4.9 Angular Rate Sensor Summary......................................................85
5. DUAL ANCHOR ANGULAR ACCELERATION SENSOR ................88
5.1 Angular Acceleration Sensor Fundamentals..................................88
5.2 Angular Acceleration Sensor Applications....................................91
5.3 Angular Rate Sensor and Angular Acceleration Sensor Design Comparison........................................................................92
5.4 Surface Micromachined Angular Accelerometer Basic Operation........................................................................................94
5.4.1 Surface Micromachined Angular Accelerometer Resonant Frequencies ...............................................................................105
5.5 Angular Accelerometer Surface Micromachined to SOI Design Conversion.......................................................................112
5.5.1 SOI Angular Accelerometer Basic Operation...........................119
5.5.2 SOI Angular Accelerometer Basic Signal Conditioning C-V Conversion ........................................................................127
5.5.3 SOI Angular Accelerometer Finite Element Analysis Simulation Results ....................................................................130
5.6 Angular Acceleration Sensor Summary.......................................133
6. CMOS SWITCHED CAPACITOR SIGNAL CONDITIONING ........137
6.1 Front End Architecture ................................................................137
6.2 Front End Capacitive Sensor Charge Redistribution ...................140
6.3 Theoretical Calculation and SPICE Simulation Comparison ......143
6.4 CMOS Control Chip Top Level Overview..................................145
6.5 CMOS Signal Conditioned Angular Accelerometer Electrical Output ..........................................................................................147
6.6 CMOS Signal Conditioned Angular Acceleration Sensor Summary ......................................................................................149
viii
7. SENSOR FABRICATION PROCESS FLOWS ....................................152
7.1 SOI Sensor Mechanical Anchor Fabrication Fundamentals........153
7.2 Short SOI Process Flow...............................................................154
7.2.1 Clear Field Sensor Perimeter Fabrication .................................156
7.2.2 Dark Field Sensor Perimeter Fabrication..................................157
7.3 Integrated SOI Process Flow .......................................................159
7.3.1 Substrate Anchor Trench Refill Etch Stop Process Example ...160
7.4 SOI Process Flow Summary ........................................................166
8. SUMMARY AND FUTURE WORK ......................................................168
APPENDICES ................................................................................................................173
BIBLIOGRAPHY ..........................................................................................................241
ix
LIST OF FIGURES
Figure 1.1 Electromechanical event accelerometer used 1990’s automobiles.................2
Figure 1.2 Simple mass-spring accelerometer with acceleration along z-axis.................5
Figure 1.3 Piezoresistive strain-gage based silicon accelerometer. ...............................10
Figure 1.4. Tunneling tip accelerometer with electrostatic force feedback loop ............12
Figure 1.5 Thermal accelerometer isometric view.........................................................13
Figure 1.6 Thermal accelerometer cross section ............................................................14
Figure 1.7 Thermal accelerometer differential temperature profile versus x-axis .........14
Figure 1.8 Capacitive sensor configuration cases ..........................................................16
Figure 1.9 Capacitive accelerometer sandwiched between two glass wafers ................17
Figure 2.1 Foucault pendulum located at north pole......................................................24
Figure 2.2 Foucault pendulum path as interpreted by earth bound observer .................25
Figure 2.3 Rotation of Foucault pendulum as a function of latitude..............................26
Figure 2.4. Foucault pendulum rotation coupling at different locations on earth ...........26
Figure 2.5 Simple pendulum and mass-spring system oscillators..................................27
Figure 2.6 Foucault pendulum normal mode model ......................................................30
Figure 2.7 Open loop angular rate sense operation ........................................................32
Figure 3.1 Rectangular beam vibrating rate gyroscope..................................................35
Figure 3.2 Murata Gyrostar triangular beam gyroscope ................................................36
Figure 3.3 Tuning fork y-axis drive and x-axis Coriolis coupling about z-axis ............38
Figure 3.4 Dual accelerometer isometric view and cross section ..................................40
Figure 3.5 Dual accelerometer linear acceleration signal rejection ...............................41
Figure 3.6 Prismatic beam torsion decoupled mode vibratory gyroscope .....................42
Figure 3.7 Two axis vibrating disc gyroscope ...............................................................43
x
Figure 3.8 Polysilicon vibrating disc gyroscope Coriolis induced rotation ...................44
Figure 3.9 Top and side view of decoupled torsion mode vibratory gyroscope ............44
Figure 3.10 Wine glass shaped hemispherical resonator gyroscope ................................46
Figure 3.11 Node precession of the HTG with externally applied angular rate...............47
Figure 3.12 Micromachined vibrating ring gyroscope drive and sense modes................48
Figure 4.1 Angular rate sensor Coriolis force diagram..................................................55
Figure 4.2 Basic angular rate sensor cross section.........................................................57
Figure 4.3 Basic polysilicon angular rate sensor configuration .....................................58
Figure 4.4 Centrally anchored polysilicon beam springs ...............................................58
Figure 4.5 Simple torsion beam spring outer mass coupling suspension.......................59
Figure 4.6 Enhanced anchor parallel plate electrostatic sense-actuation arrays ............60
Figure 4.7 Centrally anchored electrostatic array vertical stiction.................................61
Figure 4.8 Standard and split central drive disc designs ................................................61
Figure 4.9 Electrostatic beam array cross section with tip anchors ...............................62
Figure 4.10 Tip anchor electrical isolation on nitride passivated substrate .....................62
Figure 4.11 Fixed electrode parallel plate array substrate electrode interconnect ...........63
Figure 4.12 Dual anchor angular rate sensor suspension .................................................64
Figure 4.13 Folded beam and torsion post equivalent spring constant model .................64
Figure 4.14 Folded beam equivalent spring constant model............................................65
Figure 4.15 Z-axis mechanical over-travel stop...............................................................69
Figure 4.16 Mechanical over-travel stop tilted view........................................................70
Figure 4.17 Sub-micron mechanical over-travel stop-gap ...............................................71
Figure 4.18 Enhanced angular rate sensor decoupled mode suspension..........................74
Figure 4.19 Dual torsion beam coupling spring ...............................................................74
Figure 4.20 Dual torsion beam coupling spring stress concentration simulation ...........75
xi
Figure 4.21 Angular rate sense ring capacitance electrode configuration ......................76
Figure 4.22 Tilted view of differential electrode capacitor..............................................76
Figure 4.23 Differential capacitor support post detail......................................................77
Figure 4.24 Drive disc displacement and velocity at sense ring inner radius (rin) ...........78
Figure 4.25 Angular rate coupled Coriolis force sense ring displace simulation.............78
Figure 4.26 Sense ring z-axis displacement electrode capacitance and schematic ..........79
Figure 4.27 Sense mode resonant frequency measurement test configuration ................82
Figure 4.28 Sense mode resonant peak @44.96kHz, Q=225...........................................83
Figure 4.29 Angular rate sensor measurement data .........................................................83
Figure 5.1 Description of rigid body rotation using a fixed particle point reference.....89
Figure 5.2 Example of rate table excited with 15 deg. displacement 2Hz sinusoid.......89
Figure 5.3 Angular rate sensor and angular acceleration sensor comparison ................92
Figure 5.4 Angular acceleration sensor capacitive parallel plate beam arrays ..............93
Figure 5.5 Capacitive angular acceleration sensor bond pad electrical schematic ........93
Figure 5.6 Angular accelerometer disc configuration ....................................................94
Figure 5.7 Capacitive array radial dimensions referenced from center of rotation........95
Figure 5.8 Angular accelerometer dual beam spring suspension attach points..............97
Figure 5.9 Outer connected spring constant directed along x-y plane ...........................98
Figure 5.10 Inner connected spring constant directed along x-y plane............................98
Figure 5.11 Interleaved folded beam spring design .........................................................99
Figure 5.12 Lateral spring constant theoretical model and FEA simulation results ......100
Figure 5.13 %ΔC/C0 Vs beam spring length (L) and outer disc radius (R2). .................101
Figure 5.14 Outer connected spring constant directed along z-axis...............................102
Figure 5.15 Inner connected spring constant directed along z-axis ...............................102
Figure 5.16 Model of z-axis surface tension sensor displacement Vs thickness ...........104
xii
Figure 5.17 Torsion mode frequency Vs spring length and outer disc radius................107
Figure 5.18 Modal z-axis frequency for 2μm thick proof mass disc .............................108
Figure 5.19 Modal z-axis frequency for 20μm thick proof mass disc ...........................108
Figure 5.20 Modal z-axis frequency ratio for 2μm thick proof mass disc .....................109
Figure 5.21 Modal z-axis frequency ratio for 20μm thick proof mass disc ...................110
Figure 5.22 Angular acceleration sensor design conversion from polysilicon to SOI...112
Figure 5.23 Centrally anchored folded beam spring array with solid central hub .........113
Figure 5.24 Beam spring substrate anchor and central hub detail..................................114
Figure 5.25 DRIE trench defined SOI suspension BOX anchor cross section ..............115
Figure 5.26 Angular acceleration sensor interleaved inner and outer radial anchors ....116
Figure 5.27 Angular acceleration sensor identical spring dual radius interleave...........117
Figure 5.28 SOI 20μm thick angular accelerometer ΔC/C0 sensitivity @α=100r/s2 ....118
Figure 5.29 Angular acceleration sensor and bond pad schematic ................................119
Figure 5.30 SOI angular accelerometer capacitive array radial dimensions..................120
Figure 5.31 Sensor capacitance Vs applied angular acceleration (α) ............................121
Figure 5.32 Linearized sensor capacitance Vs applied angular acceleration (α)...........122
Figure 5.33 Capacitive sensor C-V plot test equipment configuration ..........................124
Figure 5.34 Capacitance-Voltage plot theoretical comparison to empirical data ..........125
Figure 5.35 Self-Test capacitance array (N=10 electrodes) ...........................................125
Figure 5.36 Self-Test capacitance array applied voltage Vs angular acceleration(α) ...126
Figure 5.37 Simplified switched capacitor front end .....................................................128
Figure 5.38 Control chip voltage output Vs applied angular acceleration (α)...............129
Figure 5.39 Angular accelerometer two-chip interconnection top view........................130
Figure 5.40 ANSYS angular acceleration sensor meshed solid model..........................131
Figure 5.41 Displacement simulation of proof mass using z-axis linear acceleration ...132
xiii
Figure 5.42 Beam spring displacement due to angular acceleration about z-axis .........133
Figure 6.1 Switched capacitor front end top level schematic.......................................138
Figure 6.2 Phases 0-2 front end charge distribution.....................................................141
Figure 6.3 Transmission gate charge re-distribution clock phase detail ......................142
Figure 6.4 Basic transmission gate schematic sub-circuit (T-gate7)............................143
Figure 6.5 First stage capacitance to voltage (C to V) transconduction slope .............144
Figure 6.6 Front end sample-and-hold voltage output for a 1%ΔC/C0 ........................144
Figure 6.7 CMOS control chip functional block diagram............................................145
Figure 6.8 CMOS control chip analog signal path top level schematic .......................146
Figure 6.9 CMOS control chip interfaced to capacitive angular accelerometer ..........147
Figure 6.10 CMOS control chip output voltage reference (Noise=4.3VRMS) ................148
Figure 6.11 Output voltage measurement for a sinusoidal 40r/s2 input .........................148
Figure 6.12 Angular rate table test equipment configuration.........................................149
Figure 6.13 Eccentric cam sinusoidal arm linkage with motor driven transmission .....149
Figure 7.1 Typical SOI MEMS mechanical BOX attached anchor .............................153
Figure 7.2 Short SOI process flow DRIE trench defined Box anchor cross section....155
Figure 7.3 Short SOI process flow released device and bond pad cross section .........155
Figure 7.4 Clear field perimeter SOI short process flow angular accelerometers .......156
Figure 7.5 Bond pad interconnect beam anchor electrical isolation from substrate ....157
Figure 7.6 Bond pad metal and interconnect beam detail ............................................157
Figure 7.7 Dark field perimeter SOI short process flow angular accelerometer..........158
Figure 7.8 Dark field SOI electrical short to bond pad with substrate contact plate....159
Figure 7.9 SOI anchor perimeter etch-stop process flow.............................................160
Figure 7.10 SOI anchor trench refill perimeter etch-stop example ...............................161
Figure 7.11 Polysilicon trench refill substrate electrical contact process flow..............162
xiv
Figure 7.12 Polysilicon trench refill substrate electrical contact cleaved sample..........162
Figure 7.13 Substrate polysilicon electrical contact bond pad interconnection .............163
Figure 7.14 Substrate electrical contact cross section and electrical schematic ............164
Figure 7.15 Silicon dopant density (cm-3) Vs resistivity (Ω-cm)...................................165
Figure 8.1 Fully inner hub connected folded beam spring suspension ........................170
Figure 8.2 Fully inner hub connected folded beam spring suspension detail ..............170
Figure 8.3 1200μm angular accelerometer with extra beam spring folds....................171
Figure 8.4 1200μm angular accelerometer beam spring fold detail.............................172
xv
LIST OF TABLES
TABLE
1.1 Inertial Sensor Transduction Types and Mechanisms ....................................7
1.2 Common MEMS transducer piezoelectric materials and properties ..............8
1.3 Typical piezoresistance coefficients for n- and p-type silicon.....................10
3.1 Multiple classes of gyroscope performance..................................................49
3.2 Commercial automotive gyroscope performance comparison ....................51
4.1 Angular rate sensor model comparison results ............................................84
4.2 ANSYS sense ring moment of inertia simulation results ............................84
5.1 Angular accelerometer specification data....................................................92
5.2 Angular accelerometer SOI model verification results..............................130
5.3 ANSYS modal frequency simulation results .............................................132
5.4 Commercial/research prototype angular accelerometer performance ........135
6.1 Simulated Vs theoretical sample and hold stage output voltage................143
xvi
LIST OF APPENDICES APPENDIX
A. Electrostatic Latch and Release of MEMS Cantilever Beams....................173
B. Super Critical CO2 Chamber Design and Operation...................................193
C. Deep Reactive Ion Etch Tool Characterization ..........................................206
D. Switched Capacitor Low Pass Filter/Amplifier ..........................................225
E. Stiction Assisted Substrate Contact Design and Operation ........................230
F. Integrated SOI Process Flow ......................................................................236
1
CHAPTER 1
INTRODUCTION
Inertial sensing is typically categorized into three distinct sensor system types
represented by linear axis acceleration, angular rate (gyroscopes), and angular
acceleration. The development and commercialization of high volume low cost silicon
surface micromachined linear axis accelerometers [1-3] has been the predominant micro-
electromechanical system (MEMS) based sensor application realized by the automotive
market over the past decade. However, due to rapid advances in MEMS fabrication
technology made over the past several years, design efforts have been recently re-focused
in the development of low cost automotive micromachined gyroscopes. Currently, the
primary automotive gyroscope applications are active vehicle traction control, roll over
detection, and stabilization systems [4-7]. The target resolution for automotive angular
rate sensors used to detect vehicle roll-over is typically less than 2deg/s in a 40Hz
bandwidth with a (+/-)300deg/s full scale span. Active vehicle control applications [6]
typically require a target resolution of less than 1deg/s in a 50Hz bandwidth with a (+/-)
100deg/s full scale span. The target cost is between $10 and $20 per sensor, with single
customer orders typically ranging in millions of units per year [8]. Angular acceleration
sensors are currently used as feedback elements for computer hard drive read/write head
positioning algorithm applications [9, 10] in commercial volumes [11] with target costs
typically ranging from $5 to $9 per sensor. Although automotive angular acceleration
crash detection applications have been proposed [12] they have not yet been realized in
the commercial domain due to the poor sensitivity and resolution of low cost MEMS
sensors currently commercially available. Applications such as hand held camera
stabilization and active vehicle control [6] may also benefit from the use of low cost
2
lightweight angular accelerometers as closed loop feedback elements, provided sensors
with higher sensitivity and resolution can be provided in commercial volumes.
1.1 Automotive Accelerometer Evolution
The Intermodal Surface Transportation Efficiency Act (ISTEA), signed into law
during 1991, ensured that 100% of production automobiles sold in the United States were
to be equipped with occupant safety airbags by 1998. Electromechanical accelerometers
used for automotive crash detection and subsequent air bag deployment in the early
1990’s consisted of a roller anchored via a flat spring band [13] as shown in the top view
of Figure 1.1.
A B
A B
ConstantVelocity(0 acceleration)
Motion
Large deceleration (>6g) upon impact
R1
RAB = ∞ Ω
RAB ≅ R1Ω
Metal Cover
Baseplate
Backstop Roller ElectricalContact
Spring Band
ElectricalResistor
R1
Proof mass roller completes electrical contact circuit for >6g acceleration
A B
A B
ConstantVelocity(0 acceleration)
Motion
Large deceleration (>6g) upon impact
R1
RAB = ∞ Ω
RAB ≅ R1Ω
Metal Cover
Baseplate
Backstop Roller ElectricalContact
Spring Band
ElectricalResistor
R1
Proof mass roller completes electrical contact circuit for >6g acceleration
Figure 1.1 Electromechanical event accelerometer used in early 1990’s automobiles.
3
Sufficient deceleration experienced during a crash event, typically in excess of 6g’s
(where 1g = 9.81m/s2), caused the roller to displace from its zero-acceleration position
until the electrical contact was closed as shown in the bottom view of Figure 1.1.
Electrical resistance measured at the accelerometer’s connections A and B provided the
airbag control system with discrete event detection where an open/short circuit
represented less/greater than 6g’s respectively. Three of the electromechanical discrete
event accelerometers were used in the early airbag control system loops to evaluate the
severity of a crash regarding discrimination of intentional activation/deployment. Two of
the accelerometers were placed in the vehicle’s front crush zone typically located on the
frame behind the front bumper or on the lower portion of the radiator supports [14]. The
remaining accelerometer was placed in the occupant zone either in or near the passenger
compartment often referred to as a “safing sensor”. Deceleration values experienced in
the crush and occupant zones are separated by both magnitude and phase (time).
Although the crush zone accelerometers provided both earlier crash warning and larger
deceleration magnitudes they were not able to discriminate actual acceleration values
occurring along the vehicle’s major axis. The “safing sensor” was added as a redundant
crash event verification accelerometer to prevent inadvertent airbag deployment should
both crush zone accelerometers either malfunction or experience a shock not correlated to
an actual crash event.
Micromachined electromechanical capacitive accelerometers [1-3] were a logical
replacement since these analog sensors provided sufficient bandwidth, sensitivity, and
resolution to facilitate adequate single point testing when located in the vehicle’s
occupant zone. MEMS capacitive accelerometers were initially available for less than $8
per device in production quantities. This represented a significant cost reduction over the
electromechanical event detection accelerometers supplied by Breed and TRW at a target
cost of $15 per device [14] where three devices were required per automobile. In
4
addition, wiring harness costs were reduced using the single point MEMS accelerometer
approach. The MEMS accelerometers were less expensive, more reliable, provided a
continuous analog signal output, and were smaller than their electromechanical switch
counterparts.
A typical MEMS accelerometer currently used in the automotive airbag market is the
MMA3201D manufactured by Motorola. The MMA3201D accelerometer exhibits a
bandwidth of 0-400Hz, sensitivity of 50mV/g, span of (+/-) 40g, and resolution of 0.06g.
The continuous analog output of this type of MEMS accelerometer significantly
enhanced automotive inertial sensing control applications. Modern airbag deployment
control loops were quickly adapted in the mid 1990’s to recognize and discriminate front,
side, and rear vehicle crash signatures using rule based and/or fast Fourier transform
algorithms evaluated via electronic modules. The electronic modules consisted of
application specific integrated circuits coupled with embedded microprocessors.
Typically, the accelerometer was incorporated directly onto the electronic module’s
printed circuit board providing both electrical interconnection and mechanical support.
The front, side, and rear acceleration crash signatures of an automobile are model specific
requiring automotive manufacturers to tailor and qualify airbag crash detection
algorithms based on deceleration data acquired from intentionally crashed vehicles
whenever a new product line is introduced.
1.2 MEMS Linear Axis Accelerometers
Virtually all inertial MEMS sensors exhibit electromechanical transduction
components which can be modeled as simple linear or rotational acceleration. As a
result, inertial MEMS models contained in this thesis expand and exploit this relationship
wherever applicable.
5
Linear accelerometers measure acceleration directed along a specific axis of desired
sensitivity. Typically the accelerometer consists of a mechanically suspended proof
mass-spring system as shown in Figure 1.2.
SpringAnchor
Fixed Electrode
Movable Proof-mass z0
z0 - Δz
KZ
MKf Z
π21
0 =
M = massKZ = spring constantaZ = accelerationFZ = acceleration force
Resonant Frequency
QMfTfKa Z
BrownianΔ
= 08π
Brownian Noise Equivalent Acceleration
KZ
x
yz
Zero Acceleration Proof Mass PositionNon-Zero Acceleration Proof Mass Displacement
T = temperatureΔf = bandwidthQ = quality factor
SpringAnchor
Fixed Electrode
Movable Proof-mass z0
z0 - Δz
KZ
MKf Z
π21
0 =
M = massKZ = spring constantaZ = accelerationFZ = acceleration force
Resonant Frequency
QMfTfKa Z
BrownianΔ
= 08π
Brownian Noise Equivalent Acceleration
KZ
x
yz
x
yz
Zero Acceleration Proof Mass PositionNon-Zero Acceleration Proof Mass Displacement
T = temperatureΔf = bandwidthQ = quality factor
T = temperatureΔf = bandwidthQ = quality factor
Figure 1.2 Simple mass-spring accelerometer with acceleration along z-axis.
An externally applied z-axis acceleration causes the movable proof-mass to translate
location as referenced to the initial gap (z0) between the proof-mass and fixed reference
electrode. The movable proof-mass experiences a mechanical force proportional to the
block’s mass (M) multiplied by the externally applied acceleration (aZ) as given by
Newton’s second law of motion in Eq. 1.1. The relationship between the mechanical
6
spring constant (KZ) and proof-mass translation distance (ΔZ) due to an externally applied
force results in spring elongation described by Hooke’s law as given in Eq. 1.2.
ZZ MaF = (1.1)
ZZZ KF Δ= (1.2)
The relationship between applied acceleration and proof-mass displacement is
described by combining equations 1.1 and 1.2 as given in Eq. 1.3.
ZZ
Z aKM
=Δ (1.3)
Therefore, the proof-mass displacement (Δz) is directly proportional to the applied
external acceleration (aZ) and scaled by the ratio of mass (M) to the system’s mechanical
spring constant (KZ) for small linear deflections.
The proof-mass displacement as a function of applied external acceleration from the
zero-acceleration position is converted into an electrical signal using electronics
interfaced to the sensor. The electronic circuit configuration is dictated by the type of
acceleration sensor used. Inertial MEMS sensor interface circuits have been previously
demonstrated as compatible with capacitive [1-3], piezoelectric [15, 16], piezoresistive
[17-19], tunneling [20-25], and thermal [26] sensor transduction types as listed in Table
1.1.
7
Table 1.1 Inertial Sensor Transduction Types and Mechanisms Sensor Type DC/Low Freq AC/High Freq Limit Transduction MechanismPiezoelectric >5 Hz >100kHz (4-40kHz typical) compression of spring redistrubutes chargePiezoresistive 0 Hz <10kHz (0.4-5kHz typical) stress in spring changes resistanceTunneling 0 Hz <1kHz (4-400Hz typical) tunneling currrent due to tip/electrode proximityThermal 0 Hz <100Hz (30-40Hz typical) thermal transport delay of heat pulse in N2 gasCapacitive 0 Hz >100kHz (1-20kHz typical) capacitive sense gap between mass/electrode
1.2.1 Piezoelectric Inertial Sensor Transduction
Crystalline materials in which an applied mechanical stress produces an electric
polarization, and reciprocally, an applied electric field generates a mechanical strain are
referred to as piezoelectric. Piezoelectric sensors are classified as “self generating” since
the electric field resulting from an applied mechanical stress generates a differential
voltage signal. However, a key potential limitation of this transduction mechanism is that
while the piezoelectric effect produces a DC charge polarization it will not sustain a DC
current [27, 28]. Therefore, piezoelectric transducers are inherently incapable of
providing a DC response. The limited low frequency response of piezoelectric
transducers is primarily due to parasitic charge leakage paths in the non-centrosymmetric
crystal materials under constant mechanical strain.
The piezoelectric differential voltage signal is easily signal conditioned using typical
low noise voltage amplification circuits [15]. Although silicon is not a piezoelectric
material, thin piezoelectric films such as PZT (lead zirconate titanate) or BaTiO3 (barium
titanate) can be deposited onto silicon substrates to form MEMS based sensors and
actuators. Several common piezoelectric materials and properties are listed in Table 1.2
[29].
8
Table 1.2 Common MEMS transducer piezoelectric materials and properties. Material ZnO Quartz AlN BaTiO3 PZT Units
Piezoelectric coefficient (d33) 246 2.3 3.9 190 130 [pC/N]Relative dielectric constant (εr) 1400 4.5 8.5 4100 1000 εr ε0 [F/m]
Piezoelectric materials exhibit charge leakage under constant strain and eventually the
electric field providing the sensor differential voltage will decrease towards zero [30].
As a result, low frequency sensor operation at values less than 10Hz have been difficult
to demonstrate using piezoelectric transducer materials [14]. An example of a constant
mechanical strain would be to orient the accelerometer’s sense axis in line with the
earth’s gravitational field.
Piezoelectricity, pyroelectricity, and ferroelectricity share properties inherent to the
electrical polarization vector associated with the non-centrosymmetric crystals which
comprises the sensor bulk material. If a material is piezoelectric, in most cases it will
also be pyroelectric and ferroelectric with very few exceptions of exotic materials [29]
outside the scope of typical MEMS processing/research. The pyroelectric behavior limits
the use of these materials in automotive applications since most suitable piezoelectric
sensor materials exhibit considerable temperature sensitivity requiring some form of an
integrated sensor [15] or signal conditioned analog/digital compensation technique. The
increase in sensor interface complexity to compensate for pyroelectric effects coupled
with the lack of DC operation make piezoelectric sensing a less attractive technology
regarding automotive applications where large temperature spans and static operation are
key system requirements. While quartz has proven to be an excellent material regarding
negligible aging effects, this attribute does not describe thin film PZT deposited by
sputter or SOLGEL lanthanum doping techniques [31]. Creep and depoling of the
ferroelectric PZT material domains have been identified as possible material degradation
effects responsible for an observed 5% drop in displacement amplitude of a piezoelectric
9
micromechanical resonator tested over a 100 hour period [32]. Delaminations have been
observed at the PZT-Pt interface [33], suggesting that these films may be susceptible to
interfacial failure with repeated bending which raises significant concern as to the long
term reliability of piezoelectric thin film deposition based sensors and actuators. The Pt
electrode may be replaced by other materials such as doped polysilicon with respect to
PZT film deposition and annealing which desirably developed a random polycrystalline
perovskite phase, but were also subject to tensile cracking [34]. Film integrity at the PZT
electrode film interface may require significant process innovation before this technology
can guarantee the high degree of reliability required for automotive safety applications
where a 10year operational device lifetime is a typical requirement.
1.2.2 Piezoresistive Inertial Sensor Transduction
Crystalline materials in which an applied mechanical strain produces a change in the
electrical resistance are piezoresistive. Many crystalline materials exhibit a change in the
mobility or the number of charge carriers as a function of volume deformation due to
applied mechanical stress [35]. The deformed volume affects the energy gap between the
valence and conduction bands resulting in a change in the number of available carriers
responsible for bulk electrical resistivity in semiconductor materials with additional
effects modeled by Herring [36]. Monocrystalline silicon exhibits a large piezoresistivity
[37] combined with excellent mechanical properties making this material a good
candidate for potential sensor applications regarding mechanical strain measurement [38-
40]. The use of dopant diffusion techniques in the fabrication of piezoresistive sensors
for stress, strain, and pressure was initially proposed by Pfann and Thurston [41] in 1961.
Thin single crystal silicon dopant diffused membranes were used to form a pressure
sensor fabricated by Tufte et al [42] in 1962 . The first micromachined piezoresistive
strain gage accelerometer was demonstrated by Roylance and Angell [17] in 1979 for use
in biomedical implants to measure heart wall accelerations. The accelerometer was
10
fabricated from a silicon wafer sandwiched between two anodically bonded 7740 Pyrex
glass wafers to provide hermetic operation as shown in Figure 1.3.
Pyrex Glass
Pyrex Glass
Silicon MovableProof Mass
Cantilever Beam Diffused Piezoresistor
Device Cross-section
Cavity
AnodicBonds
Figure 1.3 Piezoresistive strain-gage based silicon accelerometer.
Strain gage accelerometers are fabricated by placing either deposited polycrystalline
silicon or diffused single crystal silicon resistors onto the proof mass suspension at areas
of peak stress [43]. The sensitivity of single crystal silicon is highly orientation
dependent based on πXX coefficients [37, 44] as shown in Table 1.3.
Table 1.3 Typical piezoresistance coefficients for n- and p-type silicon. Dopant Resistivity Concentration π11 π12 π44
n-type 11.7 3*1014 -102.2 53.4 -13.6p-type 7.8 2*1015 6.6 -1.1 138.1Units Ω-cm cm-3 10-11 Pa-1 10-11 Pa-1 10-11 Pa-1
Therefore, mask misalignment rotation errors during photolithography steps with the
wafer flat can result in some reduction in piezoresistive sensitivity. Polycrystalline
silicon is more tolerant of mask alignment rotation errors regarding piezoresistance, but is
less sensitive than single crystal material. Also, polycrystalline silicon piezoresistance is
strongly influenced by grain size. Large grain polycrystalline silicon can approach 60-
11
70% the piezoresistance of single crystal silicon [45]. However, the piezoresistance of
small grain polysilicon is approximately seven times less than single crystal silicon [46].
Piezoresistance coefficients depend strongly on dopant type, n-type or p-type, and are
weak functions of doping levels for values less than 1019 cm-3, but then decrease
significantly as doping is increased. The piezoresistive coefficients also decrease with
increasing temperature, falling to 70% at 150C as compared to room temperature
operation. The piezoresistive temperature dependence is nonlinear which is compounded
with the need to compensate for the large temperature coefficient of resistivity due to
typically low dopant concentrations used [37, 44]. A Wheatstone bridge configuration
can be used to optimize the output sensitivity over temperature without the typical large
nonlinearity error due to temperature coefficients of resistance associated with other
compensation techniques such as increased voltage gain [47-49]. Doping can also be
increased at the cost of decreased piezoreistance sensitivity to compensate for undesirable
temperature coefficient of resistance effects.
1.2.3 Tunneling Inertial Sensor Transduction
Electron tunneling is used between a sharp conductive tip and electrode in near
contact suspended via a mechanical spring to form an accelerometer [21]. The tunneling
current (IT) is a function of the applied bias voltage (VB) and tip to proof-mass separation
(dT) where constants are used for the quantum mechanical barrier height (Φ = 0.2eV) and
αI = 1.025 Å-1eV-0.5. A feedback control loop is used to maintain a relatively constant
tunneling current (IT) by controlling the feedback voltage (VF) providing the electrostatic
force to maintain the movable proof-mass and tunneling tip separation (dT) as shown in
Figure 1.4.
12
Anchor
Movable Proof Mass
Tunneling Tip
+
-
Silicon Substrate
IT VF
Suspension Spring
Dielectric (SiXNY)
dT
TI dBT eVI Φ−∝ α
VB
+
-
Anchor
Movable Proof Mass
Tunneling Tip
+
-
Silicon Substrate
IT VF
Suspension Spring
Dielectric (SiXNY)
dT
TI dBT eVI Φ−∝ α
VB
+
-
Figure 1.4 Tunneling tip accelerometer with electrostatic force feedback loop.
The tunneling tip bias voltage is typically less than 1 volt for separations on the order
of 10 angstroms between the tip and proof-mass. The separation distance is typically
fabricated much larger than the 10 angstrom operating gap where the electrostatic force
provided by the feedback loop is used to reduce and maintain the gap during operation.
The feedback loop voltage is typically on the order of 20 volts [50]. Mechanical shocks
experienced during normal device operation will inevitably result in undesirable tip to
proof-mass contact referred to as tip-crashing due to the small operating separation
distance. The accelerometer control electronics must also include current limiting during
tip-crashing to preclude destruction of the conductive tip [51]. The tunneling current
exhibits a 1/f noise spectrum with a noise floor on the order of 20nano-g/√Hz reported in
a 5Hz-1.5kHz bandwidth [52].
While tunneling accelerometers have proven to be extremely sensitive they have been
difficult to manufacture due to large device to device variation. Tunneling
accelerometers are not yet as repeatable as capacitive sensors regarding both their basic
sensitivity and noise characteristics [53].
13
1.2.4 Thermal Inertial Sensor Transduction
The operating principle of a thermal accelerometer is based on the effect of
acceleration with respect to the free convection heat transfer of a hot gas bubble inside a
sealed cavity. A single-axis thermal accelerometer consisting of a central heater located
between two temperature coefficient of resistance (TCR) based polysilicon temperature
sensors suspended over an etched cavity, to provide thermal isolation to the silicon
substrate, has been previously demonstrated [26] as shown in Figure 1.5.
Silicon Substrate
Etched Cavity BottomOxide
HeaterTemp Sensor2Temp Sensor1
X
YZ
X
YZ
Figure 1.5 Thermal accelerometer isometric view.
The two suspended temperature sensors, temperature sensor1 (TS1) and temperature
sensor2 (TS2), are located at equal distances symmetric about the central heater as shown
in Figure 1.6. The temperature profile in the proximity of the central heater is symmetric
when no external acceleration is applied. However, the symmetry is disturbed when a
non-zero acceleration is applied as shown by dotted lines in Figure 1.7.
14
BottomOxide
SidewallOxide Polysilicon
Nickel
Silicon Substrate
Heater
TempSensor1
TempSensor2
Etched Cavity
X
Z
Figure 1.6 Thermal accelerometer cross section.
Temperature
X AxisLocation
Zero X Axis Acceleration-X Axis Acceleration
X
T
+X Axis Acceleration
X
T
ΔT ΔT
HTRTS1 TS2HTRTS1 TS2 HTRTS1 TS2
Figure 1.7 Thermal accelerometer differential temperature profile versus x-axis.
The temperature coefficient of resistance of the lightly-doped polysilicon is used to
measure the differential temperature as a function of acceleration. The thermal time
constant of the temperature sensors, with their polysilicon coefficient of thermal
resistance controlled via doping concentration to approximately 2000ppm/C, are coupled
with the thermal properties of the sealed cavity gas as a multi-pole control system with
the first pole located at approximately 20 Hz [26]. A sensor bandwidth extension
technique has been described to extend the thermal accelerometer to 160Hz [54] by
increasing the analog system gain as a function of frequency matched with the initial
mechanical pole at 20dB/decade. This electrical-zero/mechanical-pole matching
15
technique increases the apparent system bandwidth at the cost of significantly degraded
signal to noise ratio beyond the initial mechanical pole frequency. As a result, it may
prove difficult for this technology to achieve the 400Hz bandwidth typically required for
automotive accelerometer applications. In addition, the central heater consumes power
on the order of 20mW which must also be regulated, to maintain a constant heater
temperature versus an automotive ambient temperature swing of -40C to 85C, using
closed loop electronics which consume additional power. As a result, this method
consumes a significant amount of power and may prove difficult to implement in either
automotive or battery powered commercial applications where capacitive linear axis
accelerometers are currently available with lower power drain and wider signal
bandwidth.
1.2.5 Capacitive Inertial Sensor Transduction
An important advantage of capacitive accelerometers is that, as opposed to the
piezoresistive accelerometers, there is a very small degree of inherent temperature
sensitivity [55]. Changes in capacitance over temperature, for devices operated at
constant low pressures, are primarily attributed to the thermal expansion/contraction of
sensor electrodes causing a change in the effective dielectric gap. However, the
temperature coefficient for the dielectric constant of air, maintained at a constant pressure
of 1-atmosphere and normalized to 20°C, has been identified as 2ppm/°C for dry air and
7ppm/C for moist air [56]. Although non-zero, this capacitive temperature dependence is
typically orders of magnitude less than piezoresistive devices.
Capacitive sensors are typically integrated using a combination of fixed and movable
electrodes which sense mechanical displacement. The inherent nonlinearity associated
with several types of capacitive sensor operation is often overshadowed by their
16
simplicity and very small temperature coefficients. Several potential capacitive sensor
configurations [57, 58] are illustrated in Figure 1.8.
a
b
c
a
c
ΔzΔz
ParallelPlate
Differential
C1
C2
z0 z0C1
a
c
Δx
z0C1
aΔx
z0C1 C2
a
c
z0
Δx
εrDielectric
b c
zzACΔ−
=0
01
εzz
ACΔ−
=0
01
ε
zzACΔ+
=0
02
ε0
101 z
yWC ε=
OverlapArea
xyA =
xxW Δ−=1
0
101 z
yWC ε=
0
202 z
yWC ε=
xxW Δ+=2
DifferentialOverlap Area
MovableDielectric
0
101 z
yWC ε=
0
202 z
yWC rεε=
21 CCCtotal +=
Case 1 Case 2 Case 3 Case 4 Case 5
a
b
c
a
c
ΔzΔz
ParallelPlate
Differential
C1
C2
z0 z0C1
a
c
Δx
z0C1
aΔx
z0C1 C2
a
c
z0
Δx
εrDielectric
b c
zzACΔ−
=0
01
εzz
ACΔ−
=0
01
ε
zzACΔ+
=0
02
ε0
101 z
yWC ε=
OverlapArea
xyA =
xxW Δ−=1
0
101 z
yWC ε=
0
202 z
yWC ε=
xxW Δ+=2
DifferentialOverlap Area
MovableDielectric
0
101 z
yWC ε=
0
202 z
yWC rεε=
21 CCCtotal +=
Case 1 Case 2 Case 3 Case 4 Case 5
Figure 1.8 Capacitive sensor configuration cases.
The parallel plate capacitor has been used to measure a spring suspended proof mass
displacement as a function of the separation between the proof mass and a fixed reference
electrode [59]. Interface circuits to convert the parallel plate sensor capacitance to an
output voltage signal have been previously demonstrated [60].
Differential capacitance accelerometers with a vertical out of plane displacement have
been demonstrated in bulk silicon [61], as shown in Figure 1.9, and surface
micromachined polysilicon [1, 3]. Lateral displacement in the wafer plane has also been
demonstrated using a differential capacitance interdigitated finger scheme [2]. The
maximum displacement of these devices is typically limited to 10% the initial gap due to
the non-linear capacitance relationship.
17
Glass
Glass
Silicon MovableElectrode (b)
Fixed Metal Electrode (c)
Fixed Metal Electrode (a) a
b
c
Cantilever BeamSchematicDevice Cross-section
Figure 1.9 Capacitive accelerometer sandwiched between two glass wafers.
Interdigitated comb drives, which utilize electrode area overlap, can be used to sense
lateral [62] and vertical [63] proof mass displacement via linear capacitance changes.
However, this technique is typically used only for large travel electrostatic displacement
actuation due to its lower inherent sensitivity to the proof mass displacement .
Capacitive sensing is currently the default transduction mechanism for MEMS based
mass/spring accelerometers used in the automotive market [1-3] primarily due to its
relatively low temperature sensitivity.
1.3 MEMS Angular Acceleration and Rate Sensors
Angular accelerometers [10-12, 64] typically employ a capacitive inertial sensor
interface similar to the linear accelerometer described in the previous section. These
angular accelerometers complete the desired mapping of 6 degrees of freedom with
respect to accelerations directed along (linear x,y,z) and about (angular x,y,z) the x,y,z
axes. The major difference between a linear and angular accelerometer is in the proof
mass suspension mode coupling with all other aspects remaining virtually identical. As a
result, significant reuse of technology can be incorporated to fabricate angular
acceleration devices as described in Chapters 4 and 5 of this thesis.
18
Gyroscopes measure angular rate optically or mechanically using either the Sagnac or
Coriolis effects [65], respectively. Currently, the performance of both ring laser and fiber
optic gyroscopes is far superior to that of their mechanical counterparts, but their high
manufacturing cost and size prohibits their use in low cost automotive applications even
in high volume production quantities [66]. As a result, mechanical Coriolis effect
gyroscopes currently dominate 100% of the automotive angular rate sensor market
During the past decade a great deal of research has been performed on MEMS based
vibratory rate gyroscopes (VRG) for intended use in automotive applications. Angular
rate sensors (gyroscopes) have been implemented using vibrating rings [67-69], prismatic
beams [70-74], tuning forks [75-77], and torsion [78, 79] oscillation.
Micromachined processing technologies capable of producing gyroscopes can be
categorized as piezoelectric quartz [6, 7], electroplated nickel [4, 68, 75], bulk silicon
[73, 80], surface micromachined polysilicon [1-3, 5, 8, 76-79], polysilicon trench refill
[63, 69], and silicon on insulator (SOI) [81-83]. Our research is focused on aspects of
both surface and SOI micromachining as these technologies represent the current trend to
fabricate the sensor and CMOS interface integrated circuitry in the same facility. Also,
single chip fusion comprised of sensor and integrated circuitry can be eventually realized
using this methodology.
1.4 Thesis Outline
Chapter 2 introduces the Foucault pendulum as a model for vibratory rate
gyroscopes. The normal mode model is described and several modes of gyroscope
operation are identified. Open and closed loop (force feedback) operation address the
trade-off between angular rate resolution and sensor bandwidth respectively.
19
Chapter 3 describes the various classes and types of vibratory gyroscopes. Examples
from each class are presented with advantages and disadvantages compared from each
configuration. A list of desirable characteristics is presented as a set of design rules for
an enhanced surface micromachined gyroscope.
Chapter 4 introduces the surface micromachined dual anchor gyroscope as a means
to solve many of the challenges listed in Chapter 2. The desire for low cost surface
micromachined gyroscopes required several design and process innovations to increase
both device performance and yield. Device cross sections, process flow, and
characterization results are included. Device models specific to the dual anchor
gyroscope are presented with verification results simulated using ANSYS finite element
analysis (FEA) software.
Chapter 5 describes basic operation of angular accelerometers and provides a model
and characterization results of a surface micromachined dual anchor angular
accelerometer. Model results suggest thicker substrates are required to achieve angular
acceleration sensitivities to satisfy the computer hard disk and automotive markets. This
argument is used as a rationale to develop high aspect ratio angular acceleration sensors
in thick silicon on insulator (SOI) substrates. Characterization results are compared to
theoretical models and finite element analysis (FEA) simulation where applicable.
Chapter 6 describes the capacitive MEMS angular accelerometer and gyroscope
switched capacitor CMOS front end electronic signal conditioning architecture. Noise
rejection at the sensor interface is addressed at the initial capacitance to voltage (C-V)
stage by sampling the differential sensor capacitance values in parallel using a sample
20
and hold technique. Switched capacitor transient simulations are compared to theoretical
transfer functions summarized in this thesis.
Chapter 7 describes the angular accelerometer fabricated in an SOI process flow.
Design enhancements made possible using SOI with a polysilcion/nitride trench refill
process are demonstrated.
Chapter 8 briefly summarizes the body of research included in this thesis and
suggests potential improvements to the demonstrated angular rate and acceleration sensor
designs.
21
CHAPTER 2
VIBRATORY RATE GYROSCOPE PRINCIPLES
Vibrating elastic bodies, like the Foucault pendulum [84], can be used to measure
rotation. The vast majority of micromachined gyroscopes use vibrating mechanical
elements to sense rotation. These vibrating rate gyroscopes (VRG) are angular rate
sensing devices which have no unidirectional rotating parts that would require bearings
and as a result can be easily miniaturized and batch fabricated using micromachining
techniques [85]. Vibratory gyroscopes are based on the transfer of energy between two
normal operating modes of a structure described by Coriolis acceleration. Coriolis
acceleration, named after the French scientist and engineer G. G. de Coriolis (1792-
1843), is an apparent acceleration that arises in a rotating reference frame which is
proportional to the frame’s rate of rotation. MEMS vibratory gyroscopes which utilize
Coriolis acceleration to measure angular rate are typically categorized into one of several
basic classes; vibrating rings [67-69], prismatic beams [71-74], tuning forks [75-77],
and torsion [78, 79] oscillation.
This chapter describes the principles of vibratory gyroscope rotation measurement
using the Foucault pendulum as a reference model. The Foucault pendulum model is
referenced throughout this dissertation providing a consistent explanation as to how
vibratory gyroscopes work and as a comparison between the multiple classes listed
above. The normal mode model provides the theoretical basis to understand and predict
the performance of typical MEMS vibratory gyroscopes. As a result, the normal mode
model will be applied to multiple vibratory gyroscope classes, throughout the remainder
of this thesis, in order to predict angular rate sensitivity and compare different design
implementations.
22
2.1 Foucault Pendulum History
Jean Bernard Leon Foucault (1819-1868), the inventor of the gyroscope in 1852,
demonstrated during the 1851 World's Fair that a pendulum could track the rotation of
the Earth. This work began in 1848 while Leon Foucault was setting up a long and
slender metal rod in his shop lathe. Foucault “twanged" the free end of the singly
clamped rod with an impulse, similar to a strike of a tuning fork, causing it to vibrate at
its natural frequency in a vertical direction. Foucault then slowly rotated the lathe chuck
by 90 degrees and observed no change in the vibration pattern vertical alignment.
Serendipity allowed Leon Foucault to analyze the physical implications of the
vibrating rod oscillation plane, observed to be independent of the lathe chuck base
rotation, and construct a second experiment to test his hypothesis. Subsequently, he set
up a small pendulum in his drill press, started the pendulum into oscillation by hand, and
then rotated the drill press about the earth’s gravity acceleration vector direction. Once
again, the pendulum kept swinging in its original oscillation plane independent of the fact
that its mounting point reference was rotating.
Foucault then spent the next several months constructing a 2 meter long wire
suspended pendulum with a 5 kilogram ball in his cellar workshop. Before the amplitude
of the swing was fully damped he observed that the weight on the end of the pendulum
appeared to rotate clockwise, as noted in Foucault’s journal at exactly two o'clock in the
morning on January 6, 1851 [86]. Foucault hypothesized that the rotation of the earth
must be responsible for the clockwise rotation of the pendulum pattern by analogy to the
rotating drill press in his previous experiment. Now convinced of the rotating reference
frame principle, Foucault constructed a second pendulum with an 11 meter wire in the
Paris Observatory and it also rotated clockwise as predicted due to the earth’s rotation.
23
Foucault publicly demonstrated a 67-meter tall pendulum at the 1851 Paris Exhibition
in the Pantheon - a Parisian church. A stylus was placed under the 28 kg cannon ball
proof mass with sand scattered in a circular pattern to record the pendulum trace over
multiple oscillations. The cannon ball was pulled to one side and held fixed in place with
a string. With much ceremony, the string was ignited and the ball began to describe a
straight (non-elliptical) path in the sand. Within a few minutes, the pendulum had begun
to swing slightly clockwise and the previous narrow straight-line in the sand had widened
to look like a twin-bladed propeller. Foucault described to the crowd of invited guests
and formally trained scientists that the earth rotated "under" his pendulum. As a result,
he provided the empirical evidence for rotation of the earth that had been unsuccessfully
attempted by Copernicus, Kepler, Descartes, Galileo, and Newton during the preceding
three centuries.
In the following year, during 1852, Foucault repeated his pendulum experiment with
a massive spinning weight which he called the gyroscope [87]. He showed that the
gyroscope, just like the pendulum, ignored the local effect of earth rotation.
Foucault’s gyroscope used the relatively constant inertia of a large unidirectional
spinning mass, analogous to the sinusoidal inertia of the pendulum, to maintain the initial
proof mass oscillation plane independent of the earth’s rotating reference frame.
An object will remain either at rest or in uniform motion along a straight line unless
compelled to change its state by the action of an external force. This is normally taken as
the definition of inertia as described by Newton’s first law of motion. Inertia is the
physical property responsible for maintaining the oscillation plane of both the Foucault
pendulum and gyroscope fixed in space while the earth rotates beneath them.
24
2.2 Foucault Pendulum Properties
MEMS vibratory rate gyroscopes do not exhibit the gyroscope property of constant
inertia due to a proof mass spinning with a constant rotation rate. It is therefore
unfortunate that MEMS angular rate sensors are referred to as vibratory rate gyroscopes.
Instead, MEMS vibratory rate gyroscopes operate very similar to the Foucault pendulum
based on their shared properties of bi-directional proof mass oscillation coupled with
displacement angles much smaller than 2π radians.
The Foucault pendulum can be most easily understood by considering a pendulum
that is set into motion at the earth’s north pole. To an observer, who is fixed in space
above the north pole, it appears that the plane of the pendulum swing remains stationary
while the earth rotates [88]. However, an observer standing on the earth at the north pole
would perceive that pendulum precession is occurring at the rotation rate of the earth (Ω
= 360°/day). The apparent force causing the pendulum to precess in a clockwise
direction, as viewed by the observer standing at the north pole, is described by the
Coriolis acceleration vector as shown in Figure 2.1.
North Pole
North Pole
South Pole Fixed Space View Above North Pole
Earth Rotation
Pendulum
Pendulum
aCoriolis
North Pole
North Pole
South Pole Fixed Space View Above North Pole
Earth Rotation
Pendulum
Pendulum
aCoriolis
Figure 2.1 Foucault pendulum located at north pole.
25
The periodic path of the pendulum can be used to calculate the earth’s rotation rate
(Ω) via the measured period (τ) and the angular separation between complete precession
cycles (θ) as shown in Figure 2.2. In this mode of operation, called whole angle mode
[89], the pendulum operates as a rate integrating gyroscope.
North Pole
View Above North Pole at t=0
Earth Rotation
Pendulumbob
1
23
45
67
θ
8
View observed standing at north pole as earth rotates from t = 0-2τ, where τ = tB-tA
Pendulum path
Pendulumbob
A
B
Ω
Ω×= vaCoriolis 2
τθ
=Ω
North Pole
View Above North Pole at t=0
Earth Rotation
Pendulumbob
1
23
45
67
θ
8
View observed standing at north pole as earth rotates from t = 0-2τ, where τ = tB-tA
Pendulum path
Pendulumbob
A
B
Ω
Ω×= vaCoriolis 2
τθ
=Ω
Observer
Figure 2.2 Foucault pendulum path as interpreted by earth bound observer.
The coupling of the earth’s rotation with the Foucault pendulum, a strong function of
latitude, is based on the magnitude of the Coriolis acceleration. The Coriolis acceleration
vector magnitude and direction are defined by the cross products of the proof mass
velocity vector (v) and rotation rate vector (Ω) of the earth. The 0° latitude at the equator
orients the maximum velocity vector of the pendulum proof mass and the rotation vector
of the earth along a parallel direction resulting in a zero magnitude Coriolis acceleration
vector cross product. The maximum velocity vector of the pendulum proof mass is
tangent to the earth’s surface assuming an idealized uniform gravitational field at sea
level for all latitudes. The coupling of the Foucault pendulum, neglecting surface altitude
and gravitational deviations [90], as a function of latitude is described by the function
plotted in Figure 2.3.
26
Equator
Pendulum
North Pole
South Pole
0 10 20 30 40 50 60 70 80 900
90
180
270
360
Pend
ulum
rota
tion
[°/d
ay]
Latitude location of pendulum
Lat 90° N
Lat 0°
Lat 90° S
)sin(360 latitudeday
=θEquato
r
Pendulum
North Pole
South Pole
0 10 20 30 40 50 60 70 80 900
90
180
270
360
Pend
ulum
rota
tion
[°/d
ay]
Latitude location of pendulum
Lat 90° N
Lat 0°
Lat 90° S
Equator
Pendulum
North Pole
Equator
Pendulum
North Pole
South Pole
0 10 20 30 40 50 60 70 80 900
90
180
270
360
Pend
ulum
rota
tion
[°/d
ay]
Latitude location of pendulum
Lat 90° N
Lat 0°
Lat 90° S
)sin(360 latitudeday
=θ
Figure 2.3 Rotation of Foucault pendulum as a function of latitude.
The coupling of earth’s rotation and the Foucault pendulum produces a clockwise
(CW) and counterclockwise (CCW) rotation as witnessed by a local observer in the
northern and southern hemispheres respectively, as shown in Figure 2.4.
San Francisco~225°/day CW
Mexico City~120°/day CW
Ann Arbor~242°/day CW
Chandler, AZ~196°/day CW Equator
0°/day
North Pole360°/day CW
Cape Canaveral~175°/day CW
South Pole360°/day CCW
Rio de Janeiro~120°/day CCW
CW = ClockwiseCCW = Counterclockwise
San Francisco~225°/day CW
Mexico City~120°/day CW
Ann Arbor~242°/day CW
Chandler, AZ~196°/day CW Equator
0°/day
North Pole360°/day CW
Cape Canaveral~175°/day CW
South Pole360°/day CCW
Rio de Janeiro~120°/day CCW
CW = ClockwiseCCW = Counterclockwise
Figure 2.4 Foucault pendulum rotation coupling at different locations on earth.
27
2.3 Pendulum Physical Properties
The simple pendulum is described by an idealized model consisting of a proof mass
suspended by a mass-less string of fixed length in a uniform gravitational field. When
the proof mass is pulled to one side of its straight down equilibrium position and
subsequently released it will oscillate along a semicircular path isochronously.
Although the pendulum is not truly a simple harmonic oscillator, enhanced insight
and overall model simplification is afforded by direct comparison to the operation of a
simple mass-spring system. The initial step requires defining the mechanical restoring
forces of the pendulum (FT) and mass-spring (Fx) systems, as shown in Figure 2.5, and
given by Eq. 2.1 and Eq. 2.2 respectively.
mm
TF
mg
Tθ L
2xK
2xKx
xKF xx −=
x
y
1 Degree of freedom pendulum 1 Degree of freedom mass-spring
)sin(θmgFT −=
mK x
x =ϖ
Spring
CartesianSystem
PolarSystem
rθ
Equilibriumposition
mm
TF
mg
Tθ L
2xK
2xKx
xKF xx −=
x
y
1 Degree of freedom pendulum 1 Degree of freedom mass-spring
)sin(θmgFT −=
mK x
x =ϖ
Spring
CartesianSystem
PolarSystem
rθ
mm
TF
mg
Tθ L
2xK
2xKx
xKF xx −=
x
y
1 Degree of freedom pendulum 1 Degree of freedom mass-spring
)sin(θmgFT −=
mK x
x =ϖ
Spring
CartesianSystem
PolarSystem
rθ
Equilibriumposition
Figure 2.5 Simple pendulum and mass-spring system oscillators.
)sin()( θθ mgFT −= (2.1)
xKxF xx −=)( (2.2)
28
The pendulum mechanical restoring force is non-linear in nature. However, if the
maximum angle (θ) is small, the small angle approximation can be used to linearize the
pendulum model mechanical restoring force as given by Eq. 2.3.
θθ mgFT −≅)( (2.3)
The pendulum mechanical restoring force can then be converted to linear terms in x
using the relationship θ = x/L as given by Eq. 2.4.
xL
mgxFT −≅)( (2.4)
The linearized mechanical restoring force of the pendulum is defined by equating Eq.
2.2 and Eq. 2.4 while solving for Kx as given by Eq. 2.5.
LmgK x ≅ (2.5)
The resonant frequency of a simple mass-spring system is given by Eq. 2.6.
mK x=ϖ (2.6)
As a final step, we substitute Eq. 2.5 into Eq. 2.6 to represent the resonant frequency
of the pendulum in terms of a linearized simple mass-spring system as given by Eq. 2.7.
Lg
≅ϖ (2.7)
29
The period of the linearized pendulum model is then dependent upon the length (L)
and gravity (g) defined by Eq. 2.8.
gLπτ 2≅ (2.8)
This results in the familiar relationship that a pendulum’s period (τ) is independent of
mass. This relationship approximates the pendulum motion as simple harmonic and is
valid only for small angle displacements. The linearized simple harmonic model error,
as compared to the accurate non-linear model regarding prediction of τ, is less than 0.5%
for an angular displacement of +/-15 degrees as measured from the pendulum equilibrium
position [91]. Therefore, the approximation is useful where small angle displacements
are prescribed.
All vibratory gyroscopes are based on the transfer of energy between two resonant
modes as a function of Coriolis acceleration. Although the Foucault pendulum is one of
the simplest vibratory gyroscopes, its basic operating principles can be applied to all
Coriolis acceleration based devices. As a result, the following section will address the 2-
D simple harmonic oscillation model of the Foucault pendulum.
2.4 Pendulum Normal Mode Model
Mathematically, the precession of the Foucault pendulum can be modeled as a
function of its normal mode model. The normal mode model consists of a central proof
mass suspended with linear mechanical springs oriented about the x and y axes as shown
in Figure 2.6.
30
m
2xK
2xK
2 Degree of freedom pendulum 2 Degree of freedom mass-spring
Spring
2yK
2yK
x
y
z
Ωz
x
y
m
Ω×= vaCoriolis 2mm
2xK
2xK
2 Degree of freedom pendulum 2 Degree of freedom mass-spring
Spring
2yK
2yK
x
y
z
Ωz
x
y
m
Ω×= vaCoriolis 2
Figure 2.6 Foucault pendulum normal mode model.
Vibration theory provides a methodology from which any arbitrary vibration mode of
an elastic body can be modeled in terms of its normal modes [92]. These normal modes
of vibration are uncoupled in the absence of a rotating reference frame. The normal
mode model orients the drive and sense normal modes along the x and y axes
respectively which significantly simplifies the pendulum analysis. The coupled equations
of motion for the Foucault pendulum in the x-y plane [93] are given by Eq. 2.9 and 2.10,
where x(t) and y(t) represent the displacement amplitudes directed along the principal x
and y axes of vibration respectively.
0)()(2)( 22
2
=+Ω− txdt
tdydt
txdz ϖ (2.9)
0)()(2)( 22
2
=+Ω+ tydt
tdxdt
tydz ϖ (2.10)
The solution to this system of equations is given by Eq. 2.11 and Eq. 2.12.
31
)sin()cos()( ttAtx z ϖΩ= (2.11)
)sin()sin()( ttAty z ϖΩ−= (2.12)
These normal mode solutions predict that the Foucault pendulum will transfer energy
between modes at a precession rate equal to the applied rotation rate about the z-axis.
This analysis assumes that the spring constant for both the x and y axes are equal forcing
the normal mode frequency (ω) to equivalent values for all possible solutions in the x-y
plane. Asymmetries due to variation in spring constant (Kx, Ky), distributed among
individual springs, are neglected in the normal mode model analysis.
Energy transfer in the normal mode model assumes no damping present in the system.
The damping coefficient (b) will be introduced in Chapter 3 as a parametric measurement
of energy loss in the system extracted from the quality factor (Q) which describes the
ratio of the normal mode energy storage/dissipation while excited at resonance (ω).
In a practical system, where the damping coefficient (b) is non-zero, energy must be
continually introduced into the system to maintain a constant drive mode amplitude at, or
near, resonance to compensate for energy dissipation. Damping can be attributed to
multiple factors including viscous damping of the ambient gas surrounding the resonating
proof mass [94], acoustic radiation of energy through the anchor supports [67], and
intrinsic energy dissipation in the resonator structural materials [95] where polysilicon,
single crystal silicon, and quartz represent several typical examples.
32
2.5 Open Loop Normal Mode Model
Applying an excitation signal to maintain drive mode displacement amplitude while
simultaneously monitoring the sense mode displacement amplitude to measure the
angular rate signal is described as the open loop mode [89, 96] as shown in Figure 2.7.
2xK
2xKSpring
2yK
2yK
x
y
m
x-axis drive signal applied to maintain fixed amplitude at resonance
y-axis displacement signal used as parametric measurement of angular rate
ωzQ
xy Ω
= 2
2xK
2xKSpring
2yK
2yK
x
y
m
x-axis drive signal applied to maintain fixed amplitude at resonance
y-axis displacement signal used as parametric measurement of angular rate
ωzQ
xy Ω
= 2
Figure 2.7 Open loop angular rate sense operation.
The quality factor (Q) is a function of the proof mass (m), the resonant frequency (ω),
and the damping coefficient (b) as given by Eq. 2.13.
bmQ ω= (2.13)
The ratio of x to y axis displacement amplitudes has been modeled as a function of
angular rate for a normal mode gyroscope with damping [96] and is given by Eq. 2.14.
ωzQ
xy Ω
= 2 (2.14)
33
This relationship implies that the secondary mode is amplified by the quality factor
(Q) and inversely proportional to the resonant drive frequency (w). However, when the
pendulum based vibratory gyroscope is operated in open loop mode there is a lag time
associated between the application of an external angular rate and the corresponding y-
axis secondary mode to reach its steady state amplitude [96], as given by Eq. 2.15.
ωτ Q2
= (2.15)
The lag time between the externally applied angular rate signal and amplitude build-
up in the y-axis sense direction is the significant bandwidth limiting factor of the open
loop mode. However, the bandwidth can be significantly extended by using forced
feedback to null displacement of the sense mode [89, 96] similar to closed loop
accelerometer operation [2, 97].
2.6 Closed Loop Normal Mode Model
This mode of operation is similar to open loop operation with the additional
constraint that the y-axis amplitude is maintained at zero displacement. As a result, the
long time period (t) required to increase the sense axis amplitude over multiple drive
cycles at resonance (ω) is not required. This method potentially extends the sensor
bandwidth to the resonant drive frequency (ω) where an appropriate force feedback
signal is applied as given by Eq. 2.16.
ωz
xy QFF Ω= 2 (2.16)
34
However, the application of the force feedback signal to null sense mode
displacement causes a control loop oscillation which introduces more system noise than
is observed for the open loop mode. This design trade-off results in an increased sensor
bandwidth with decreased angular rate resolution.
2.7 Summary of Angular Rate Sensor Principles
MEMS based vibratory rate gyroscopes utilize some aspect of the Foucault pendulum
normal mode model with very few potentially noteworthy exceptions [98]. This normal
mode model applies across the macro to micro domains where economy of scale can be
exploited in the latter [94]. Most vibratory rate gyroscope designs use quality factor
amplification to boost the coupled mode angular rate signal. Design trade-offs must be
evaluated dependent upon which method of angular rate measurement is employed. The
methods of angular rate measurement include whole angle, open loop, or closed loop
forced feedback. Typically, MEMS vibratory rate gyroscopes are operated in the open or
closed loop modes. Open loop mode sensing provides a simple and high resolution
measurement technique at the cost of significantly reduced bandwidth. As the quality
factor (Q) increases, angular rate sensitivity increases while bandwidth is decreased. The
closed loop forced feedback mode addresses the bandwidth problem by extending the
usable sensor bandwidth theoretically to near resonant operation frequencies. However,
this technique causes the proof mass to oscillate about the zero displacement position
which introduces intrinsic noise into the detection scheme. As a result, the closed loop
forced feedback technique provides a larger bandwidth, at the expense of reduced angular
rate resolution, when compared to an open loop implementation with identical sensor
configurations. Chapter 3 will describe previously introduced angular rate sensor
designs. Development of design trade-offs with respect to the vibratory rate gyroscope
designed, fabricated, and characterized as a function of this thesis work will be
documented in chapter 4.
35
CHAPTER 3
VIBRATORY RATE GYROSCOPE CLASSES
Vibrating elastic bodies, similar to the Foucault pendulum [84], can be used to
measure rotation. Vibratory rate gyroscopes are based on the transfer of energy between
two normal operating modes of a structure described by Coriolis acceleration. MEMS
vibratory gyroscopes which utilize Coriolis acceleration to measure angular rate are
typically categorized into one of several basic classes; vibrating rings [67-69], prismatic
beams [70-74], tuning forks [75-77], and torsion [78, 79] oscillation.
3.1 Prismatic Beam Vibratory Gyroscopes
A basic MEMS gyroscope can be described by a vibrating rectangular cantilever
beam with identical drive and sense vibratory modes [71, 99] as shown in Figure 3.1.
Drive modepiezoelectric transducer
Sense modepiezoelectric transducer
ΩzRotation Rate
Sense mode(Coriolisresponse)vibration
Drive mode vibration
Cantilever beamsubstrate anchor
Drive modepiezoelectric transducer
Sense modepiezoelectric transducer
ΩzRotation Rate
Sense mode(Coriolisresponse)vibration
Drive mode vibration
Cantilever beamsubstrate anchor
Figure 3.1 Rectangular beam vibrating rate gyroscope.
36
The beam dimensions for the drive and sense modes are closely matched to define a
system almost identical to the Foucault pendulum normal mode model. As a result, the
analysis and description of this system is straight forward using an input signal to drive a
fixed amplitude while measuring the secondary mode.
A variation of the rectangular beam gyroscope has been demonstrated using
triangular vibrating beams [74]. Excitation voltage is used to drive the beam into
resonance via a piezoelectric electrode located on one of the three triangular beam faces.
Energy radiated in the form of mechanical displacement to two non-normal modes is
sensed by the remaining two piezoelectric electrodes as a differential voltage signal with
unequal displacement amplitudes representing a non-zero angular rate input, as shown in
Figure 3.2.
Isometric view of beam
Top view in a zero rotation rate field
Drive C
Sense A Sense B ΩzSense A Sense B
Rotation rate(t)=B(t)-A(t)
Top view in a non-zero rotation rate field
Energy transfer from drive to sense modes of triangular beam used to measure angular rate input signal
Piezoelectric electrodes
DisplacementDrive CDisplacement
B(t)-A(t)=0
Isometric view of beam
Top view in a zero rotation rate field
Drive C
Sense A Sense B ΩzSense A Sense B
Rotation rate(t)=B(t)-A(t)
Top view in a non-zero rotation rate field
Energy transfer from drive to sense modes of triangular beam used to measure angular rate input signal
Piezoelectric electrodes
DisplacementDrive CDisplacement
B(t)-A(t)=0
Figure 3.2 Murata Gyrostar triangular beam gyroscope.
37
Characterization results of this device, commercially available from Murata,
produced a relatively large change in angular rate sensitivity versus ambient temperature
[100], primarily due to the pyroelectric behavior of piezoelectric materials.
Prismatic beam vibratory rate gyroscopes typically exhibit several additional
problems inherent to the design which significantly limit device performance [65]. These
problems include acoustic energy loss at the beam/substrate anchor interface [67] and the
inability to discriminate between linear axis acceleration, oscillating at or near the sense
mode frequency, and an actual rotation rate signal. Automotive applications typically
experience environmental vibrations in the form of spurious linear axis accelerations up
to 5kHz in frequency. Undesirable linear axis acceleration sensitivity can be reduced by
increasing the vibratory gyroscope’s resonant frequency well beyond the intended sensor
application environment noise frequency range [65].
3.2 Tuning Fork Vibratory Gyroscopes
A design technique to reduce linear acceleration sensitivity of prismatic vibratory
gyroscopes is described by integrating two vibrating prismatic beams driven with anti-
phase displacement amplitude to form a differential Coriolis based angular rate sensor. A
further enhancement is achieved by mounting the vibrating beams to a common base to
form a tuning fork. Tuning forks form a balanced oscillator where no net torque is
transferred to the common base, referred to as the stem, under a zero rotation rate input
[101]. A non-zero angular rate causes Coriolis force induced sinusoidal anti-phase
displacement of the sense tines orthogonal to the drive mode vibration. The angular rate
signal can be measured as a function of differential tine displacement [102], or as a
torsion vibration of the tuning fork stem [103], as shown in Figure 3.3.
38
Ωz Ωz
DriveMode
Coriolis Force
DriveMode
Coriolis Force
Tuning Fork Stem Torque CW Tuning Fork Stem Torque CCW
xy
z
Ωz Ωz
DriveMode
Coriolis Force
DriveMode
Coriolis Force
Tuning Fork Stem Torque CW Tuning Fork Stem Torque CCW
xy
z
Figure 3.3 Tuning fork with y-axis drive and x-axis Coriolis coupling about z-axis.
The balanced tuning fork gyroscope is theoretically less sensitive to undesired linear
axis accelerations than the prismatic beam designs, at least to a first order analysis.
However, this design is more susceptible to angular accelerations directed about the input
axis. As a result, tuning fork gyroscope designs are typically operated at a resonant drive
frequency an order of magnitude higher than the application environmental noise to
reduce angular rate sensing errors [104].
When the drive and sense modes of a tuning fork are matched, the normal mode
model describes an increase in the angular rate sensitivity multiplied directly by the
quality factor (Q). However, variation due to wafer processing photolithography and etch
steps typically result in slightly mismatched mass centers with respect to the individual
tines. This mass center variation can manifest itself as a resonant frequency mismatch
between the individual tines [65, 94]. Since unmatched tines exhibit different resonant
frequencies they will require either mass addition/removal near the mass center [105,
106] or electromechanical compensation to ensure anti-phase displacement at a given
drive frequency near resonance. This mode mismatch problem may also be further
39
exacerbated by dependence of resonant frequency upon ambient temperature, typically
ranging from –40C to 125C for automotive applications. As a result, many tuning fork
designs are not based upon matched drive and sense resonant vibration modes.
3.3 Linear Axis Accelerometer Vibratory Gyroscopes
A single linear axis accelerometer can be configured to operate as a vibratory
gyroscope similar in operation to the prismatic beam devices described in section 3.1.
The accelerometer is driven at, or near, resonance along a primary drive axis while an
orthogonal secondary sense mode is used to measure the Coriolis based angular rate
signal.
Single linear accelerometer vibratory gyroscopes have been previously described with
orthogonal drive and sense modes [76, 107] using polysilicon as the resonator structural
material. However, these sensors are unable to discriminate between angular rate and
linear acceleration input signals. Dual accelerometer vibratory gyroscope designs,
similar to the dual tine tuning fork, are able to reject linear acceleration inputs at the
sensor making them better suited for automotive applications.
A dual linear accelerometer vibratory gyroscope design was fabricated by Draper
Labs with nickel as the structural resonator material with metal electrodes formed on a
glass substrate used to drive and measure displacement capacitively [75]. A second
generation of the Draper Labs dual accelerometer tuning fork gyroscope was fabricated
using single crystal silicon as the structural resonator material [108] bonded to the
underlying glass substrate and subsequently released using ethylene diamine
pyrocatechol (EDP) based on the dissolved wafer process [109].
40
Multiple electrostatic comb drives [62] were used to both excite and measure the
primary drive mode frequency of each individual proof mass displaced parallel to the
wafer substrate. Closed loop electrostatic feedback was used to maintain a constant drive
mode displacement amplitude (a0). An external rotation rate (Ω) applied normal to the
drive mode plane causes a Coriolis force based displacement (aCoriolis) of each proof mass
in opposite directions [104] as given by Eq. 3.1.
)sin(2 0 taaCoriolis ωΩ= (3.1)
The Coriolis force based displacement is measured via the parallel plate capacitance
as a function of separation between the proof mass and metal electrodes deposited on the
quartz substrate, as shown in Figure 3.4.
Quartz Substrate
Electrode 1 Electrode 2
ProofMass 1
ProofMass 1
Z0 Z0
Silicon Silicon
A
A
View A-A: Sensor Cross SectionNickel Sensor Isometric View
AnchorSuspensionSpring
ProofMass 1
ProofMass 2
CombDrive
0
00 Z
AC ε=
ZZACΔ−
=0
0εΩ
Ω=0
Drive
Quartz Substrate
Electrode 1 Electrode 2
ProofMass 1
ProofMass 1
Z0 Z0
Silicon Silicon
A
A
View A-A: Sensor Cross SectionNickel Sensor Isometric View
AnchorSuspensionSpring
ProofMass 1
ProofMass 2
CombDrive
0
00 Z
AC ε=
ZZACΔ−
=0
0εΩ
Ω=0
Drive
Figure 3.4 Dual accelerometer isometric view with capacitive sensor cross section.
First order rejection of linear acceleration is realized by configuring the dual proof
mass capacitance measurement as differential. This differential capacitance
configuration can also be signal conditioned to simultaneously measure both linear
41
acceleration and angular rate signals which may be desirable in many inertial navigation
and automotive applications. A comparison of differential capacitance values
experienced by the dual accelerometer vibratory gyroscope for both angular rate and
linear acceleration inputs is shown in Figure 3.5.
Angular Rate Signal Response
Electrode 1 Electrode 2
Ω
Electrode 1 Electrode 2
Ω=0Z1 Z2a
Z0
Quartz Substrate Quartz Substrate
Linear Acceleration Signal Rejection
2121 CCZZ <⇒>
12 CCC −=Δ2121 CCZZ =⇒=
0=ΔCn
n ZAC 0ε
=CenterPosition
Mass 1 Mass 2 Mass 1 Mass 2
12 CCC −=Δ0≠ΔC
Z2Z1
Angular Rate Signal Response
Electrode 1 Electrode 2
ΩΩ
Electrode 1 Electrode 2
Ω=0Z1 Z2a
Z0
Quartz Substrate Quartz Substrate
Linear Acceleration Signal Rejection
2121 CCZZ <⇒>
12 CCC −=Δ2121 CCZZ =⇒=
0=ΔCn
n ZAC 0ε
=CenterPosition
Mass 1 Mass 2 Mass 1 Mass 2
12 CCC −=Δ0≠ΔC
Z2Z1
Figure 3.5 Dual accelerometer linear acceleration signal rejection.
Drive mode closed loop electrostatic feedback is typically required to compensate for
signal error due to geometric differences in either the proof mass magnitudes or
suspension spring constants. Mechanical spring coupling can also be used to better
match the dual proof mass displacements. Bosch has demonstrated a dual accelerometer
tuning fork vibratory gyroscope with a mechanical coupling spring between each mass
and its suspension springs [77]. This device was driven into oscillation using Lorentz
forces resulting from an electric current loop located within the magnetic field of a
permanent magnet suspended above the proof masses.
A silicon bulk micromachined gyroscope has been demonstrated by JPL using four
proof masses suspended above a glass wafer substrate by a single support post [110].
42
The major components of this device include the silicon clover leaf shaped vibrating
structure, a quartz baseplate with metal electrodes used to excite and measure proof mass
displacement, and a metal post which is manually epoxy bonded to both the proof mass
and underlying glass substrate [111]. An improvement over this manually epoxy
assembled bulk micromachined gyroscope utilized a two sided anisotropic etch to release
the clover leaf set of four proof masses while simultaneously forming a single crystal
silicon support post [112].
3.4 Torsion Mode Vibratory Gyroscopes
Torsion mode vibratory gyroscopes operate similar to the normal mode model where
energy is transferred from a primary drive mode to a secondary sense mode as a function
of applied angular rate excitation. An early micromachined example was demonstrated
by Draper Labs using a gimbal structure [73]. The gyroscope was driven into torsion at a
frequency of 3 kHz with constant amplitude along a single axis as shown in Figure 3.6.
Ω
DrivenVibratoryAxis
Sense Vibratory AxisFixed Electrodes
Rotation Signal Input AxisGyro Element
Ω
DrivenVibratoryAxis
Sense Vibratory AxisFixed Electrodes
Rotation Signal Input AxisGyro Element
Figure 3.6 Prismatic beam torsion decoupled mode vibratory rate gyroscope.
43
A two axis surface micromachined gyroscope has been demonstrated using a disc
resonator driven about the z-axis [78]. The disc resonator is suspended above two pairs
of electrodes by four beam springs anchored to the wafer substrate as shown in Figure
3.7.
A A
X-Axis Electrode
X-Axis Electrode
y
y
Y-Axis Electrodes
Ωx
Z-AxisResonantDrive
zSubstrateAnchors
Z-Axis Torsion Disc Resonator
DiscResonator
Top View of Torsion Disc Resonator View A-A
x
A A
X-Axis Electrode
X-Axis Electrode
y
y
Y-Axis Electrodes
Ωx
Z-AxisResonantDrive
zSubstrateAnchors
Z-Axis Torsion Disc Resonator
DiscResonator
Top View of Torsion Disc Resonator View A-A
x
Figure 3.7 Two-axis vibrating disc gyroscope.
Capacitive electrodes are used to measure the disc z-axis separation. The electrodes
are oriented in differential pairs along both the x and y axes. An input rotation rate signal
about the x-axis induces a Coriolis acceleration causing the disc to oscillate about the y-
axis as shown in Figure 3.8. Similarly, an input rotation rate signal about the y-axis
induces a Coriolis acceleration causing the disc to oscillate about the x-axis. Different
sense modulation frequencies were used for each of the two sense axes. However, small
micromachined wafer process variations [113] produced devices with well-matched sense
modes with low noise but degraded cross-axis rejection while poorly-matched modes
produced an increase in noise with improved cross-axis rejection. A proposed method to
avoid the trade-off nature of noise versus cross-axis sensitivity was to employ a closed
loop electrostatic feedback loop in future designs.
44
z
x
y
Ωx
z
x
y
ΩxCoriolis Force Couple CW
Coriolis Force Couple CCW
Z-Axis Drive CW Vibration Phase
Z-Axis Drive CCW Vibration Phase
y
y
z
x
y
Ωxz
x
y
Ωx
z
x
y
ΩxCoriolis Force Couple CW
Coriolis Force Couple CCW
Z-Axis Drive CW Vibration Phase
Z-Axis Drive CCW Vibration Phase
y
y
Figure 3.8 Polysilicon vibrating disc gyroscope Coriolis induced tilt oscillation.
A mechanically decoupled mode torsion vibratory gyroscope has been demonstrated
with improved cross axis rejection. The mechanical sensor consists of an inner drive
wheel, anchored to the substrate with beam springs radiating from a central post,
connected to an outer proof mass by two torsion springs [79] as shown in Figure 3.9.
Substrate
Torsion Primary Drive Mode Torsion Secondary Sense Mode
Dielectric
Sense Electrodes
AnchorPost
Comb Drives
BeamSprings
Coriolis Force Couple for CCW Drive Phase
Gap
Ωxx
yx
z
Torsion Beam
ProofMass
Substrate
Torsion Primary Drive Mode Torsion Secondary Sense Mode
Dielectric
Sense Electrodes
AnchorPost
Comb Drives
BeamSprings
Coriolis Force Couple for CCW Drive Phase
Gap
Ωxx
yx
z
Torsion Beam Substrate
Torsion Primary Drive Mode Torsion Secondary Sense Mode
Dielectric
Sense Electrodes
AnchorPost
Comb Drives
BeamSprings
Coriolis Force Couple for CCW Drive Phase
Gap
Ωxx
yx
yx
zx
z
Torsion Beam
ProofMass
Figure 3.9 Top and side view of decoupled torsion mode vibratory gyroscope.
45
Electrostatic comb drives [62] are used to excite the torsion drive mode about the z-
axis inner disc. Coriolis forces produce a torque in the torsion beam suspended outer
proof mass. The torque displacement is sensed capacitively as a function of separation
between the surface micromachined thick polysilicon proof mass [114] and fixed
substrate electrodes.
3.5 Vibrating Shell Gyroscopes
Tuning fork vibratory gyroscopes utilize the transfer of energy between two normal
modes of operation. These normal modes, although frequency matched, are typically not
identical such as tuning fork tine bending versus stem torsion. Dual accelerometer
designs also exhibit different mode properties in the primary and secondary modes
independent of the matched resonant frequency values. The primary and secondary mode
resonant frequencies may be matched at room temperature while large excursions from
these values may occur, which typically do not track with each other, as temperature is
swept over a –40C to 90C temperature range. In contrast, vibrating shell gyroscopes
transfer energy between two identical primary and secondary vibration modes avoiding
temperature stability problems experienced by tuning fork designs.
Vibrating shell gyroscopes typically have a bell-like structure and may be shaped
either like a wine glass [96, 115], cylinder [116], or ring [68]. The Delco wine glass
shaped hemispherical resonator gyroscope (HRG) was fabricated in fused quartz
suspended by a fixed stem with the vibrating shell rim encapsulated by concentric drive
and sense electrodes as shown in Figure 3.10.
46
Drive Electrodes
Sense Electrodes
Node
Anti-nodeRim
SupportStem
HemisphericalResonator
HemisphericalResonator Rim
FixedOuter HermeticEnclosure
Side View of HRG Resonator Top View of HRG Rim and Fixed Electrodes
Drive Electrodes
Sense Electrodes
Node
Anti-nodeRim
SupportStem
HemisphericalResonator
HemisphericalResonator Rim
FixedOuter HermeticEnclosure
Side View of HRG Resonator Top View of HRG Rim and Fixed Electrodes
Figure 3.10 Wine glass shaped quartz hemispherical resonator gyroscope.
The metal plated HRG shell is excited electrostatically at the resonator natural
frequency by a sinusoidal signal applied to the outer case fixed electrodes. A closed loop
servo is used to maintain the resonator rim amplitude during operation. The cavity
pressure is maintained at near vacuum to avoid both damping and mechanical coupling
between the resonator with respect to the inner and outer case surfaces. The reported
quality factor (Q) for the HRG was greater than 6x106, with time constants on the order
of 17 minutes in duration. As a result, it is possible to excite the HRG with intermittent
drive signals applied to the shell with 10-15 minute intervals between bursts.
The nodes of a wine glass resonator do not remain stationary in space as compared to
the Foucault pendulum. Instead, the nodal pattern of a vibrating shell will rotate in the
direction of fixed case rotation with a displacement angle coupling of 0.3 times the case
rotation angle [117], as shown in Figure 3.11. As a result, precession of the nodal pattern
relative to the fixed case electrodes can be used to measure the externally applied angular
rate signal.
47
Static Operation of HRG Node Precession of CW Rotated HRG Body
Case Index Point Case RotationVibration
Pattern NodalRotation θ
θ3.0
Static Operation of HRG Node Precession of CW Rotated HRG Body
Case Index Point Case RotationVibration
Pattern NodalRotation θ
θ3.0
Figure 3.11 Node precession of the HRG with externally applied angular rate signal.
Researchers at General Motors and the University of Michigan have developed a
nickel vibrating ring gyroscope suspended by semicircular beam springs anchored to the
silicon substrate wafer at a common central point [68]. Symmetry considerations require
that at least eight replicated springs are included to balance the device with two identical
drive and sense flexural modes that exhibit near equal natural frequencies [89].
Electrodes were located along the outer perimeter of the resonating ring to provide drive,
sense, and mode tuning capability of the natural frequencies. The ring is electrostatically
excited into an elliptical shaped drive mode vibration pattern with a fixed amplitude.
When subjected to an external rotation rate about its normal axis, Coriolis acceleration
causes energy to be transferred from the primary drive to secondary sense mode as shown
in Figure 3.12. The capacitively monitored sense mode amplitude is proportional to the
applied external angular rate signal. This normal mode gyroscope sensitivity is
proportional to the resonating ring quality factor with values reported greater than 2000.
A polysilicon version of the ring gyroscope demonstrated significant increases in quality
factor and angular rate sensitivity [69].
48
Drive Mode Sense Mode
Support Springs Outer Fixed Electrodes Resonator Ring
Drive Mode Sense Mode
Support Springs Outer Fixed Electrodes Resonator Ring
Figure 3.12 Micromachined vibrating ring gyrsocope drive and sense modes.
A wide range of stiffness asymmetries in the structure, arising from fabrication based
geometric imperfections, can be balanced using electronic tuning of the remaining non-
drive mode fixed electrodes.
British Aerospace Systems, in collaboration with Sumitomo Precision Products, have
also developed a vibratory silicon ring gyroscope [118]. This device differs from
previously demonstrated vibratory ring gyroscopes [68, 69] by use of Lorentz force drive
mode electric current loops located on each of the eight suspension springs. Pairs of two
suspension springs are used to form two sets of four complete current loops. A
samarium-cobalt permanent magnet was mounted inside the package to provide a
constant magnetic field. Electromagnetic interaction between the current loops and
permanent magnetic field induce the Lorentz force used to excite the vibratory drive
mode. The voltage induced around the current loops as they are displaced with respect to
the permanent magnetic field, in accordance with Faraday’s law, was used to sense
Coriolis acceleration. Any two suspension spring current loops which are on opposite
sides of the vibrating ring constitute a differential voltage which can be used to measure
49
normal mode primary to secondary energy transfer due to externally applied angular rate
signal. Closed loop feedback was used to simultaneously increase bandwidth and
decrease sensitivity to cross axis linear and angular acceleration. Supply voltage and
current were 12V and 100mA respectively making this device acceptable for automotive
applications. However, supply current and power consumption was 5-10x larger than
typical automotive vibratory gyroscopes [68, 102]. In addition, the sense ring measured
6mm in diameter with a square sensor die size of 1cm per side. Large power
consumption and sensor die size may preclude this sensor from use in both automotive
and battery powered consumer applications.
3.6 Automotive Gyroscope Classification and Performance
In general, gyroscopes can be classified into three different categories based on their
performance [85] as summarized in Table 3.1. The rate grade is typically addressed
using Coriolis based vibratory gyroscopes while tactical and inertial grade devices are
almost exclusively fiber optic and ring laser Sagnac based devices respectively.
Table 3.1 Multiple classes of gyroscope performance.
Parameter Rate Grade Tactical Grade Inertial Grade
Random Angle Walk [deg/√h] > 0.5 0.5-0.05 < 0.001
Bias Drift [deg/h] 10-1000 0.1-10 <0.01
Scale Factor Accuracy 0.1-1 0.01-0.1 <0.001
Full Scale Range [deg/s] 50-1000 >500 >400
Max Shock, 1ms Half-sine [g] 103 103-104 103
Bandwidth [Hz] >20 ~100 ~100
50
Currently, the automotive market utilizes only rate grade Coriolis based vibratory
gyroscopes. Although the Coriolis based HRG has been demonstrated with inertial grade
performance [96, 115], its large size and high cost preclude it from use in automotive
applications.
All normal mode vibratory gyroscopes exhibit some form of zero rate output (ZRO)
in the absence of a rotation input signal due to geometric imperfections [113] and
misalignment [104]. This misalignment of the drive and/or sense mode coupling will
produce a vibrating torque in phase quadrature with the rotation rate induced Coriolis
acceleration signal. This error, often referred to as quadrature error, can be larger than
the Coriolis signal and may saturate the sensor interface electronic amplifier [76].
Random angle walk describes the combination of noise rate output which causes a
long term growth in angle error referred to as random angle walk [119]. If the rate noise
has a white spectrum with a non-zero root mean square value (σ) it gives rise to random
walk (Rθ) in the measured angle which propagates as the square root of sample time as
given by Eq. 3.2.
tR σθ = (3.2)
Scale factor is defined as the amount of change in the output signal per unit change of
rotation rate [120] typically expressed in units of V/(deg/s). Output resolution of the
angular rate input signal is defined as the ratio of sensor noise voltage divided by the
scale factor typically expressed in units of deg/s. Angular rate sensor resolution of
commercially available rate grade gyroscopes is summarized in Table 3.2
51
Table 3.2 Commercial automotive gyroscope performance comparison.
Gyroscope
Type
Resonator
Material
Drive
Method
Sense
Method
Resolution
[deg/s]
Bandwidth
[Hz]
Ref:
Tri-Beam Quartz Piezoelectric Piezoelectric 14 50 [74]
Tuning Fork Quartz Piezoelectric Piezoelectric 0.25 50 [102]
Dual Accel. Silicon Capacitive Capacitive 0.13 60 [108]
Dual Accel. Silicon Electromag. Capacitive 0.3 100 [77]
Dual Accel. Silicon Capacitive Capacitive 0.6 40 [121]
Torsion Silicon Capacitive Capacitive 4 1 [73]
Ring Nickel Capacitive Capacitive 0.5 25 [89]
Ring Silicon Electromag. Electromag. 0.15 30 [118]
Typically, rate grade gyroscopes used for automotive dynamic vehicle control [6]
exhibit a minimum resolution of 0.5 deg/s, bandwidth greater than 20 Hz, and a full scale
span on the order of +/- 100 deg/s .
3.7 Vibratory Gyroscope Performance Summary
Prismatic beams excited and sensed using piezoelectric electrodes are among the
most straight forward vibratory angular rate sensors. However, these devices suffer from
poor temperature performance. In addition, prismatic beam vibratory gyroscopes cannot
discriminate between a constant angular rate or linear acceleration signal synchronous
with the primary mode excitation frequency. Due to the inherently noisy environment of
automotive applications, typically exhibiting a frequency spectrum up to 5 kHz, prismatic
beam vibratory gyroscopes are not implemented due to their poor performance regarding
elevated temperature and low rejection of linear cross axis acceleration.
52
Piezoelectric tuning forks provide high rejection of linear cross axis acceleration but
suffer from elevated temperature output signal degradation. Dual accelerometer tuning
forks, using capacitive drive and sense electrodes, can provide both temperature stability
and increased rejection of undesirable linear cross axis accelerations. However, an
additional problem associated with dual accelerometer vibratory gyroscopes is that slight
phase mismatching due to normal process variation [113] of the individual mass/spring
accelerometers results in an angular rate output signal error in phase quadrature with the
resonant drive mode input signal [122]. This quadrature error signal effects all normal
mode gyroscopes where the primary drive and secondary sense modes are slightly
mismatched [104].
Vibrating shell gyroscopes exhibit less quadrature error than other designs due to the
theoretically identical drive and sense mode resonant frequencies. In practice, vibrating
shell gyroscope performance tracks well over temperature due to the closely matched
drive and sense modes [120]. However, mode tuning is often required to provide desired
angular rate sensitivity due to small mode frequency mismatch attributed to wafer process
variation. Several vibrating shell mode tuning techniques have been demonstrated
including electrostatic [89], mass addition, and laser ablation mass removal [106].
Decoupled mode torsion vibratory gyroscopes significantly reduce quadrature error
[73], but a previously demonstrated design which utilized a single centrally located
anchor post [79] exhibits low rejection of cross axis linear and angular accelerations. A
decoupled mode vibratory gyroscope design presented in Chapter 4 describes a
significantly improved cross axis acceleration rejection device.
53
CHAPTER 4
SURFACE MICROMACHINED DUAL ANCHOR GYRSOSCOPE
MEMS based vibratory gyroscopes typically provide poor cross axis rejection to both
linear and angular accelerations. In addition, actuation voltage amplitudes in excess of
20V are typically required to drive the primary mode displacement at or near resonance.
Automotive application supply voltage is limited to 12VDC with 3-5VDC being the desired
sensor operation range. While electronic circuit techniques exist to increase the supply
voltage via charge pumps [123], they consume significant die space and are difficult to
operate reliably with low power consumption regarding electronic noise injected at
typical resonant primary mode drive frequencies. Also, mechanical shock in excess of
2000g must not damage or degrade sensor operation. This chapter describes a low cost
surface micromachined vibratory gyroscope design which addresses improved cross axis
rejection, low voltage primary mode actuation, and 2000g multi-axis mechanical shock
survivability for intended use in an automotive environment.
4.1 Dual Anchor Gyroscope Basic Design and Performance Goals
A single resonant accelerometer used as an angular rate sensor is unable to
discriminate between linear and Coriolis based acceleration [76, 107]. Discrimination of
linear and Coriolis acceleration is possible using a dual resonant accelerometer approach
[75, 108]. However, the dual accelerometer approach typically requires frequency/phase
matching of each anti-phase excited proof mass to reduce quadrature error [120], due
primarily to process variations in the etched proof mass and suspension springs [113],
and significantly increases the control circuitry complexity, power consumption, and die
area.
54
A centrally anchored mechanically decoupled drive and sense proof mass scheme has
been described previously [73, 79] that matches the dual sense mass frequency and phase
using an outer rotating structural frame to reduce quadrature error. However, the central
anchor is mechanically compliant with respect to rotations directed about the x and y
axes. As a result, the single central support anchor provides poor cross axis rejection
regarding angular acceleration.
The main angular rate sensor design goal was to minimize the out of plane deflection
of the primary mode central disc to increase cross axis rejection without simultaneously
degrading the secondary mode Coriolis acceleration sensitivity. This chapter describes
the operation of the dual anchor angular rate sensor and the basic design enhancements to
increase device performance and process yield characteristics.
4.2 Angular Rate Sensor Operation
Vibratory gyroscopes sense angular rate based on the Coriolis acceleration
measurement defined by the cross product of the mass velocity v, and the applied angular
rate Ω, as given by Eq. 4.1.
Ω×= vaC 2 (4.1)
Coriolis force is defined by the product of the effective sense ring proof mass m and
Coriolis acceleration as given by Eq. 4.2.
Ω×= vmFC 2 (4.2)
The angular rate sensor is comprised of a centrally anchored drive disc and a
mechanically decoupled outer proof mass sense ring using a torsion spring. The drive
55
disc is electrostatically actuated about the z-axis with an angular rate applied parallel to
the x-y plane. The resulting Coriolis force couple causes a torsion (deflection out of
plane) of the outer sense ring for a counter clockwise rotation as shown in Figure 4.1.
v
v
v
Ω
+FC
-FC
FC=0
FC=0
Ω
Top View Side View
x
y
x
z
FC=0
v
rmax
Drive
Sense
v
v
v
Ω
+FC
-FC
FC=0
FC=0
Ω
Top View Side View
x
y
x
z
FC=0
v
rmax
Drive
Sense
Figure 4.1 Angular rate sensor Coriolis force diagram.
The outer sense ring velocity is a sinusoidally varying function of the applied driving
displacement versus time, as given by Eq. 4.3, where θmax represents the maximum proof
mass angular displacement, ω is the drive voltage frequency, and t is time.
)sin()( max tt ϖθθ = (4.3)
The angular displacement is converted to a linear displacement, as given by Eq. 4.4,
where rmax represents the distance measured from the proof mass center point to the mid
point on the outer sense proof mass ring.
maxmaxmax ry θ= (4.4)
56
The angular displacement is converted to linear displacement along the y-axis, as
given by Eq. 4.5, where the ymax represents the maximum displacement.
)sin()( max tyty ϖ= (4.5)
The velocity of the outer ring is defined by the time derivative of the position vector
given by Eq. 4.6.
)cos()(max ty
dttdy ϖϖ= (4.6)
The Coriolis force can be approximated using the y-axis component of the sense ring
multiplied by one half the total sense ring mass and the cross product of the applied
angular rate vector as given by Eq. 4.7.
Ω×≅dt
tdymFCoriolis)(
(4.7)
The Coriolis force causes a displacement of the outer ring which is capacitively
sensed using two underlying polysilicon electrodes labeled a and c as shown in Figure
4.2.
The drive ring capacitance of a single parallel plate beam is defined by the
displacement angle θ, as given by Eq. 4.8, where Z0 represents the initial dielectric gap,
and T represents the structural polysilicon film thickness .
⎟⎟⎠
⎞⎜⎜⎝
⎛−−
=θθ
θεθ
out
in
rZrZTC
0
00 ln)( (4.8)
57
Poly2Poly1
bc
a
b
aCccw
c
CcwA
A
View A-A
Substrate
θ
Nitride
CapacitiveElectrodes
Displacement Angle
rinrout
Poly2Poly1
bc
a
b
aCccw
c
CcwA
A
View A-A
Substrate
θ
Nitride
CapacitiveElectrodes
Displacement Angle
Poly2Poly1
bc
a
b
aCccw
c
CcwA
A
View A-A
Substrate
θ
Nitride
CapacitiveElectrodes
Displacement Angle
rinrout
Figure 4.2 Basic angular rate sensor cross section.
The maximum static angular displacement possible prior to electrostatic latching
[124] of the sense ring is given by Eq. 4.9, as derived in Appendix A.
outrZ0
max45.0
=θ (4.9)
4.3 Basic Angular Rate Sensor Configuration
The basic surface micromachined angular rate sensor was fabricated using a 2μm
thick structural polyslicon film as shown in Figure 4.3. The structure is suspended above
the substrate using polysilicon beam springs centrally anchored to the underlying
electrode as shown in Figure 4.4.
58
DriveDisc
SenseRingDrive
Disc
SenseRing
Figure 4.3 Basic polysilicon angular rate sensor configuration.
DriveDisc
Anchors
SupportSprings
DriveDisc
Anchors
SupportSprings
Figure 4.4 Centrally anchored polysilicon beam springs.
The simple torsion spring coupling the drive disc and sense ring is shown in Figure
4.5. The torsional drive and sense modes were intentionally mismatched by as much as
10% to reduce resonant frequency variation over temperature at the cost of reduced
overall angular rate sensitivity.
59
SimpleTorsionBeamSpring
Sense Ring
Drive Disc
SimpleTorsionBeamSpring
Sense Ring
Drive Disc
Figure 4.5 Simple torsion beam spring outer mass coupling suspension.
Parallel plate electrostatic arrays were used to actuate the drive disc at the resonant
frequency of the outer sense ring/torsion spring system. The initial parallel plate actuator
design was anchored near the beam center at the underlying electrical polysilicon
interconnection resulting in an 8X overall increase in the vertical spring constant as
compared to cantilever beams of equal length. However, vertical stiction [125] was
observed in arrays with beam lengths greater than 160μm during the sacrificial oxide etch
process sequence. Centrally anchored beams shorter than 160μm were observed to resist
vertical stiction during processing and comprise the drive disc’s outer electrostatic
actuator array. Parallel plate actuator beams longer than 160μm in length were modified
with a tip anchor, as described in the following section, resulting in an increased vertical
spring constant larger than the stiction threshold limit.
4.4 Angular Rate Sensor Design Enhancements
Multiple design enhancements were made to the basic angular rate sensor resulting in
either increased post process device yield or desired parameter improvement. The
significant design enhancements are described individually in the following sections.
60
4.4.1 Anti-Stiction Beam Tip Anchors
The parallel plate electrostatic actuator arrays are comprised of beams in which the
anchor also provides electrical interconnection. Electrostatic arrays have traditionally
been fabricated as singly clamped cantilever beams [2, 75, 76, 79, 121], with the vertical
spring constant given by Eq. 4.10, where E is the Young’s modulus and the beam’s
length, width, and thickness are described by L, W, and T respectively.
3
3
_ 4LEWTK beamZ = (4.10)
Initial design improvement was accomplished by anchoring the beams near the center
as shown for the outer parallel plate array in Figure 4.6.
Parallel PlateArrays
InnerArray
OuterArray Parallel Plate
Arrays Parallel PlateArrays
InnerArray
OuterArray
Figure 4.6 Enhanced anchor parallel plate electrostatic sense-actuation arrays.
The effective beam length is halved which increases the z-axis spring constant by 8X,
using Eq. 4.10, in an attempt to prevent vertical stiction [125]. However, vertical stiction
was observed for beam lengths in excess of 160μm on earlier centrally anchored designs,
as shown in Figure 4.7, for devices released in HF acid/H20-IPA rinse/air dry.
61
StictionReleased
StictionReleased
Figure 4.7 Centrally anchored electrostatic array vertical stiction.
Tip anchors were added to electrostatic beam arrays in excess of 160μm of length,
converting from a singly to doubly clamped beam as shown in Figures 4.8 and 4.9.
Standard Drive Disc
Split Drive Disc
Standard and Split Drive Disc Tilted View
Reference following figure for beam electrode cross section
Standard Drive Disc
Split Drive Disc
Standard and Split Drive Disc Tilted View
Reference following figure for beam electrode cross section
Figure 4.8 Standard and split central drive disc designs.
62
Electrical Interconnection
TipAnchor
Drive Disc
Electrical Interconnection
TipAnchor
Electrical Interconnection
TipAnchor
Drive Disc
Figure 4.9 Electrostatic beam array cross section with tip anchors.
The split drive disc design is shown only to clearly illustrate a typical electrostatic
array cross section. The tip anchor doubly clamped beam configuration, used on both the
standard and split drive disc designs, cause an increase in the vertical spring constant of
128X, as compared to a singly clamped cantilever beam of the same length, width, and
thickness dimensions. The tip anchors are electrically isolated from the adjacent
polysilicon electrode using an island as shown in Figure 4.10.
Polysilicon Isolation IslandFixed Electrode Tip Anchor
Polysilicon Isolation IslandFixed Electrode Tip Anchor
Figure 4.10 Tip anchor electrical isolation on nitride passivated substrate.
The parallel plate fixed beam electrode is electrically interconnected and
mechanically anchored to the underlying polysilicon runner as shown in Figure 4.11.
63
CCW ArrayPolysiliconInterconnection
Drive Disc
Fixed Beam
CCW ArrayPolysiliconInterconnection
Drive Disc
Fixed Beam
Figure 4.11 Fixed electrode parallel plate array substrate electrical interconnect.
Vertical stiction [125] was completely eliminated from the fixed parallel plate
actuator arrays using the dual tip post anchor implementation [83] processed with
standard wet hydrofluoric (HF) acid sacrificial oxide release with a subsequent de-
ionized (DI) water and isopropyl alcohol (IPA) rinse prior to drying in a warm nitrogen
ambient.
4.4.2 Dual Anchor Attach
Typically, angular rate sensors incorporate a single type of replicated suspension
spring with a central support scheme [68, 69, 73, 79]. Precession of the excited vibration
pattern occurs when the Coriolis acceleration causes energy to be transferred between
identical normal mode. However, precession is undesirable regarding a mechanically
decoupled drive and sense mode gyroscope [79]. Our goal was to reduce the drive disc
out of X-Y plane displacement during sinusoidal excitation about the Z-Axis without
significantly increasing the rotational spring constant. A dual anchor scheme using a
support post and folded beams [83] was incorporated to reduce undesirable drive mode
precession as shown in Figure 4.12.
64
SupportPost
Proof Mass
Folded BeamSprings
SupportPost
Proof Mass Disc
Folded BeamSprings
R1SupportPost
Proof Mass
Folded BeamSprings
SupportPost
Proof Mass Disc
Folded BeamSprings
R1
Figure 4.12 Dual anchor angular rate sensor suspension.
The parallel combination of the folded beam springs and central support post result in
the total drive disc rotational spring constant as shown in Figure 4.13.
R0
R1
R2
xz
R0
R1
R2r
R1
R2Kx_post
Kx_beam
Kx_total
PostPost hr
Substrate Anchor
Proof Mass Disc Top View Proof Mass Disc Side View
Proof Mass DiscR0
R1
R2
xz
xz
R0
R1
R2r
R1
R2Kx_post
Kx_beam
Kx_total
PostPost hr
Substrate Anchor
Proof Mass Disc Top View Proof Mass Disc Side View
Proof Mass Disc
Figure 4.13 Folded beam and torsion post equivalent spring constant model.
The folded beam springs are segmented into equal sections and solved for the
equivalent spring constant Keq as shown in Figure 4.14. The lateral spring constant of the
65
folded beam array, as given by Eq. 4.11, where E is the Young’s modulus of polysilicon,
folded beam spring width W, thickness T, and section length L.
3
3
22
21
_ 43
LTEW
RRK beamx = (4.11)
The central support post torsion spring constant [126] with a radius of r is given by
Eq. 4.12.
4
21 rK πθ = (4.12)
))()((2()( 3||2||1 kkkkeq =⎟⎟⎠
⎞⎜⎜⎝
⎛+
+
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=
32
321
32
321
_
2
2
kkkkk
kkkkk
k outeq
Let k = k1 and k1 ≅ k2 ≅ k3;2_kk outeq =
m
k1
k2 k3k3 k2
keq
m
⇔
Folded Beam Spring
))()((2()( 3||2||1 kkkkeq =⎟⎟⎠
⎞⎜⎜⎝
⎛+
+
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=
32
321
32
321
_
2
2
kkkkk
kkkkk
k outeq
Let k = k1 and k1 ≅ k2 ≅ k3;2_kk outeq =
m
k1
k2 k3k3 k2
keq
m
⇔
Folded Beam Spring
))()((2()( 3||2||1 kkkkeq =⎟⎟⎠
⎞⎜⎜⎝
⎛+
+
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=
32
321
32
321
_
2
2
kkkkk
kkkkk
k outeq
Let k = k1 and k1 ≅ k2 ≅ k3;2_kk outeq =
m
k1
k2 k3k3 k2
keq
m
keq
m
⇔
Folded Beam Spring
Figure 4.14 Folded beam equivalent spring constant model.
66
The units of Eq. 4.11 and 4.12 are not identical. As a result, linearization of the
torsion spring constant is required in order to combine effects with the beam spring
constant to describe the total system suspension. Multiple equations are necessary to
support the linear transformation of the torsion spring constant. Torsion deflection angle
θ is described by an applied torque Γ, where h is the post height, and G is the shear
modulus as given by Eq. 4.13.
GKh
θ
θ Γ= (4.13)
The shear modulus is described by the Young’s modulus and Poisson ratio, as given
by Eq. 4.14, where E = 160GPa and ν = 0.27 respectively for polysilicon.
( )ν+=
12EG (4.14)
The applied torque Γ can be represented by a force F2 applied tangentially at the outer
disc radius R2 as given by Eq. 4.15.
22RF=Γ (4.15)
Combining Eq. 4.13 into Eq. 4.15 and solving for the force F2 is described by Eq.
4.16.
hRGKF
22
θθ= (4.16)
67
The linear spring constant Kx will experience a displacement Δx due to an applied
force F1 as given by Eq. 4.17.
22 xKF x Δ= (4.17)
The relationship used to relate angular displacement θ to linear displacement Δx is
given by Eq. 4.18.
θ22 Rx =Δ (4.18)
The linearized spring constant Kx which describes the torsion post deflection is
defined by substituting Eq. 4.18 into Eq. 4.17 and equating the result with Eq. 4.16 as
given by Eq. 4.19.
22
_ hRGKK postx
θ= (4.19)
Finally, the torsion post linearized spring constant is described by substituting Eq.
4.12 into Eq. 4.19 as given by Eq. 4.20.
22
4
_ 2hRGrK postx
π= (4.20)
The linearized system spring constant is defined by the sum of Eq. 4.11 and Eq. 4.20
as given by Eq. 4.21.
68
22
4
3
3
22
21
_ 243
hRGr
LTEW
RR
K totalxπ
+= (4.21)
The vertical z-axis folded beam spring constant is given by Eq. 4.22.
3
3
_ 43
LEWTK beamz = (4.22)
The vertical z-axis spring constant of the supported drive disc is dominated by the
central support post treated as a column in compression as given by Eq. 4.23.
hrEK postz
2
_π
= (4.23)
The total z-axis spring constant is represented by summing Eq. 4.22 and 4.23 as given
by Eq. 4.24.
hrE
LEWTK totalz
2
3
3
_ 43 π
+= (4.24)
The vertical spring constant of the drive disc suspension is increased from 11.25 N/m
using only the folded beam springs to over 240 kN/m with the torsion post loaded into
compression; greater than 4 orders of magnitude improvement in the z-axis spring
constant was achieved using this technique. Post fabrication process vertical stiction was
not observed on angular rate sensor designs using the dual folded beam and support post
anchoring scheme.
69
4.4.3 Z-Axis Overtravel Stop
Automotive accelerometers and angular rate sensors are typically required to survive
X-Y-Z-Axes shock loads in excess of 2000g. Typically, device sensitivity is proportional
to the proof mass magnitude and inversely proportional to the system spring constant. In
contrast, the maximum shock load is inversely proportional to the device sensitivity. The
maximum device shock load has also previously been observed to significantly decrease
as a function of decreased pressure [8] from ambient to 50mtorr. This performance
tradeoff is an added requirement for the system designer to consider where a hermetic
low pressure ambient is desired to promote high Q resonant sensor operation [127, 128].
An over-travel limit structure has previously been described [129, 130] which
effectively de-convolves the maximum shock load and device sensitivity design
parameters. This work is provided as an improvement on the original over-travel
mechanical stop design using conformal oxide and polysilicon deposition, as shown in
Figure 4.15.
Z-AxisOver-travel Stop
DriveDisc Z-Axis
Over-travel Stop
DriveDisc
Figure 4.15 Z-axis mechanical over-travel stop.
70
The process flow contains two structural polysilicon films each 2μm thick. The
structural polysilicon films are separated by 2μm (Zbot) and 0.75μm (Ztop) thick films of
phosphosilicate glass (PSG) provided as a sacrificial oxide material. The over-travel
mechanical stop is anchored to the underlying 0.5μm thick electrical interconnection
polysilicon film via both structural polysilicon layers. The drive disc is comprised of the
Poly2 film only, while the Z-Axis over-travel mechanical stop is comprised of both Poly2
and Poly3 films. The sacrificial oxide deposition thickness determines the drive disc Z-
Axis maximum travel displacement. The over-travel stop is electrically interconnected to
the drive disc node to preclude undesirable non-zero differential voltage before and after
mechanical contact. Profile of the mechanical over-travel stop is shown in Figure 4.16.
Over-travel Stop
DriveDisc
Poly3
Poly2Poly2
Over-travel Stop
DriveDisc
Poly3
Poly2Poly2
Figure 4.16 Mechanical over-travel stop tilted view.
Sub-micron lateral and vertical gaps can be formed between the two structural
polysilicon films, as shown in Figure 4.17. This process technique can also be used to
form lateral sub-micron electrostatic actuators without the need for either sub-micron
photolithography or post process polysilicon deposition [131].
71
DriveDisc
Over-travel Stop0.75μm
DriveDisc
Over-travel Stop0.75μm
Figure 4.17 Sub-micron mechanical over-travel stop gap.
Functional sensors were exposed to 2000g half-sine shock 4ms in duration directed
along the x, y, and z-linear axes. Capacitance measurements were performed before and
after shock loading with no observed shift in capacitance for the 5 angular rate sensors
tested. SEM inspection was performed post shock load at several over-travel stop sites
with no apparent degradation or silicon particles observed. Similarly, failure
mechanisms in polysilicon MEMS components operated in direct mechanical contact do
not exhibit particulate generating mechanisms [132] for values significantly less then the
yield strength 7GPa [133], although lower fracture values been reported as a strong
function of silicon etchant used (KOH, EDP, TMAH, and XeF2) in the range from 0.6-
1.2GPa [134]. Brittle materials such as silicon exhibit ultimate stresses that are
essentially the same as their yield strength. Ductile materials such as most metals exhibit
an ultimate stress much greater than their yield stress. As a result, ductile materials
undergo plastic deformation prior to fracture. While fatigue is a potential problem with
ductile materials, brittle silicon does not typically suffer from this mechanical
degradation mechanism in a moisture free ambient. However, deliberate stress
concentration on resonators and actuators in the presence of water vapor during
72
mechanical cycling has been shown to accelerate crack growth and material failure [135-
138]. The angular rate sensor operation and storage ambient was in dry nitrogen which
effectively eliminated moisture contamination of polysilicon surfaces.
The peak mechanical stress of the over-travel stop can be modeled by applying a
2000g acceleration to the inertial proof mass magnitude and transfer the resulting force to
the stop beam tip. The over-travel stop is approximated as a singly clamped cantilever
beam with the largest stress occurring at the base for a load applied at the tip as given by
Eq. 4.25, where m = 4.1*10-9kg is the proof mass magnitude, a = 2000g is the z-axis
directed linear acceleration, L = 10μm is the beam length, W = 4μm is the beam width,
and T = 2μm is the beam thickness.
2max 6WTmaL
=σ (4.25)
The resulting maximum stress for one singly clamped beam base is max = 0.8GPa;
approximately an order of magnitude less than the 7GPa silicon yield strength. The
spring constant of a single stop is 640N/m, as defined by Eq. 4.26.
3
3
_ 4LEWTK stopz = (4.26)
The displacement of a single over-travel stop cantilever beam restraining the entire
proof mass under a 2000g acceleration load is Δz = 0.13μm, as described by Eq. 4.27,
well within the linear beam theory maximum deflection limit.
stopzstop K
maz_
=Δ (4.27)
73
Each over-travel stop consists of four singly clamped beams and there are a
minimum of 48 complete over-travel stops on all fabricated angular rate sensor designs.
The distributed stress load of 4.2MPa for a 2000g shock load directed along the z-axis
has a maximum stress value several orders smaller than the silicon fracture limit [134].
4.4.4 Dual Beam Torsion Spring
Mechanical decoupling of the drive and sense modes using a simple torsion beam
spring has been previously demonstrated [79] using a novel 10.3μm thick polysilicon
process [139]. Traditionally, CMOS compatible polysilicon deposition has been limited
to a thickness of 5μm in order to minimize the film’s residual stress properties [140].
Although a low stress 12 μm thick low pressure chemical vapor deposition (LPCVD)
polysilicon film has been previously demonstrated [141], the surface micromachined
polysilicon film was limited to a thickness of 2μm in this work.
It should be noted that stiffer single and dual beam torsion springs were observed to
consistently produce higher quality factors. The normal mode model, as described in
section 2.5, states that higher quality factors provide higher resolution Coriolis based
angular rate sensors. As a result, focus on the higher quality factor devices was
emphasized in this section.
The ratio of minimum photolithography defined feature size to structural polysilicon
thickness was 1:1. A simple torsion beam could not reliably produce the desired spring
constant resulting in outer sense ring resonant frequency values greater than 8kHz using
the current design parameter thickness (T). Dual torsion beams were simulated using
finite element analysis (FEA) to determine an optimized designs for multiple resonant
frequencies ranging from 8kHz to 45kHz. The result was a slotted dual torsion beam
spring design, as shown in Figures 4.18 and 4.19.
74
DriveDisc
SenseRing
x
y
TorsionSprings
DriveDisc
SenseRing
x
y
TorsionSprings
Figure 4.18 Enhanced angular rate sensor decoupled mode suspension.
Drive Disc
Sense Ring
Torsion
Spring
Drive Disc
Sense Ring
Torsion
Spring
Figure 4.19 Dual torsion beam coupling spring.
The peak stress location of the slotted dual torsion springs is shown in Figure 4.20
with a torsion resonant frequency of 45kHz and applied z-axis angular rate of 100deg/s.
Stress concentration was intentionally diverted away from the sense ring and drive disc
interface to the mid torsion spring in order to increase the overall torsion spring constant.
75
Figure 4.20 Dual torsion beam coupling spring stress concentration simulation.
4.4.5 Differential Dual Electrode Sense Ring Capacitance
This differential configuration allows for an approximate 2X increase in the sensor
initial capacitance [142]. Electrostatic coupling attributed to fringing electric field lines
terminating on the top surface of the capacitive sensor [143] were eliminated using the
differential capacitance electrode configuration as shown in Figure 4.21.
Linear z-axis acceleration is rejected at the sensor as a function of both differential
and actual capacitance values. The initial and differential sensor capacitance is
theoretically unaffected by a z-axis linear displacement of the proof mass disc using the
electrode configuration as shown in Figure 4.21. This electrode configuration represents
a significant cross axis rejection improvement over the single sided differential
capacitance angular rate sensor where only the differential capacitance remains fixed
under the influence of z-axis linear acceleration [75, 79].
76
Poly3Poly2
Poly1
bc
a
b
aCccw
c
CcwA
A
View A-A
Substrate
Poly3Poly2
Poly1
bc
a
b
aCccw
c
CcwA
A
View A-A
Substrate
Figure 4.21 Differential angular rate sense ring capacitive electrode configuration.
Each differential electrode covers one quarter of the outer proof mass ring area as
shown in Figure 4.22.
DriveDisc
Differential¼ RingCapacitor
Sense Ring
DriveDisc
Differential¼ RingCapacitor
Sense Ring
Figure 4.22 Tilted view of differential electrode capacitive electrodes.
Polysilicon support posts suspend the fixed plate electrode above the movable sense
ring proof mass, as shown in Figure 4.23.
77
FixedTop Electrode
ProofMass
Posts
FixedTop Electrode
ProofMass
Posts
Figure 4.23 Differential capacitor support post detail.
The four individual parallel plate capacitors are interconnected to form a single
differential array. The initial theoretical capacitance of one side of the differential array
is 1.724pF, calculated using Eq. 4.28, where Zbot=2μm, Ztop=0.75μm, rin=536μm, and
rout=650μm.
bottop
bottopinout
ZZZZrr
C4
))(( 220
0
+−=
πε (4.28)
Initial sensor capacitance was measured using an HP 4824A LCR meter as 1.68pF
which is in close agreement with theoretical calculation.
Capacitive detection of sense ring coupled Coriolis force is used to measure the
applied angular rate signal. The Coriolis force is coupled to the angular rate signal by the
velocity of the sense disc driven about the z-axis, as previously described by Eq. 4.2.
Displacement of the sense disc, driven about the z-axis at a sinusoidal frequency of
45kHz, is limited to an amplitude of 0.9μm (Δymax) measured at the inner radius (Rin).
78
The drive disc inner radius (Rin) maximum sinusoidal velocity (vmax) is 0.254m/s as
shown in Figure 4.24.
0 1 .10 5 2 .10 5 3 .10 5 4 .10 50.4
0.2
0
0.2
0.4
t [s]
y(t), Drive Disc Displacement @Rin [10-5m]
v(t), Drive Disc Velocity @Rin [m/s] kHzf 45=θ
θπϖ f2=
)sin()( max tyty ϖΔ=
)cos()( max tytv ϖϖΔ=
y(t),v(t)
dttdytv )()( =
my μ9.0max =Δ
0 1 .10 5 2 .10 5 3 .10 5 4 .10 50.4
0.2
0
0.2
0.4
t [s]
y(t), Drive Disc Displacement @Rin [10-5m]
v(t), Drive Disc Velocity @Rin [m/s] kHzf 45=θ
θπϖ f2=
)sin()( max tyty ϖΔ=
)cos()( max tytv ϖϖΔ=
y(t),v(t)
dttdytv )()( =
my μ9.0max =Δ
Figure 4.24 Drive disc displacement and velocity at the sense ring inner radius (rin).
Sense ring z-axis peak displacement (Δzin=6.19nm) was simulated for an applied
100deg/s angular rate signal directed along the x-axis as shown in Figure 4.25.
Figure 4.25 Angular rate coupled Coriolis force sense ring displacement simulation.
79
The differential electrode capacitance is modeled as a function of Coriolis force
induced sense ring z-axis displacement (Δzin) as shown in Figure 4.26
Poly3Poly2
Poly1
bc
a
c
Substrate
Poly3Poly2
Poly1
bc
a
b
Substrate
a
Δzin
Sense Ring
))((4)2)(( 22
0
inbotintop
inbottopinoutab zZzZ
zZZrrC
Δ+Δ+
Δ++−=
πε
))((4)2)(( 22
0
inbotintop
inbottopinoutbc zZzZ
zZZrrC
Δ−Δ−
Δ−+−=
πε
z
x
CCCW = Cab
CCW = Cbc
Poly3Poly2
Poly1
bc
a
c
Substrate
Poly3Poly2
Poly1
bc
a
b
Substrate
a
Δzin
Sense Ring
))((4)2)(( 22
0
inbotintop
inbottopinoutab zZzZ
zZZrrC
Δ+Δ+
Δ++−=
πε
))((4)2)(( 22
0
inbotintop
inbottopinoutbc zZzZ
zZZrrC
Δ−Δ−
Δ−+−=
πε
z
x
z
x
CCCW = Cab
CCW = Cbc
Figure 4.26 Sense ring z-axis displacement electrode capacitance and schematic.
The simulated peak z-axis displacement for a 100deg/s angular rate (Δzin=6.19nm)
yields a minimum theoretical capacitance of Cab=1.714pF as given by Eq.4.29.
))((4)2)(( 22
0
inbotintop
inbottopinoutab zZzZ
zZZrrC
Δ+Δ+
Δ++−=
πε (4.29)
The simulated peak z-axis displacement for a 100deg/s angular rate (Δzin=6.19nm)
yields a maximum theoretical capacitance of Cbc=1.733pF as given by Eq.4.30.
))((4)2)(( 22
0
inbotintop
inbottopinoutbc zZzZ
zZZrrC
Δ−Δ−
Δ−+−=
πε (4.30)
80
The theoretical differential capacitance is 19fF as given by Eq. 4.31
bcab CCC −=Δ (4.31)
. The theoretical sense ring capacitance sensitivity was calculated as ΔC/C0 =1.1%
for a simulated 100deg/s applied angular rate signal directed along the x-axis. Typically,
a target full scale signal ΔC/C0 =1.0% is used to utilize the linear portion of the
differential sensor capacitance. Implementing a maximum ΔC/C0 =1.0% would limit the
angular rate sensor design to a full scale span of +/-91deg/s using dimensions presented
in this section.
4.5 Angular Rate Sensor Resonant Frequency Models
The proof mass disc drive mode resonant frequency about the z-axis is defined by
Eq. 4.32, where k’ is the torque constant and Izz is the proof mass disc moment of inertia
regarding angular simple harmonic motion.
zzIk '
=θϖ (4.32)
The torque constant k’ is defined by Eq. 4.33.
θΓ
='k (4.33)
The torque constant is described in linear terms by substituting Eq. 4.15, 4.17, and
4.18 into Eq. 4.33 as given by Eq. 4.34.
81
22_
' RKk totalx= (4.34)
The moment of inertia about the z-axis where m is the mass of the proof mass disc is
given by Eq. 4.35.
)(21 2
221 RRmI zz += (4.35)
The mass m of the of the proof mass disc is defined by Eq. 4.36 with the 0.85
multiplier used to approximate the presence of sacrificial etch port holes present in the
poly2 proof mass where the density of silicon (ρsi) used was 2.33*103 kg/m3..
siRRTm ρπ )(85.0 21
22 −= (4.36)
The proof mass disc drive mode resonant frequency about the z-axis is described in
linear terms by substituting Eq. 4.34-4.36 into Eq. 4.28 as given by Eq. 4.37.
( )( )21
22
21
22
_2 22 RRRRT
KRf
si
totalx
+−=
ρππθ (4.37)
Similarly, the resonant frequency directed along the z-axis is defined by simple
harmonic motion as defined by Eq. 4.38.
mK
f totalzz
_
21π
= (4.38)
82
4.6 Angular Rate Sensor Empirical Results
The decoupled sense mode angular rate frequency was measured using an HP-8751
network analyzer to supply the input drive signal. The silicon sensor die was attached to
a test board using conductive epoxy and wire bonded to adjacent input/output
connections as shown in Figure 4.27.
-+-+
NetworkAnalyzerDriveSignal
550kΩVDCBias(1.4VDC) Network
AnalyzerInputSignal
TransimpedanceAmplifier
Sensor
DifferentialAmplifier
Figure 4.27 Sense mode resonant frequency measurement test configuration.
The resonant frequency of the sense mode proof mass ring was measured at 44.96kHz
in a 3mtorr pressure ambient using an HP-8751 Network Analyzer as shown in Figure
4.28. The quality factor Q=225 was extracted from the sense mass resonant frequency
measurement, as given by Eq. 4.39, where the high and low –3dB frequency
measurements were 45.02 kHz and 44.82 kHz respectively.
LOdBHIdB FFF
Q_3_3
0
−− −= (4.39)
83
-25.0
-20.0
-15.0
-10.0
-5.0
0.0
42.0 43.0 44.0 45.0 46.0 47.0 48.0
Frequency [kHz]
Tran
smis
sion
[dB]
Frequency [kHz]
Tran
smis
sion
[dB
]
-25.0
-20.0
-15.0
-10.0
-5.0
0.0
42.0 43.0 44.0 45.0 46.0 47.0 48.0
Frequency [kHz]
Tran
smis
sion
[dB]
Frequency [kHz]
Tran
smis
sion
[dB
]
Figure 4.28 Sense mode resonant peak @44.96 kHz, Q=225.
Angular rate resolution was measured as 3.8 deg/sec in a 20 Hz bandwidth, as shown
in Figure 4.29, representing an 8.1 mV/deg/sec sensitivity.
y = 0.0081xR2 = 0.9957
0
0.1
0.2
0.3
0.40.5
0.6
0.7
0.8
0.9
0 20 40 60 80 100 120Angular Rate [deg/s]
Vout
(fftp
eak
V)
3.8 [deg/s] resolution,31 [mV] Noise Floor
y = 0.0081xR2 = 0.9957
0
0.1
0.2
0.3
0.40.5
0.6
0.7
0.8
0.9
0 20 40 60 80 100 120Angular Rate [deg/s]
Vout
(fftp
eak
V)
3.8 [deg/s] resolution,31 [mV] Noise Floor
Figure 4.29 Angular rate sensor measurement data.
4.7 Angular Rate Sensor Finite Element Analysis Simulation Results
Finite element analysis (FEA) was used to compare theoretical prediction and
empirical results of key angular rate sensor output variables as shown in Table 4.1.
84
Table 4.1 Angular rate sensor model comparison results. Parameter Empirical Theoretical FEA (ANSYS) % Diff 1 % Diff 2 % Diff 3K x_total [N/m] 9.2 8.71 8.93 -5.6 -3.0 -2.5
Kz [kN/m] N/A 251 249 N/A N/A 0.8I yy [m4] N/A 3.51E-16 3.60E-16 N/A N/A -2.6
m ring [kg] N/A 1.98E-09 2.05E-09 N/A N/A -3.6Δ z in [nm] 5.79 6.06 6.19 4.5 6.5 -2.1
F Coriolis [pN] 1.68 1.758 1.795 4.4 6.4 -2.1W [μm] 6L [μm] 80 Description EquationT [μm] 2
Z top [μm] 2 % Diff 1 = 100*( Theo.-Emp.)/Theo.Z bot [μm] 0.75R 1 [μm] 150 % Diff 2 = 100*(FEA - Emp.)/FEAR 2 [μm] 516R in [μm] 536 % Diff 3 = 100*(Theo. - FEA)/Theo.R out [μm] 650
The sense ring moment of inertia (Iyy) and mass (mring) are defined by Eq. 4.40 and
4.41 respectively with theoretical and simulation results listed in Tables 4.1 and 4.2.
)(41 22
inoutringzz RRmI += (4.40)
)( 22
inoutsiring RRTm += πρ (4.41)
Table 4.2 ANSYS sense ring moment of inertia simulation results.
85
4.8 Brownian Noise
Brownian noise was calculated [76, 144] as 2.98*10-3 rad/s where Kb is Boltzman’s
constant, T is the ambient temperature in Kelvin, Δf is 20 Hz, mr is the mass of the outer
sense ring, Q is the quality factor, ωo is the resonant drive frequency, and Δx is the linear
displacement of the outer sense ring, as given by Eq. 4.42.
2xQmfTK
or
bn Δ
Δ=Ω
ω (4.42)
The resolution of the angular rate sensor was 3.8 deg/s while the theoretical Brownian
noise floor is 0.17 deg/s, representing a 22x difference. The noise above the Brownian
noise floor is attributed primarily to the large parasitic capacitance associated with off-
chip signal conditioning. This parasitic capacitance was primarily due to the bond pads
and inter-chip wire bonds required to interface the sensor and CMOS signal conditioning
chip. Single chip integration of sensor and CMOS signal conditioning circuits has
potential to reduce the noise floor above the Brownian limit by 8x as compared to the two
chip implementation as described in Chapter 6.
4.9 Angular Rate Sensor Summary
A surface micromachined gyroscope is demonstrated with a decoupled drive and
sense proof mass. A dual anchor approach is described using folded beam springs and a
torsion post to significantly reduce undesired drive proof mass out of plane deflection
while maintaining a comparatively low rotational mechanical restoring force. The Z-axis
(vertical) proof mass suspension spring constant was increased from 11N/m to over 240
kN/m with the addition of the central torsion post. Interleaved springs attached at least
two different radii can be used to suppress precession of the central proof mass in lieu of
the central torsion post. The interleaved support spring design scheme typically increases
86
Z-axis spring constant by 2X while increasing the rotational spring constant by only 5-
10%. Vertical stiction was eliminated from the design using the dual anchor scheme for
the proof mass and a triply clamped beam approach for the fixed electrostatic drive/sense
beams. The increase in the Z-axis spring constant of the fixed triply clamped beams is
greater than 128X as compared to singly clamped cantilever beams of equal length. The
parasitic capacitance of the fixed beam electrostatic arrays referenced to the silicon
substrate is increased less than 16% due to the tip anchor inclusion. In addition, a
differential capacitance measurement scheme is presented which rejects undesired proof
mass linear acceleration error at the sensor. The differential capacitance electrode
configuration also shields the sensor from fringing electrostatic fields allowing for
increased angular rate sensitivity. The sense mode resonant frequency was measured at
44.96kHz with a quality factor Q of 225 in a 3mtorr ambient. Angular rate resolution
was measured at 3.8deg/s in a 20Hz bandwidth with an 8.1mV/deg/s sensitivity. Signal
resolution was significantly reduced by parasitic capacitance between the sensor
polysilicon interconnection and bond pad layer to silicon substrate. The elimination of
bond pad parasitic capacitance could potentially increase signal resolution by 8x where
single chip sensor and CMOS signal conditioning circuit integration is possible. Another
method to increase signal resolution is to switch from 2μm thick surface micromachined
polysilicon to 20μm thick silicon on insulator (SOI) material. Assuming the parasitic
capacitance for runners and bond pads is identical for both surface micromachined and
SOI substrates, the potential for a 10x increase in signal capacitance would allow for sub
1deg/s angular rate sensor resolution using a 2 chip sensor integration scheme.
The basic and enhanced angular rate sensor design was implemented using surface
micromachining techniques. The central drive disc of the enhanced angular rate sensor
can also function independently as an angular accelerometer. Therefore, we propose
extending the presented design enhancements demonstrated on the surface
87
micromachined dual anchor angular rate sensor to include SOI micromachining applied
to an angular accelerometer design as described in the following chapter.
88
CHAPTER 5
DUAL ANCHOR ANGULAR ACCELERATION SENSOR
MEMS based vibratory gyroscopes typically provide poor cross axis rejection to
linear axis and angular acceleration. The angular rate sensor described in Chapter 4 is
comprised of a central drive disc coupled to an outer sense ring via torsion beam
coupling. The central drive disc is essentially an angular accelerometer which was
designed with a large torsion spring constant to reject external angular acceleration and
provide drive mode resonant frequencies in the range from 4-40kHz. Angular
acceleration sensitivity is inversely proportional to the torsion spring constant and
corresponding modal frequencies. As a result, this chapter will provide electro-
mechanical and process based design strategies aimed at maximizing angular acceleration
sensor coupling via the spring suspended proof mass with resonant frequency targets in
the 400-2kHz range. Design and fabrication of surface micromachined and SOI based
devices is presented with emphasis on the trade off between angular acceleration
sensitivity and the fundamental torsion mode resonant frequency.
5.1 Angular Acceleration Sensor Fundamentals
A rotating rigid body can be described in terms of its angular displacement (θ),
angular rate (Ω), and angular acceleration (α) components as described in Figure 5.1.
Rate tables are typically used to apply external rotation to sensors mounted on their
surface. Rate tables can be excited using either constant or sinusoidal angular rate. An
example of a rate table driven with a sinusoidal angular displacement (θ) of 15 degrees at
a frequency of 2Hz is shown in Figure 5.2 with corresponding angular rate(Ω) and
angular acceleration (α) signals defined for a particle located anywhere on the table top.
89
Center of Rotation
θRP
Particle location at t = 0
Particle location at t > 0, t = t
θPP RL =
dtdθ
=Ω
dtd
dtd Ω
== 2
2θα
Rotation
Rigid Body Disc
Ω= PRv
θ = displacement angle of particleRP = radial distance to particle from center of rotationLP = distance of particle travel along outer discΩ = angular rate of particleα = angular acceleration of particlev = tangential velocity of particleaT = tangential acceleration of particlea⊥ = centripetal acceleration of particle
αPT Rdtdva ==
22
Ω==⊥ PP
RRva
Angular Rate and Acceleration
Linear Rate and Acceleration
Center of Rotation
θRP
Particle location at t = 0
Particle location at t > 0, t = t
θPP RL =
dtdθ
=Ω
dtd
dtd Ω
== 2
2θα
Rotation
Rigid Body Disc
Ω= PRv
θ = displacement angle of particleRP = radial distance to particle from center of rotationLP = distance of particle travel along outer discΩ = angular rate of particleα = angular acceleration of particlev = tangential velocity of particleaT = tangential acceleration of particlea⊥ = centripetal acceleration of particle
θ = displacement angle of particleRP = radial distance to particle from center of rotationLP = distance of particle travel along outer discΩ = angular rate of particleα = angular acceleration of particlev = tangential velocity of particleaT = tangential acceleration of particlea⊥ = centripetal acceleration of particle
αPT Rdtdva ==
22
Ω==⊥ PP
RRva
Angular Rate and Acceleration
Linear Rate and Acceleration
Figure 5.1 Description of rigid body rotation using a fixed particle reference point.
Center of Rotation
θRP Particle location atθ = 0
Particle location at +θMax dtdθ
=Ω
dtd
dtd Ω
== 2
2θα
Rotation
Rigid Body Disc
Angular Rate and Acceleration
15=Maxθ
)sin( tMax ωθθ =
s2=τ τ1=f fπω 2=
)cos( tMax ωωθ=Ω
)sin(2 tMax ωωθα −=
[deg]
[deg]
[deg/s]
[deg/s2]0 1 2 3 4 5 6
160
120
80
40
0
40
80
120
160
Time [s]
Particle location at -θMax
θ
αΩ
Center of Rotation
θRP Particle location atθ = 0
Particle location at +θMax dtdθ
=Ω
dtd
dtd Ω
== 2
2θα
Rotation
Rigid Body Disc
Angular Rate and Acceleration
15=Maxθ
)sin( tMax ωθθ =
s2=τ τ1=f fπω 2=
)cos( tMax ωωθ=Ω
)sin(2 tMax ωωθα −=
[deg]
[deg]
[deg/s]
[deg/s2]0 1 2 3 4 5 6
160
120
80
40
0
40
80
120
160
Time [s]
Particle location at -θMax
θ
αΩ
Figure 5.2 Example of rate table excited with 15 degree displacement 2Hz sinusoid.
90
Angular acceleration sensors measure the change of an externally applied angular
rate signal with respect to time. Angular rate sensors based on normal mode coupling via
Coriolis acceleration require some form of forced seismic mass oscillation to transfer
energy from the primary drive mode to the secondary sense mode proportional to an
externally applied angular rate signal, as described in Chapter 4. In contrast, angular
acceleration sensor operation is not based on Coriolis acceleration.
A linear accelerometer can be used to measure the tangential component (aT) of
angular acceleration. However, the linear acceleration sensor seismic mass radial
distance to the system center of rotation (RP) is required to calculate the actual angular
acceleration as a function of the measured tangential acceleration as shown in Figure 5.1.
Similarly, a linear accelerometer can also be used to measure angular rate using the
centripetal acceleration component (a⊥) dependent upon the seismic mass radial distance
to the system center of rotation (RP), as described in Figure 5.1.
Rotating rigid body applications where the center of rotation is invariant, such as a
flywheel, can use the radial separation (RP) as an amplification property of centripetal
acceleration and tangential acceleration when implementing linear accelerometers to
measure angular rate and angular acceleration respectively. However, in applications
where the center of rotation is either variable or unknown can result in large error terms
regarding angular rate and angular acceleration measurement using linear accelerometers.
As a result, sensors which couple directly with angular rate (Ω) and angular
acceleration (α) are desirable for use in applications where the center of rotation is
variable or unknown with respect to sensor location. The angular acceleration sensor
seismic mass displacement is directly proportional to an externally applied angular
91
acceleration signal independent of radial separation to the system center of rotation (RP).
This chapter describes an angular acceleration sensor with its sensitivity independent of
radial distance between sensor location and the center of rotation (RP).
5.2 Angular Acceleration Sensor Applications
Applications such as computer hard drive read/write head compensation [9] require
an angular acceleration signal to provide control loop feedback. While an angular rate
sensor output could provide angular acceleration signal data, this is typically not advised
since high frequency noise and random angle walk can provide large error signals when
differentiated. Sensor signal integration is typically preferred to differentiation where
low signal bandwidth and reduced high frequency noise are desired due to the inherent
time averaged signal conditioning. As a result, non-zero angular accelerometer signal
output can be integrated to provide angular rate information.
Angular accelerometers can be used in applications such as automotive rollover
detection, computer hard drive read/write head compensation, washing machines, and
video camera stabilization provided proper sensor resolution and bandwidth can be
realized.
An angular accelerometer has been previously reported [10] with a noise floor on the
order of 75rad/s2/(rt-Hz) and sensitivity of 0.24μVrms/rad/s2. Automotive angular
accelerometer ranges have been described [12] in the range of (+/-) 5000°/s2, although
sensitivity and noise floor results were omitted. Production angular accelerometers from
Delphi and ST Microelectronics are currently available with a full scale span reported in
the range of 200-2000rad/s2, with reported sensitivity as low as 2.5rad/s2, as shown in
Table 5.1.
92
Table 5.1 Angular accelerometer specification data. Sensitivity Resolution Span Bandwidth Current
Company [mV/r/s2] [r/s2] +/- [r/s2] [Hz] [mA]Delphi 4 5 500, 2000 250,500 5ST Micro. 10 2.5 200 800 26
The device electronics required to signal condition a capacitive angular acceleration
sensor are virtually identical to those required for linear capacitive accelerometer
applications [1]. Technology re-use can be employed to significantly shorten the product
design/fabrication cycle time to market due to direct application of existing linear
accelerometer CMOS signal conditioning circuitry with only minor modification.
5.3 Angular Rate Sensor and Angular Acceleration Sensor Design Comparison
The angular rate sensor utilizes a polysilicon central disc equipped with capacitive
array based electrostatic actuation to drive the structure near its primary mode torsional
resonance. The outer Coriolis sense ring deflects as a function of Coriolis acceleration
coupled external angular rate which is sensed using capacitive differential electrodes.
The angular acceleration sensor is a subset of the angular rate sensor with the Coriolis
ring and its capacitive differential electrodes removed as shown in Figure 5.3.
Angular Rate Sensor Angular
Acceleration Sensor
Coriolis Sense Ring
Torsion Beam Coupling Springs
Capacitive Arrays
SeismicMassDrive
SenseSense
Sense
Angular Rate Sensor Angular Acceleration Sensor
Coriolis Sense Ring
Torsion Beam Coupling Springs
Capacitive Arrays
SeismicMassDrive
SenseSense
Sense
Figure 5.3 Angular rate sensor and angular acceleration sensor comparison.
93
The remaining capacitive arrays are used to both sense angular acceleration and
actuate an electrostatic self test based central disc seismic mass displacement. Beam
sidewall area forms the 2μm thick polysilicon parallel plate capacitive arrays with an
initial gap of 2μm, as shown in Figure 5.4.
2μmView Tilt:75° aboutx-axis
SeismicMassElectrode
FixedSubstrateElectrodesCapacitive
Arrays
2μmView Tilt:75° aboutx-axis
SeismicMassElectrode
FixedSubstrateElectrodesCapacitive
Arrays
Figure 5.4 Angular acceleration sensor capacitive parallel plate beam arrays.
Electrical interconnection from the capacitive electrode arrays to bond pads is
facilitated using a conductive 0.5μm thick photolithography defined polysilicon film
deposited over a 0.4μm thick low stress silicon nitride film as shown in Figure 5.5.
B Sub C ST2
CCCW CCW
CST_CW
CST_CCW
CCW
CST_CW
CST_CCW
Self TestArray
Proof Mass Electrode (B)
CCW
CST_CW
CST_CCW
A ST1
B Sub C ST2A ST1
CapacitiveSelf Test Array
CapacitiveSense Array
SenseArray
SenseArray
Self TestArray
B Sub C ST2
CCCW CCW
CST_CW
CST_CCW
CCW
CST_CW
CST_CCW
Self TestArray
Proof Mass Electrode (B)
CCW
CST_CW
CST_CCW
A ST1
B Sub C ST2A ST1
CapacitiveSelf Test Array
CapacitiveSense Array
SenseArray
SenseArray
SenseArray
SenseArray
Self TestArray
Figure 5.5 Capacitive angular acceleration sensor bond pad electrical schematic.
94
5.4 Surface Micromachined Angular Accelerometer Basic Operation
Angular acceleration is sensed as a torque causing an angular displacement of a
centrally anchored proof mass disc, as given by Eq. 5.1, where I is the moment of inertia
and α is the applied angular acceleration.
αI=Γ (5.1)
The moment of inertia for a simple disc is given by Eq. 5.2, where m is the mass, R1
is the inner disc radius, and R2 is outer disc radius. The disc is assumed to be symmetric
about the system center of rotation with the angular displacement independent of the
offset Lc, as shown in Figure 5.6.
222
1 mRI = (5.2)
xyy
Lc
R1
R2
Center of System Rotation
Center of Disc Rotation
Kx
α
AngularAcceleration
Proof Mass Disc
xyy
Lc
R1
R2
Center of System Rotation
Center of Disc Rotation
Kx
α
AngularAcceleration
Proof Mass Disc
Figure 5.6 Angular Accelerometer Disc Configuration.
The mass of the disc is given by Eq.5.3 where T is the thickness and ρsi = 2.33 kg/m3
is the density of silicon.
22RTm siπρ= (5.3)
95
Externally applied angular acceleration results in a non-zero angular displacement of
the centrally supported seismic mass measured using the capacitive sense array. Radial
dimensions defining the initial and final length of the sense array capacitive electrodes
referenced from the seismic mass center of rotation are shown in Figure 5.7.
g0 = 2μmt = 2μm
ProofMass
FixedBeamArray
r1
r0
LB = r1-r0
g0 = 2μmt = 2μm
ProofMass
FixedBeamArray
r1
r0
LB = r1-r0
Figure 5.7 Capacitive array radial dimensions referenced from center of rotation.
The single sided capacitance of the array is defined by Eq. 5.4, and given by Eq. 5.5
where θ represents the proof mass disc displacement angle.
∫ −= 1
0
rr )( dr
rgT
Co
o
θε
θ (5.4)
⎟⎟⎠
⎞⎜⎜⎝
⎛−−
=10
000 ln)(rgrgT
Cθθ
θε
θ (5.5)
Electrostatic latching [124] occurs for a beam tip displacement at radius r1 greater
than 0.45*g0, where g0 represents the initial non-displaced dielectric gap as described in
Appendix A. The angular accelerometer disc capacitance can be closely approximated
using a parallel plate model for small proof mass displacements representing less than
96
10% the original dielectric gap. The design target of the sensor is based on a (+/-) 1%
ΔC/C0 full scale span to be signal conditioned by a CMOS control chip. As a result, the
parallel plate capacitor approximation is adequate and significantly simplifies the
theoretical analysis. The initial and final parallel plate capacitances are given by Eq. 5.6
and Eq. 5.7 respectively, where g0 is the initial dielectric gap and Δx ≅ R2θ.
0
0100
)(g
TrrC
−=
ε (5.6)
20
0101
)(xg
TrrCΔ−
−=
ε (5.7)
The change in capacitance versus initial capacitance is defined by Eq. (5.8).
0
01
0 CCC
CC −
=Δ (5.8)
Combining Eq. 5.6 and 5.7 into Eq. 5.8 is described by Eq. 5.9.
20
2
0 xgx
CC
Δ−Δ
=Δ (5.9)
Solving for Δx in terms of the torque induced as a function of angular acceleration α
is the next step. The torque can be represented by a force vector F2 applied at the outer
disc radius R2 as given by Eq. 5.10.
22 RF=Γ (5.10)
97
The force magnitude F2 can be described in terms of linear displacement Δx measured
at the outer disc radius R2 by the linear system spring constant K2 as given by Eq. 5.11.
The angular accelerometer can be linearly approximated by substituting Eq. 5.1, 5.10
and 5.11, into Eq. 5.9 as given by Eq. 5.12.
222 xKF Δ= (5.11)
αα
IKRgI
CC
−=
Δ
2200
(5.12)
The linear spring constant of the beam suspension is defined as the displacement Δx
observed for a force vector F2 applied tangential to the disc at the radial distance R2.
Two basic spring configurations were available with proof mass disc attach point located
at radii R0 and R1 as shown in Figure 5.8. The centrally located substrate anchors are
located near the center of rotation at a distance less than R0 to minimize temperature
effects of differential expansion and contraction associated between the substrate films
and suspended proof mass.
OuterConnected
InnerConnected
OuterConnected
InnerConnected
R1
R1 RIN
R0
OuterConnected
InnerConnected
OuterConnected
InnerConnected
R1
R1 RIN
R0
Figure 5.8 Angular accelerometer dual beam spring suspension attach points.
98
The lateral spring constants for the outer (KOUT) and inner (KIN) connected springs,
defined at attach point radius R1, are listed in Figures 5.9 and 5.10 respectively.
L
W
Anchor
Proof Mass
KB2
3
3
4LTEWKBn =
42
423
42
423
BB
BBB
BB
BBB
OUT
KKKKK
KKKKK
K
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=Cantilever Beam
KB1
KB3
KB4
KB5
3
3
6LTEWKOUT ≅
bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
RIN
Centerof
RotationL
W
Anchor
Proof Mass
KB2
3
3
4LTEWKBn =
42
423
42
423
BB
BBB
BB
BBB
OUT
KKKKK
KKKKK
K
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=Cantilever Beam
KB1
KB3
KB4
KB5
3
3
6LTEWKOUT ≅
bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
RIN
Centerof
Rotation
Figure 5.9 Outer connected spring constant directed along x-y plane.
L
W
KB3
KB1
KB2Anchor
ProofMass
2KK IN = 3
3
4LTEWKBn = Cantilever
Beam
3
3
21
2
4LTEW
RRK IN
IN ≅bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
ProofMass
R1RIN
L
W
KB3
KB1
KB2Anchor
ProofMass
2KK IN = 3
3
4LTEWKBn = Cantilever
Beam
3
3
21
2
4LTEW
RRK IN
IN ≅bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
ProofMass
R1RIN
Figure 5.10 Inner connected spring constant directed along x-y plane.
99
The spring suspension initially utilized for the angular rate sensor, as described in
Chapter 4, was modified with a small radius torsion post to allow for lower torsion spring
constants while retaining a configuration which maintains the disc in the x-y plane with a
stiffened z-axis spring constant. The torsion post was omitted from the angular
accelerometer suspension which significantly lowered both spring constants about and
along the z-axis. As a result of the torsion post omission, the proof mass was more
susceptible to undesirable precession modes while excited with off axis angular
acceleration using the standard inner and outer connected spring suspensions.
Undesirable disc precession was alleviated by using an interleaved combination of the
inner and outer connected springs as shown in Figure 5.11.
InterleavedSprings
AnchorsCentralHub
SeismicMass
InterleavedSprings
AnchorsCentralHub
SeismicMass
Figure 5.11 Interleaved folded beam spring design.
The angular displacement sensitivity of the seismic mass is determined by the lateral
spring constant of the interleaved spring suspension. The lateral spring constant of the
interleaved spring suspension is a linear combination of the outer and inner springs. The
lateral spring constant theoretical model prediction referenced to radial location R1 was
compared to finite element analysis (FEA) simulation results with a -1.1% difference
observed as shown in Figure 5.12.
100
3
3
6LTEWKOUT ≅
E = 160GPa, R1 = 160μm, RIN = 144μm
xFK Ansys Δ
= 1
L = 120μm, T = 2μN, W = 2μm, F1 = 1μN
Constants :
Theoretical Model:
73.11 ≅K [N/m]
FEA Simulation:Input: Γ1 = F1R1
Output: Δx = 0.57μm
75.1=AnsysK [N/m]
Comparison:
( )OUTIN KKK +≅ 31
3
3
21
2
4LTEW
RRK IN
IN ≅
100%2
1
KKK
Diff Ansys−≅1.1% −=Diff
Γ1
Δx=0.57μm
Γ1 =F1 R1
F1=1μN
@ R1
Outer Spring
InnerSpring
3
3
6LTEWKOUT ≅
E = 160GPa, R1 = 160μm, RIN = 144μm
xFK Ansys Δ
= 1
L = 120μm, T = 2μN, W = 2μm, F1 = 1μN
Constants :
Theoretical Model:
73.11 ≅K [N/m]
FEA Simulation:Input: Γ1 = F1R1
Output: Δx = 0.57μm
75.1=AnsysK [N/m]
Comparison:
( )OUTIN KKK +≅ 31
3
3
21
2
4LTEW
RRK IN
IN ≅
100%2
1
KKK
Diff Ansys−≅1.1% −=Diff
Γ1
Δx=0.57μm
Γ1 =F1 R1
F1=1μN
@ R1
Outer Spring
InnerSpring
Figure 5.12 Lateral spring constant theoretical model and FEA simulation results.
It should be noted that both the inner and outer spring designs use similar values for
beam length L and width W. The linearized lateral spring constant of the outer and inner
connected beam suspensions referenced to radial location R1 are given by Eq. 5.13 and
5.14 respectively where n1 is the number of individual springs.
3
3
1 6LTEWnKOUT = (5.13)
3
3
21
2
1 4LTEW
RRnK IN
IN = (5.14)
The effective lateral spring constant present at the seismic mass outer perimeter radial
location R2 is defined by Eq. 5.15 and described by Eq. 5.16 with n1 = 3 springs for each
inner and outer configurations where R0 << R1.
)(22
21
2 OUTIN KKRRK += (5.15)
101
( )21
232
2
3
2 234
RRLRTEWK IN += (5.16)
The change in capacitance as a function of applied angular acceleration a is described
by combining Eq. 5.2, 5.3 and 5.16 into Eq. 5.12 as given by Eq. 5.17
( ) 52
321
230
52
3
0 2232
RLRREWgRL
CC
siIN
si
απραπρ
−+=
Δ (5.17)
The change in capacitance for a 100 rad/s2 angular acceleration is shown in Figure
5.13 for a constant beam width W = 2μm.
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
%ΔC/C0
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
%ΔC/C0
Figure 5.13 %ΔC/C0 Vs beam spring length (L) and outer disc radius (R2).
The linear spring constants directed along the z-axis for the outer and inner connected
beam springs are described in Figures 5.14 and 5.15 respectively.
102
L
W
Anchor
Proof Mass
KB2
3
3
4LEWTK Bn =
54
54
21
213
54
54
21
213
_
BB
BB
BB
BBB
BB
BB
BB
BBB
ZOUT
KKKK
KKKKK
KKKK
KKKKK
K
++
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
++
=Cantilever Beam
KB1
KB3
KB4
KB5
3
3
_ 8LEWTK ZOUT ≅
bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
L
W
Anchor
Proof Mass
KB2
3
3
4LEWTK Bn =
54
54
21
213
54
54
21
213
_
BB
BB
BB
BBB
BB
BB
BB
BBB
ZOUT
KKKK
KKKKK
KKKK
KKKKK
K
++
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
++
=Cantilever Beam
KB1
KB3
KB4
KB5
3
3
_ 8LEWTK ZOUT ≅
bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
Figure 5.14 Outer connected spring constant directed along z-axis.
L
W
KB3
KB1
KB2Anchor
ProofMass
31
312
31
312
_
BB
BBB
BB
BBB
ZIN
KKKKK
KKKKK
K
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=3
3
4LEWTKBn = Cantilever
Beam
3
3
_ 6LEWTK ZIN ≅bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
ProofMass
L
W
KB3
KB1
KB2Anchor
ProofMass
31
312
31
312
_
BB
BBB
BB
BBB
ZIN
KKKKK
KKKKK
K
++
⎟⎟⎠
⎞⎜⎜⎝
⎛+
=3
3
4LEWTKBn = Cantilever
Beam
3
3
_ 6LEWTK ZIN ≅bnBB KKK ...21 ≅≅Let
Linear spring constant at R1
ProofMass
Figure 5.15 Inner connected spring constant directed along z-axis.
The linear spring constant directed along the z-axis for the outer and inner connected
beam springs are described by Eq. 5.18 and 5.19 respectively.
103
3
3
1_ 8LEWTnK ZOUT = (5.18)
3
3
1_ 6LEWTnK ZIN = (5.19)
The linearized z-axis spring constant is defined by Eq. 5.20 and described by Eq. 5.21
with n1 = 3 springs for each inner and outer configuration.
ZINZUTZ KKK __0_2 += (5.20)
3
3
_2 87
LEWTK Z = (5.21)
The difference between theoretical z-axis spring constant prediction (K2_Z = 1.29N/m)
and FEA simulation results (K2_Z_ANSYS = 1.32N/m) is 2.3% using beam spring dimensions
listed in Figure 5.12. The analytical z-axis deflection of the proof mass (m = 5.03*10-
9kg) due to gravity (a = 9.802m/s2) was calculated as 0.038μm using the z-axis spring
constant (K2_Z) stiffness.
The z-axis spring constant (K2_Z) also provides mechanical restoring force opposing
surface tension forces [145] present after wet HF sacrificial oxide etch due to subsequent
rinse and gradual evaporation of de-ionized water from the silicon wafer surfaces. An
unacceptably small z-axis spring constant (K2_Z) allows surface tension forces to displace
the seismic proof mass until eventual physical contact with a substrate electrode is
observed. MEMS structures fabricated in silicon are prone to exhibit undesirable stiction
[125] when placed in physical contact with an adjacent silicon surface. As a result,
physical contact of the movable proof mass and adjacent silicon fixed electrodes should
104
be avoided by appropriate design with respect to adequate suspension z-axis spring
stiffness. The surface micromachined z-axis spring constant (K2_Z) would allow a 2μm
thick polysilicon sensor proof mass to travel over 200μm before the mechanical restoring
force is large enough to balance surface tension forces, as graphically represented by
point A in Figure 5.16.
Center of Rotation (Z-Axis)
R2γ = surface tension of liquid (H2O)
g0 = z-axis gap between seismic mass and substrate electrodeφ = contact angle between liquid and polysilicon surfaceFsurf = force due to surface tension
)cos(φγPFSurf =
Sensor Proof MassP = seismic mass outer perimeter at R2
zKF ZZ Δ= _2
FZ = z-axis spring mechanical restoring force
1)
2)
3
3
_2 87
LEWTK Z =
3)
22 RP π= 0=φ
SurfZ FF =
Z
Surf
KF
z_2
=Δ
3
32
716
EWTLRz πγ
=Δ
FZ
FSurf
1 10 1000.01
0.1
1
10
100
103
6
Sensor Thickness (T) [μm]
Subs
trate
Gap
(ΔZ)
[μm
] Z-Axis Displacement of Proof Mass due to Surface Tension of H2O Post Wet HF Based Oxide Sac-Etch
Constants:L = 120μm, W = 2μm, E = 160GPa, R2 = 586μm, γ = 0.071N/m
TSensor Thickness
A
B
Center of Rotation (Z-Axis)
R2γ = surface tension of liquid (H2O)
g0 = z-axis gap between seismic mass and substrate electrodeφ = contact angle between liquid and polysilicon surfaceFsurf = force due to surface tension
)cos(φγPFSurf =
Sensor Proof MassP = seismic mass outer perimeter at R2
zKF ZZ Δ= _2
FZ = z-axis spring mechanical restoring force
1)
2)
3
3
_2 87
LEWTK Z =
3)
22 RP π= 0=φ
SurfZ FF =
Z
Surf
KF
z_2
=Δ
3
32
716
EWTLRz πγ
=Δ
FZ
FSurf
1 10 1000.01
0.1
1
10
100
103
6
Sensor Thickness (T) [μm]
Subs
trate
Gap
(ΔZ)
[μm
] Z-Axis Displacement of Proof Mass due to Surface Tension of H2O Post Wet HF Based Oxide Sac-Etch
1 10 1000.01
0.1
1
10
100
103
6
Sensor Thickness (T) [μm]
Subs
trate
Gap
(ΔZ)
[μm
] Z-Axis Displacement of Proof Mass due to Surface Tension of H2O Post Wet HF Based Oxide Sac-Etch
Constants:L = 120μm, W = 2μm, E = 160GPa, R2 = 586μm, γ = 0.071N/m
TSensor Thickness
A
B
Figure 5.16 Model of z-axis surface tension sensor displacement Vs sensor thickness.
However, the present gap between sensor and substrate electrode is only 2μm which
implies that silicon to silicon contact is highly probable due to wet process (de-ionized
water) surface tension effects. The z-axis spring constant (K2_Z) can be increased by a 3rd
order function of thickness while keeping all other design dimension parameters fixed.
This relationship is described by point B, in Figure 5.16, that a 2μm sensor to substrate
105
gap between the original proof mass cross sectional area would require a 9.5μm thick
sensor to balance the surface tension force upon contact. A sensor thickness greater than
9.5μm will preclude silicon sensor to silicon substrate electrode contact and should be
utilized where wet wafer processing is desired. As a result, increasing the sensor
thickness from 2μm to 20μm will be evaluated in the following sections.
Surface micromachined devices evaluated in this chapter were released using a wet
hydrofluoric (HF) acid sacrificial oxide etch followed by super critical CO2 processing
[146] to minimize z-axis oriented surface tension forces on the proof mass disc, as
described in Appendix B. While super critical CO2 is an effective tool to eliminate
stiction regarding MEMS sensor research on a single wafer basis, there is not yet a
commercially available multi-wafer tool which is fully clean room compatible intended
for use in an industrial CMOS wafer fabrication facility. Therefore, sensor designs
intended for eventual transfer from a research lab environment to a high volume
fabrication/production facility should provide an adequate z-axis spring constant to
minimize proof mass displacements due to surface tension based wet processing steps.
5.4.1 Surface Micromachined Angular Accelerometer Resonant Frequencies
The surface micromachined angular accelerometer fundamental mode resonant
frequency is rotational about the z-axis. The rotational resonant frequency is defined by
Eq. 5.22 where k’ is the torque constant [145] defined by Eq. 5.23.
Ik '
=θϖ (5.22)
θΓ
='k (5.23)
106
The displacement angle θ is described in linearized terms by Eq. 5.24.
2
2
RxΔ
=θ (5.24)
The rotational resonant frequency can be described by substituting Eq. 5.10, 5.11,
5.23, and 5.24 into Eq. 5.22 as given by Eq. 5.25, where k’ = K2R22.
IRK 2
22=θϖ (5.25)
Further simplification is made by substitution of Eq. 5.16 into Eq. 5.25 as given by Eq.
5.26 where dependence on device thickness is not observed.
LRREW
LRWf
si
IN
πρπθ 2)23(
2
21
2
22
+= (5.26)
The theoretical resonant torsion frequency Vs spring length (L) and outer disc radius
(R2) is plotted in Figure 5.17.
It should be noted that the torsion mode resonant frequency is independent of proof
mass thickness (T). The resonant frequency directed along the z-axis is defined by Eq.
5.27.
mK Z
Z_2=ϖ (5.27)
107
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
TorsionModeFreq [Hz]
Figure 5.17 Torsion mode frequency Vs spring length (L) and outer disc radius (R2).
Further simplification is made by substitution of Eq. 5.21 into Eq. 5.27 as given by Eq.
5.28 where dependence on device thickness is observed.
siZ L
EWLR
Tfπρπ 2
74 2
= (5.28)
The z-axis resonant frequency is a strong function of proof mass thickness in addition
to beam length (L) and outer disc radius (R2) for T = 2μm and T = 20μm thick proof mass
discs as shown in Figures 5.18 and 5.19 respectively. It should be noted that the z-axis
resonant frequency increases linearly with increasing thickness as previously described
by Eq. 5.28.
108
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Z-AxisModeFreq [Hz]
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Z-AxisModeFreq [Hz]
Figure 5.18 Modal z-axis frequency for 2μm thick proof mass disc.
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Z-AxisModeFreq [Hz]
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Z-AxisModeFreq [Hz]
Figure 5.19 Modal z-axis frequency for 20μm thick proof mass disc.
109
When the suspension beam width (W) and thickness (T) are identical, the modal
frequencies directed along and about the z-axis are similar with the ratio plotted in Figure
5.20. This ratio varies as a function of outer disc radius (R2) it is independent of beam
spring length (L).
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Ratio of modal frequency directed about and along the z-axis
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Ratio of modal frequency directed about and along the z-axis
Figure 5.20 Modal z-axis frequency ratio for 2μm thick proof mass disc.
While matching the modal frequencies along and about the z-axis is desirable for
coupled mode gyroscope designs [78] it is undesirable for an angular accelerometer
implementation.
In addition, the relatively small z-axis spring constants of the 2μm thick polysilicon
designs typically require super critical CO2 processing [146], as described in Appendix B,
in order to avoid vertical stiction [125] after sacrificial oxide wet hydrofluoric-acid/H20
rinse wafer processing. However, similarly designed devices fabricated in 20μm thick
110
SOI substrates did not exhibit vertical stiction when processed using a wet HF acid
sacrificial oxide etch followed by a wet H20-IPA rinse. The 20μm thick vertical spring
constant is 1000 times larger than a spring 2μm thick with an identical cross section and
is responsible for the observed increased resistance to wet process related vertical
stiction. Increasing mechanical film thickness of the proof mass disc and support beam
springs does not effect the angular acceleration based sensor capacitance sensitivity
(ΔC/C0) or the torsion mode resonant frequency (ωz). However, increasing the
mechanical film thickness significantly stiffens the z-axis spring constant allowing for
standard wet hydrofluoric (HF) acid etching of wafers without the need for post de-
ionized H20 rinse supercritical CO2 processing. In addition, the increase in mechanical
film thickness further separates the modal frequencies directed about and along the z-axis
as shown in Figure 5.21 for a 20μm thick film.
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Ratio of modal frequency directed about and along the z-axis
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
Ratio of modal frequency directed about and along the z-axis
Figure 5.21 Modal z-axis frequency ratio for 20μm thick proof mass disc.
111
Polysilicon films have been described up to 12μm thick to fabricate inertial sensors
[141] and are typically limited by significantly increased film stress as a function of
deposition thickness. However, silicon on insulator (SOI) substrates typically range from
microns to hundreds of microns yielding a virtually stress free single crystal silicon film
[147].
While polysilicon is a homogenous polycrystalline material described by a single
valued Young’s modulus, <100> surface orientation single crystal silicon exhibits a
different Young’s moduli depending upon the crystal orientation [148]. This result
typically precludes <100> type single crystal silicon wafers for use with vibrating shell
normal mode MEMS gyroscopes due poor orthogonal mode matching. A <111> surface
orientation single crystal silicon vibrating ring normal mode gyroscope fabricated on an
SOI substrate [149] has been previously demonstrated. Although the <111> surface
orientation yields a single valued Young’s modulus and is commonly used in bipolar
integrated circuit transistor fabrication, this wafer orientation is not currently fabricated in
large production volumes using SOI substrates due to degraded performance of CMOS
integrated circuit transistor operation [150].
Suspension spring location symmetry can be used to describe mechanical MEMS
structures in <100> single crystal silicon assuming an average Young’s modulus of
160GPa [151]. The homogenous Young’s modulus assumption of <100> single crystal
silicon yields a reasonably good approximation in MEMS devices which operate at
frequencies well below mechanical resonance such as open loop seismic mass angular
accelerometers with radial distribution of suspension spring geometries. As a result,
single crystal SOI wafers were used for further angular acceleration sensor development
in 20μm thick structural films to provide an adequate z-axis spring constant stiffness post
HF-acid sacrificial oxide etch during subsequent wet processing steps.
112
5.5 Angular Accelerometer Surface Micromachined to SOI Design Conversion
A simplified SOI process flow was developed for use in rapid development of the
capacitive sensor prototypes described in this chapter. The angular acceleration sensor
SOI based designs presented in this chapter can also be fabricated using a novel
integrated SOI process flow intended for use in a high volume manufacturing
environment, as described in Chapter 7, which addresses handle wafer substrate electrical
contact and isolation using conformal film trench refill techniques.
In order to utilize the thick mechanical films available in SOI it was necessary to
modify the original surface micromachined polysilicon design. The initial design
conversion required modification of the suspension spring substrate anchors and bond
pad to capacitive electrode electrical interconnect runners as shown in Figure 5.22.
Bond Pads
Fixed Electrode CCW
Fixed Electrode CW
Electrical InterconnectRunner
ProofMassDiscCentral
Hub
FoldedBeam Springs
Bond Pads
Fixed Electrode CCW
Fixed Electrode CW
Electrical InterconnectRunner
ProofMassDiscCentral
Hub
FoldedBeam Springs
Figure 5.22 Angular acceleration sensor design conversion from polysilicon to SOI.
113
The surface micromachined inner and outer connected folded beam springs were
replicated in a 20μm thick SOI based process flow as shown in Figure 5.23.
R1
R0
Inner Connected Folded Beam Spring
Outer Connected Folded Beam Spring
ProofMassDisc
R1
R0
Inner Connected Folded Beam Spring
Outer Connected Folded Beam Spring
ProofMassDisc
Figure 5.23 Centrally anchored folded beam spring array with solid central hub.
The simplified SOI process flow substrate anchor is mechanically connected to the
handle wafer via the buried oxide (BOX) which remains post sacrificial oxide etch.
Design rules were formulated to differentiate between mechanically anchored and
released structures using a timed wet HF sacrificial BOX etch. The SOI sensor outline
was trenched by a photolithography defined deep reactive ion etch (DRIE) utilizing a
time division multiplexed passivation and etch algorithm with input/output parameters
defined in Appendix C. The inner and outer connected beam spring anchors are
distributed symmetrically around the central hub as shown in Figure 5.24.
114
Anchor
CentralHub
Anchor
Anchor
Anchor Anchor
Anchor
Inner Beam Spring Proof Mass Attach Radius (R0)
Anchor
CentralHub
Anchor
Anchor
Anchor Anchor
Anchor
Inner Beam Spring Proof Mass Attach Radius (R0)
Figure 5.24 Beam spring substrate anchor and central hub detail.
The conservative design rule for a mechanically released beam requires a maximum
cross section of 8μm while the anchor design rule requires a minimum cross section of
32μm to ensure that a robust amount of residual BOX exists post sacrificial buried oxide
etch as shown in Figure 5.25.
Identical springs can be interleaved to form the SOI angular accelerometer design
yielding identical lateral and vertical spring constant sets independent of crystal
orientation as rotated normal to the <100> silicon wafer surface plane. The handle wafer
and SOI mechanical film are both comprised of single crystal silicon with a rotation
misalignment typically less than 1 degree with respect to the wafer flat [148].
115
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
AnchorReleasedBeam
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
AnchorReleasedBeam
Figure 5.25 DRIE trench defined SOI suspension BOX anchor cross section.
The single crystal orientation dependence of silicon thermal expansion coefficients are
closely matched between the handle wafer and adjacent SOI. The matched thermal
expansion coefficient relationship between the SOI and silicon handle wafer allows for
distributed anchor placement farther away from the center of device rotation without
significant degradation of the angular acceleration sensor’s temperature coefficient of
offset (TCO) or sensitivity (TCS). The interleaved anchor distribution placement
produces no change on beam suspension spring constants directed along or about the z-
axis when compared to the original centrally located anchor design as shown in Figure
5.23. However, the anchor distribution located farther away from the center of rotation,
as shown in Figure 5.26, significantly stiffens the proof mass to undesirable out of plane
tilt directed about the x or y axes.
116
Anchor
HubAnchors
Anchor Anchor
R0
Anchor
HubAnchors
Anchor Anchor
R0
Figure 5.26 Angular acceleration sensor interleaved inner and outer radial anchors.
Identical folded beam springs were interleaved in order to further compensate for any
photolithography induced rotation misalignment with respect to the SOI wafer flat. This
results in a self-aligned suspension spring design which is tolerant of silicon crystal plane
rotation misalignment due to symmetry about the sensor center of rotation as shown in
Figure 5.27.
The torsion spring constant is defined for two sets of N=3 springs for a beam spring
with a force moment applied to the tip resulting equivalent system described by Eq. 5.29.
3
3
22
21
2
_2 2LTEW
RRRK IN
SOI ⎟⎟⎠
⎞⎜⎜⎝
⎛ += (5.29)
The z-axis linear spring constant is defined for N=6 identical SOI springs independent
of substrate anchor attach point location with the resulting equivalent system described
by Eq. 5.30.
3
3
_ 43
LEWTK SOIZ = (5.30)
117
Electrical Interconnect from Anchor to Bond Pad
R1
RIN
Electrical Interconnect from Anchor to Bond Pad
Electrical Interconnect from Anchor to Bond Pad
R1
RIN
Figure 5.27 Angular acceleration sensor identical spring dual radius interleave.
The SOI angular accelerometer capacitance change (ΔC/C0) as a function of linear
displacement (Δx) measured at the annulus outer disc radius (R2) is defined by Eq. 5.31.
320
3
0 xRRgxR
CC
Δ−Δ
=Δ (5.31)
The sensor capacitance sensitivity (ΔC/C0) as a function of angular acceleration (α) is
described for the SOI interleaved spring design by combining Eq. 5.1-5.3, Eq. 5.10-5.11,
and Eq. 5.29 into Eq. 5.31 as given by Eq. 5.32.
423
322
230
423
3
0 )( RRLRREWgRRL
CC
siIN
si
απραπρ
−+=
Δ (5.32)
118
Capacitive sensitivity is plotted as a function of outer disc radius (R2) and suspension
beam spring length (L) in Figure 5.28. Comparison of Figures 5.13 and 5.28 illustrate the
small difference (less than 5% using typical sensor dimensions) existing between the
surface micromachined polysilicon and SOI angular accelerometer designs regarding
capacitance sensitivity. Similarly, the torsion modal frequencies for the micromachined
polysilicon and SOI angular accelerometer designs exhibit small model differences when
similar thickness (T) values are compared. The modal frequency directed about the z-
axis in torsion is defined by Eq. 5.22 and given by Eq. 5.33, where k’=K2R22.
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
%ΔC/C0
Outer Disc Radius (R2) [m]
BeamSpring Length (L) [m]
%ΔC/C0
Figure 5.28 SOI 20μm thick angular accelerometer ΔC/C0 sensitivity @ α=100r/s2.
si
in
LRREW
LRWf
πρπθ)(
2
21
2
22
+= (5.33)
The modal frequency directed linearly along the z-axis is given by Eq. 5.34.
119
siz L
EWLRTf
πρπ3
4 2
= (5.34)
5.5.1 SOI Angular Accelerometer Basic Operation
The SOI angular acceleration sensor consists of four arrays of fixed beam electrodes
located around the disc perimeter. The fixed beam electrode arrays are configured in sets
of two to form the sensor clockwise and counter clockwise differential capacitance
(CCW,CCCW) and electrostatic self test (CST_CW) arrays as shown in Figure 5.29.
B Sub C ST2
CCCW CCW
CST_CW
CST_CCW
CCW
CST_CW
CST_CCW
CCCWArrayN=80
Self TestArrayN=10 Self Test
Array
SOI Electrical Interconnect Runners w/Anchors
Proof Mass Electrode (B)
CCW
CST_CW
CST_CCW
CCWArrayN=80
A ST1 B Sub C ST2
CCCW CCW
CST_CW
CST_CCW
CCW
CST_CW
CST_CCW
CCCWArrayN=80
Self TestArrayN=10 Self Test
Array
SOI Electrical Interconnect Runners w/Anchors
Proof Mass Electrode (B)
CCW
CST_CW
CST_CCW
CCWArrayN=80
CCWArrayN=80
A ST1
Figure 5.29 Angular acceleration sensor and bond pad schematic.
The sensor differential capacitance arrays have an initial electrostatic gap (g0) of 2μm
with two sets of N=80 beams symmetric about the clockwise and counterclockwise
semicircles. The capacitive electrodes extend beyond the proof mass disk radius (R2) to
the outer electrode radius (R3) as shown in Figure 5.30. The single sided capacitance of
the array is defined by Eq. 5.35, and given by Eq. 5.36 where θ represents the proof mass
disc displacement angle.
120
Figure 5.30 SOI angular accelerometer capacitive array radial dimensions.
∫ −= 3
R
2R
)( drrg
TNC
o
o
θε
θ (5.35)
⎟⎟⎠
⎞⎜⎜⎝
⎛−−
=30
200 ln)(RgRgT
NCθθ
θε
θ (5.36)
The angular displacement (θ) can be linearly approximated at radial location R2 by Eq.
5.37.
2
2
RxΔ
=θ (5.37)
Capacitance can then be defined as a function of linear displacement by substituting
Eq. 5.37 into Eq.5.36 as given by Eq.5.38.
⎟⎟⎠
⎞⎜⎜⎝
⎛Δ−Δ−
Δ=Δ
3220
2220
2
202 ln)(
RxRgRxRg
xTR
NxCsε (5.38)
The linear displacement can be described in terms of angular acceleration (α) by
combining Eq. 5.1, 5.10, and 5.11 as given by Eq. 5.39.
121
⎟⎟⎟⎟
⎠
⎞
⎜⎜⎜⎜
⎝
⎛
−
−=
2
3220
2202220 ln)(
RR
IRKg
IRKgI
RTKNCs
α
αα
εα (5.39)
The parallel plate capacitance approximation in terms of Δx2 and α are given by Eq.
5.40 and 5.41 respectively.
( )
3220
23202 )(
RxRgTRRR
NxC p Δ−−
=Δε (5.40)
( )
32220
232220)(
RIRKgTRRRK
NC p αε
α−
−= (5.41)
Capacitance for the angular and parallel plate models described by Eq. 5.39 and 5.41
respectively are plotted in Figure 5.31 versus angular acceleration (α) for a specific
design example with R3=1010μm, R2=850μm, L=200μm, T=20μm, W=2μm, and
g0=2μm.
1.5 .104 1 .104 5000 0 5000 1 .104 1.5 .104500
1000
1500
2000
2500
6
Electrostatic latch limit point (Δx≅0.45 g0)
CS
CP
InitialValue
Eq. 5.41
Eq. 5.39
Angular Acceleration α [r/s2]
Cap
acita
nce
[fF]
1.5 .104 1 .104 5000 0 5000 1 .104 1.5 .104500
1000
1500
2000
2500
6
1.5 .104 1 .104 5000 0 5000 1 .104 1.5 .104500
1000
1500
2000
2500
6
Electrostatic latch limit point (Δx≅0.45 g0)
CS
CP
InitialValue
Eq. 5.41
Eq. 5.39
Angular Acceleration α [r/s2]
Cap
acita
nce
[fF]
Figure 5.31 Sensor capacitance Vs applied angular acceleration (α).
122
The capacitance can be considered linear over a small subset of the range limited to
+/- 2000r/s2 as shown in Figure 5.32 for this design example. The sensor capacitance
operation range is typically limited to the linear capacitance region to avoid non-linear
electronic signal conditioning.
CS
CP
InitialValue
Eq. 5.41
Eq. 5.39
Angular Acceleration α [r/s2]
Cap
acita
nce
[fF]
Linear CapacitanceAngular AccelerationRange (+/- 2000 [r/s2])
2000 1000 0 1000 20001000
1075
1150
1225
13000
0
CS
CP
InitialValue
Eq. 5.41
Eq. 5.39
Angular Acceleration α [r/s2]
Cap
acita
nce
[fF]
Linear CapacitanceAngular AccelerationRange (+/- 2000 [r/s2])
CS
CP
InitialValue
Eq. 5.41
Eq. 5.39
Angular Acceleration α [r/s2]
Cap
acita
nce
[fF]
Linear CapacitanceAngular AccelerationRange (+/- 2000 [r/s2])
2000 1000 0 1000 20001000
1075
1150
1225
13000
0
Figure 5.32 Linearized sensor capacitance Vs applied angular acceleration (α).
The potential energy (P.E.) for a parallel plate capacitor is defined by Eq. 5.42 where
V is the differential voltage maintained between parallel plates.
2
2 )(21.. VxCEP p Δ= (5.42)
Electrostatic force is generated by applying a differential voltage between a fixed and
proof mass electrode pair. The electrostatic force (FES) is described as a function of the
derivative of potential energy with respect to displacement Δx2 as defined by Eq. 5.43.
123
2
22 )(
2 xdxdCVFES Δ
Δ= (5.43)
The electrostatic force for the angular capacitor model in terms of linear displacement
(Δx2) is described by combining Eq. 5.38 and 5.43 as given by Eq. 5.44.
2
2302
2202
223022202
0223
2
20_ ln1
))(())((
2V
xRgRxRgR
xxRgRxRgRgRRR
xTRNF SES ⎟
⎟⎠
⎞⎜⎜⎝
⎛⎟⎟⎠
⎞⎜⎜⎝
⎛Δ−Δ−
Δ−
Δ−Δ−−
Δ=
ε (5.44)
Similarly, the electrostatic force extracted from the parallel plate capacitor model in
terms of linear displacement (Δx2) is described by combining Eq. 5.40 and 5.43 as given
by Eq. 5.45.
2
23220
23320_ )(
)(2
VRxRg
RRRTRNF PES Δ−−
=ε (5.45)
The electrostatic force (FES) described by Eq. 5.43 can be equated to the folded beam
spring mechanical restoring force described by Eq. 5.11 and Eq. 5.29 as given by Eq.
5.46
2
22
2_2)(
2 xdxdCVxK SOI Δ
Δ=Δ (5.46)
Voltage can isolated from the equated mechanical restoring force and electrostatic
force as described by Eq. 5.47.
⎟⎟⎠
⎞⎜⎜⎝
⎛ΔΔ
Δ=
2
2
2_2
)(2
xdxdC
xKV SOI (5.47)
124
Sensor capacitance was measured as a function of differential voltage applied to the
clockwise (CCW) array using an HP-4824A LCR meter connected to device bond pads via
wafer probe station micro-manipulator needles as shown in Figure 5.33.
PC
LCR Meter
ProbeStation
DUT
PC
LCR Meter
ProbeStation
DUT
Figure 5.33 Capacitive sensor C-V plot test equipment configuration.
A program generated in LabView was used to control the HP-4824A meter and
record measurements in computer spreadsheet format via the Hewlett Packard interface
bus (HPIB). The measured initial sensor capacitance value was 5% larger than the
theoretically predicted value. The 5% difference regarding empirical and theoretical
capacitance is attributed to process variation [113] in forming the initial dielectric gap
(g0) and electrostatic fringing [143] on the non-parallel surfaces between adjacent array
electrodes.
The predicted capacitance values described by Eq. 5.39 and 5.41 are plotted versus
voltage [152] using the relationship defined by Eq. 5.46 and compared to empirical data
as shown in Figure 5.34.
125
0 0.2 0.4 0.6 0.81000
1200
1400
1600
18000 Electrostatic latch limit
point
CS
CP
C0
Eq. 5.41
Eq. 5.39
CCW Array Differential Voltage [V]
Cap
acita
nce
[fF]
Empirical
Theoretical0 0.2 0.4 0.6 0.8
1000
1200
1400
1600
18000 Electrostatic latch limit
point
CS
CP
C0
Eq. 5.41
Eq. 5.39
CCW Array Differential Voltage [V]
Cap
acita
nce
[fF]
Empirical
Theoretical0 0.2 0.4 0.6 0.8
1000
1200
1400
1600
18000 Electrostatic latch limit
point
CS
CP
C0
Eq. 5.41
Eq. 5.39
CCW Array Differential Voltage [V]
Cap
acita
nce
[fF]
Empirical
Theoretical
Figure 5.34 Capacitance-Voltage plot theoretical model comparison to empirical data.
Voltage applied to the Self-Test capacitive array causes electrostatic force to displace
the proof mass and is used to simulate an angular acceleration during normal sensor
operation. The excitation electrodes for both the Self-Test and CCW capacitance arrays
are electrically isolated as listed by nodes ST and C respectively in Figure 5.29. The
Self-test array consists 10 electrodes as shown in Figure 5.35.
Figure 5.35 Self-Test capacitance array (N=10 electrodes).
The voltage applied to the Self-Test capacitance array (N=10 electrodes) can be used
to cause an electrostatic angular displacement of the proof mass as a function of
126
equivalent angular acceleration (α) by combining Eq. 5.41 and 5.47 as described by Eq.
5.48 and plotted in Figure 5.36.
( ) ( )233220
32220
2RRRTRN
IRIRKgV−
−=ε
αα (5.48)
0 2000 4000 6000 80000
0.5
1
1.5
2
Lat
Unstableregion
Stableregion
Electrostatic Latch Voltage
Angular Acceleration (α) [r/s2]
Self-
Test
Arr
ay V
olta
ge [V
]
0 2000 4000 6000 80000
0.5
1
1.5
2
Lat
Unstableregion
Stableregion
Electrostatic Latch Voltage
Angular Acceleration (α) [r/s2]
Self-
Test
Arr
ay V
olta
ge [V
]
Figure 5.36 Self-Test capacitance array applied voltage Vs angular acceleration (α).
Self-Test is enabled by applying a non-zero differential voltage, referenced to the
potential of the movable proof mass, to cause a rotational displacement in a
counterclockwise direction. The control chip contains a logic input pin to control the
voltage applied to the sensor self test array. Self-Test external input logic high is within
(2.6V-5.5V) and controls a buffered voltage source applied to the Self-Test array in the
range from (0.1-1.6V) in increments of 100mV using a 4 bit digital code written to the
control chip electrically-erasable-programmable-read-only-memory (EEPROM) cells
used for device trim and calibration. Self-Test external input logic low is within (0V-
2.2V) and controls a buffered voltage source equivalent to the proof mass node voltage
127
resulting in a zero differential voltage between proof mass and fixed Self-Test array
electrodes.
5.5.2 SOI Angular Accelerometer Basic Signal Conditioning C-V Conversion
The capacitive sensor output is signal conditioned using a switched capacitor
complimentary metal oxide semiconductor (CMOS) control chip as described in Chapter
6. The control chip uses a 4 phase clock, as described in Chapter 6, to perform a singly
sampled sensor capacitance to voltage (C-V) conversion with 3 cascaded amplifiers in
series with the front end to provide signal gain and temperature compensation.
A simplified version of the switched capacitor front end is shown in Figure 5.37 using
a two phase clock to illustrate sensor C-V conversion. Gain greater than unity is present
in the front end is described by the ratio of the sensor capacitance difference to the
matched feedback capacitors (CF1=CF2=CF ). The transfer function for the simplified C-
V front end is given by Eq. 5.49.
F
CWCCWINAC C
CCVV −= (5.49)
Noting that the change in differential sensor capacitance is ΔC=(CCCW-CCW)/2 we can
further simplify the transfer function by substituting this relationship into Eq. 5.49 as
defined by Eq. 5.50.
FINAC C
CoCCVV0
2 Δ= (5.50)
The electromechanical transfer function for the first stage C-V conversion can now be
defined in terms of capacitive coupling using the mechanical input design parameters by
substituting Eq. 5.32 into Eq. 5.50 as given by Eq. 5.51.
128
CCCW
CCW
VIN
A
B
C
VOS2
VOS1
Sensor
φ1
φ1
φ2
φ2
-
+
-
+
a
c
Vac
CF1
CF2
CCCW
CCW
VIN
A
B
C
VOS2
VOS1
Sensor
φ1
φ1
φ2
φ2
-
+
-
+
a
c
Vac
CF1
CF2
Figure 5.37 Simplified switched capacitor C-V front end.
⎟⎟⎠
⎞⎜⎜⎝
⎛−+
= 423
321
230
423
3
)(2)(
RRLRREWgRRL
CCoVV
siin
si
FINAC απρ
απρα (5.51)
The initial capacitance of the sensor (C0) is modeled by substituting Eq. 5.41 into Eq.
5.51 with the initial condition α=0 as given by Eq. 5.52.
⎟⎟⎠
⎞⎜⎜⎝
⎛−+⎟⎟
⎠
⎞⎜⎜⎝
⎛ −= 4
2332
123
0
423
3
0
230
)()(2)(
RRLRREWgRRL
gRRTN
CVV
siin
si
F
INAC απρ
απρεα (5.52)
The control chip nominal analog gain (AGAIN) was set to 75 with an offset of 2.5Volts.
Theoretical and empirical angular acceleration sensitivities were 11.8mV/r/s2 and
9.9mV/r/s2 respectively. This represents a 16% difference between the theoretical model
129
and empirical sensor data. The final output of the control chip is described by Eq. 5.53
and plotted with empirical data versus applied angular acceleration in Figure 5.38.
5.2)()( += αα ACGAINOUT VAV (5.53)
200 150 100 50 0 50 100 150 2000
0.5
1
1.5
2
2.5
3
3.5
4
4.5
5
Angular Acceleration (α) [r/s2]
Vou
t[V]
Theoretical Sensitivity 11.8mV/r/s2
Empirical Sensitivity 9.9mV/r/s2
Eq. 5.52
200 150 100 50 0 50 100 150 2000
0.5
1
1.5
2
2.5
3
3.5
4
4.5
5
Angular Acceleration (α) [r/s2]
Vou
t[V]
Theoretical Sensitivity 11.8mV/r/s2
Empirical Sensitivity 9.9mV/r/s2
Eq. 5.52
Figure 5.38 Control chip voltage output Vs applied angular acceleration (α).
The control chip output root mean squared (RMS) noise was measured as 4.1mV
using an HP-34401 digital multi-meter. This noise measurement yields an empirical
resolution of 0.81r/s2 with a span of +/- 228r/s2 at operational amplifier upper and lower
rails set at 0.2-4.8VDC respectively with applied angular acceleration limited to a 100Hz
bandwidth. Brownian noise was calculated as 0.069 r/s2/rt-Hz [144] for a critically
damped part at standard room temperature and pressure, which is approximately four
times less than the measured angular acceleration sensor resolution in a 100Hz
bandwidth. The majority of the angular accelerometer system output noise is attributed
to the switched capacitor CMOS control chip. Additional noise is present due to the
parasitic capacitance due to the pad to pad wire bonds used to electrically interconnect
130
the sensor and CMOS control chip. A picture of the dual sensor and control chip
interconnection in a 16 pin ceramic dual inline package (DIP) is shown in Figure 5.39.
Ceramic DIP
2mil Wire bonds
SOISensor
CMOS ControlChip
Ceramic DIP
2mil Wire bonds
SOISensor
CMOS ControlChip
Figure 5.39 Angular accelerometer two-chip interconnection top view.
5.5.3 Angular Accelerometer Finite Element Analysis Simulation Results
Finite element analysis (FEA) was used to compare theoretical model prediction and
empirical results of key angular accelerometer output variables as shown in Table 5.2.
Table 5.2 Angular accelerometer SOI model verification results. Parameter Empirical Theoretical FEA (ANSYS) % Diff 1 % Diff 2 % Diff 3K 2_SOI [N/m] 0.34 0.338 0.347 -0.6 2.0 -2.7
Kx [N/m] N/A N/A 1371 N/A N/A N/AKy [N/m] N/A N/A 997 N/A N/A N/AKz [N/m] N/A 240 243 N/A N/A -1.3F θ [Hz] 431 402 412 -7.2 -4.6 -2.5Fz [kHz] 10.8 8.8 10.2 -22.7 -5.9 -15.9
V Latch [VDC] 0.65-0.70 0.72 N/A 2.8 N/A N/AI [m4] N/A 3.82E-14 3.76E-14 N/A N/A 1.6m [kg] N/A 1.06E-07 9.43E-08 N/A N/A 11.0W [μm] 2L [μm] 200 Description EquationT [μm] 20g 0 [μm] 2 % Diff 1 = 100*( Theo.-Emp.)/Theo.R 0 [μm] 50R 1 [μm] 300 % Diff 2 = 100*(FEA - Emp.)/FEAR 2 [μm] 850R 3 [μm] 1010 % Diff 3 = 100*(Theo. - FEA)/Theo.
131
The major output parameter defining angular acceleration sensor performance is
defined by torsion spring constant parameter K2_SOI as given by Eq. 5.29. The FEA
simulation results for system outputs K2_SOI, KX, KY, KZ, Fθ, and FZ are compared to both
empirical and theoretical data as shown in Table 5.2.
FEA modeling was performed by converting the angular accelerometer mask layout
geometry directly into ANSYS format, extruded 20 microns along the z-axis, and meshed
using SOLID92 elements as shown in Figure 5.40.
MeshedSensor LayoutMeshedSensor Layout
Figure 5.40 ANSYS angular acceleration sensor meshed solid model.
Modal analysis was used to identify the natural frequencies associated with motion
directed about (FθZ) and along (FZ) the z-axis as shown in Table 5.3.
The largest output parameter difference (-15.9%) observed between theoretical model
and FEA simulation results is referenced to the modal frequency directed along the z-
axis. The theoretical model is based on linear beam theory accounts only for
displacement directed along the z-axis. Slightly off-axis displacement was observed in
the folded beam springs for proof mass displacement due to linear acceleration directed
along the z-axis.
132
Table 5.3 ANSYS modal frequency simulation results.
1) FθZ
4) FZ
1) FθZ
4) FZ
This complex out-of-plane spring torsion is illustrated using a simplified ANSYS
simulation with the anchors and inner ring structural lattice beams removed to allow
viewing of folded beam spring deflection as shown in Figure 5.41.
Figure 5.41 Displacement simulation of proof mass using z-axis linear acceleration.
Seismic mass displacement about the z-axis due to an applied angular acceleration
results in beam spring deflection confined to the x-y plane, as shown in Figure 5.42.
133
Figure 5.42 Beam spring deflection due to angular acceleration directed about z-axis.
The x-y plane confined beam spring displacement is well described by the linear
beam theoretical model regarding the parameter K2_SOI as reflected in the 2.7% difference
when compared to ANSYS simulation results as listed in Table 5.2.
5.6 Angular Acceleration Sensor Summary
Building blocks of the angular rate sensor research described in Chapter 4 were used
to form a surface micromachined polysilicon angular accelerometer. Process stiction
observed during the wet HF based sacrificial oxide etch proved to be a significant yield
problem. Stiction was avoided on the angular acceleration sensors, fabricated in 2μm
thick polysilicon structural film, by performing critical point drying using CO2 [146] as
described in Appendix B. Although critical point drying is very effective at eliminating
stiction on a single wafer basis geared primarily towards research efforts, this process is
not currently provided by semiconductor equipment vendors for high volume MEMS
silicon wafer production. As a result, an angular acceleration sensor design change was
necessary to harden the device against stiction using standard wet HF sacrificial oxide
etch processes.
134
Increased mechanical film thickness is a significant design input parameter which
reduces process stiction in MEMS devices by increasing the seismic mass mechanical
spring constant directed along the z-axis normal to the wafer plane. Theoretical models
indicate that capacitive sensitivity and torsion mode natural frequency are both
independent of the sensor structural film thickness. Design scaling with existing
theoretical models was used to identify 20μm as a robust mechanical film thickness.
Polysilicon films are typically limited to less than 5μm due to intrinsic film stress.
However, SOI structural films are typically available in thickness ranging from sub-
micron to several hundreds of microns. As a result, SOI substrates were used to fabricate
the second generation angular acceleration sensors.
Design conversion from polysilcon to SOI single crystal structural film included an
interleaved spring design. The interleaved spring design compensates for the crystal
orientation dependence of the Young’s moduli via radial placement symmetry of the
suspension beams. In addition, the interleaved spring design is tolerant of both
photolithography and silicon wafer flat rotational misalignment with respect to the actual
crystal plane orientations.
Theoretical models describing capacitive sensitivity as a function of applied angular
acceleration were provided using polar and Cartesian coordinates. The two models are
compared and observed to yield quite similar results over the region of angular
acceleration interest as shown in Figure 5.32. While the polar coordinate based capacitor
model is more accurate the Cartesian coordinate based capacitor model, its derivatives
are significantly more cumbersome regarding manual design performance prediction.
The Cartesian coordinate based parallel plate capacitor model is more compact and
allows the designer clearer insight into key design performance input parameters. Both
capacitor models are compared to empirical results of an angular acceleration sensor as
135
shown in Figure 5.34. The empirical capacitance was swept as a function of applied
voltage [152] with observed overall trends well described by both theoretical models.
Initial sensor capacitance was 6.9% larger than predicted by the theoretical capacitor
model and is attributed to fringing electric fields [143] in the sensor vicinity. A
derivative of the parallel plate capacitance model was used to calculate the electrostatic
latch voltage of 1.7VDC regarding Self-Test capacitive array (N=10) as plotted in Figure
5.36. Similarly, the electrostatic latch voltage of the CCW and CCCW sensor capacitance
arrays (N=80) were observed to latch in between the range of 0.65-0.70VDC with a
theoretical model prediction of 0.72VDC as listed in Table 5.2.
A simplified switched capacitor signal conditioning circuit was presented to illustrate
sensor capacitance to CMOS control chip voltage conversion. A theoretical
electromechanical model describing the control chip output voltage as a function of
applied angular acceleration is compared to empirical results with a 16% difference
observed as shown in Figure 5.38. The control chip output root mean squared (RMS)
noise was measured as 4.1mV yielding an empirical resolution of 0.81r/s2 with a span of
+/- 228r/s2 at operational amplifier upper and lower rails set at 0.2-4.8VDC respectively
with applied angular acceleration limited to a 100Hz bandwidth as shown in Table 5.4.
Table 5.4 Commercial and research prototype angular accelerometer performance. Sensitivity Resolution Span Bandwidth Current
Company [mV/r/s2] [r/s2] +/- [r/s2] [Hz] [mA]Delphi 4 5 500, 2000 250,500 5ST Micro. 10 2.5 200 800 26*Motorola 10 0.8 228 100 4.3*Research Prototypes Only
Brownian noise was calculated as 0.069 r/s2/rt-Hz [144] for a critically damped part
at standard room temperature and pressure, which is approximately four times less than
136
the measured angular acceleration sensor resolution in a 100Hz bandwidth. The majority
of the angular accelerometer system output noise is attributed to the switched capacitor
CMOS control chip described in Chapter 6.
Finite element analysis performed using ANSYS software was used to verify
theoretical model prediction of key angular accelerometer output variables K2_SOI, KX, KY,
KZ, Fθ, and FZ. The key output variable used throughout theoretical model prediction was
K2_SOI. Theoretical model and FEA simulation prediction of the key output variable
K2_SOI was compared to empirical results with a –0.6 and 2.0 %Difference listed in table
5.2. The small difference observed between empirical, theoretical, and FEA simulation
results increase confidence regarding angular acceleration sensor theoretical model
prediction. Future angular acceleration design iterations, beyond the scope of this thesis,
will be required to further characterize and improve the presented theoretical models.
137
CHAPTER 6
CMOS SWITCHED CAPACITOR SIGNAL CONDITIONING
An analog front end design is presented using switched capacitor design techniques to
provide electronic signal conditioning of variable capacitance MEMS angular
acceleration and rate sensors. The presented front end design rejects both high and low
frequency noise injected at the excitation voltage node via a novel common mode
differential charge redistribution sensing scheme. The main clock is designed to operate
at a frequency of 480kHz and consists of four phases per charge-to-voltage conversion
cycle regarding front end signal conditioning. Charge redistribution is used prior to
analog signal amplification allowing for a reduced number of operational amplifiers
required in the front end.
6.1 Front End Architecture
A switched capacitor architecture [123] is used to sample charge injected onto a
MEMS based differential capacitance acceleration sensor. The sensor is interfaced with a
CMOS charge summing front end prior to first stage amplification. The charge
difference between the top and bottom capacitive acceleration sensor nodes is summed
and added to the DC offset voltage induced charge at the input to the first stage amplifier
as shown in Figure 6.1. The transfer function describing the voltage measured at the
sample and hold output node is given by Eq. 6.1.
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛+⎟
⎟⎠
⎞⎜⎜⎝
⎛
+++
−++
+−=
1
5
1
4
41
3
3 FFPBB
PBB
FPTtop
PTtop
CC
VoffsetCC
CCCCC
CC
CCCCC
VinVosVout (6.1)
138
C3
C4
C5
CF1
CPT
CPB
Vin
VoffsetVos
Vout
Cbot
Ctop
V-
V+
C3
C4
C5
CF1
CPT
CPB
Vin
VoffsetVos
Vout
Cbot
Ctop
V-
V+
Figure 6.1 Switched capacitor front end top level schematic.
The capacitors CPB and CPT represent the parasitic capacitance present at the top and
bottom sensor nodes due to bond pads and electrical interconnect traces. The transfer
function can be simplified by matching the gain capacitors CPB and CPT to each other
given by Eq. 6.2.
PPTPB CCC == (6.2)
Similarly, the transfer function can be further simplified by matching the gain
capacitors C3 and C4 to each other given by Eq. 6.3.
SCCC == 43 (6.3)
139
The sampling gain capacitor value (CS) is set to the parallel combination of the initial
sensor and parasitic capacitances as given by Eq. 6.4 where the initial capacitance (C0) is
approximated using Eq. 6.5.
0CCC PS += (6.4)
200
0bt CC
C+
= (6.5)
Finally, we combine Eq. 6.1 through Eq. 6.5 as given by Eq. 6.6.
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛+⎟⎟
⎠
⎞⎜⎜⎝
⎛
++
−−≅
1
5
12
0 )()(
FF
S
SP
bottops
CC
VoffsetCC
CCCCCC
VinVosVout (6.6)
The sensor capacitance change with increasing top capacitance and decreasing
bottom capacitance is given by Eq. (6.7) and Eq. (6.8) respectively.
CCC ttop Δ+= 0 (6.7)
CCC bbot Δ−= 0 (6.8)
The simplified function is given by Eq. 6.9.
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛+⎟⎟
⎠
⎞⎜⎜⎝
⎛
++Δ
−≅1
5
1
2
20 )(
)(2
FF
S
SP CC
VoffsetCC
CCCCVinVosVout (6.9)
140
Further simplification is possible by substituting Eq. 6.4 into Eq. 6.9 and setting the
integration feedback capacitor CF1 equal to the initial sensor capacitance C0 described by
Eq. 6.10.
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛+⎟⎟
⎠
⎞⎜⎜⎝
⎛ Δ−≅
1
5
02 FCC
VoffsetCCVinVosVout (6.10)
Final simplification is achieved by setting Vin to analog ground and C5 equal to CF1 is
described by Eq. 6.11.
VoffsetCCVosVout +⎟⎟
⎠
⎞⎜⎜⎝
⎛ Δ≅
02 (6.11)
6.2 Front End Capacitive Sensor Charge Redistribution
The sensor is comprised of two variable capacitors with near identical initial
condition values. Inertial excitation causes the sensor spring suspended seismic mass to
displace from its initial at-rest state. This seismic mass displacement causes one
capacitor to increase while the other decreases at a similar rate. This change in
capacitance can also be described by charge redistribution.
The switched capacitor front end utilizes charge redistribution to convert the sensor
output from differential to single ended during the first three clock phases as shown in
Figure 6.2.
141
+VosC3 C4
Ctop Cpt Cbot CpbCtop Cpt Cbot Cpb
+VosC3 C4
Qbot-Qtop
Phase 0 Phase 1 Phase 2
+ + + ++VosC3 C4
Ctop Cpt Cbot CpbCtop Cpt Cbot Cpb
+VosC3 C4
Qbot-Qtop
Phase 0 Phase 1 Phase 2
+ + + +
Figure 6.2 Phases 0-2 front end charge distribution.
Clock Phase 0
The variable sensor and parasitic capacitors are shorted to voltage Vin (where Vin=
analog ground) during phase 0 which represents sensor charge reset.
Clock Phase 1
The top portion of the sensor is charged to the opamp offset voltage (Vos) based on
the parallel combination of Ctop and Cpt added in series with C3, (C3= Ctb). Similarly, the
bottom portion of the sensor is charged to the opamp offset voltage (Vos) based on the
parallel combination of Cbot and Cpb added in series with C4, (C4= Csb)
Clock Phase 2
The charge on the top sense capacitor (C3=Cst) is connected with opposite polarity to
the bottom sense capacitor (C4=Csb). If the capacitors are matched (C3=C4) the output
voltage is a function of the difference between the Phase 1 charges.
Clock Phase 3
This phase is used to charge the sample-and-hold capacitor (Ch) to the operational
amplifier output voltage.
142
The offset charge redistribution block adds a net charge to the summed sensor charge
to maintain a single valued polarity for all possible capacitive sensor values. Detail of the
clocked signals applied to transmission gates controlling the charge re-distribution
portion of the switched capacitor front end is shown in Figure 6.3. A transmission gate
sub-circuit [123] is shown in Figure 6.4.
C3
C4
C5
Sensor
CF1
Ctop
Cbot
Cpb
Cpt
Voffset
V-
V+
Q TOP
Vos
Vin
Vin
Q BOTTOM
Q OFFSET
C3
C4
C5
Sensor
CF1
Ctop
Cbot
Cpb
Cpt
Voffset
V-
V+
Q TOP
Vos
Vin
Vin
Q BOTTOM
Q OFFSET
Figure 6.3. Transmission gate charge re-distribution clock phase detail.
143
ClockInput(Ctrl)
InputNode
OuputNode
ClockInput(Ctrl)
InputNode
OuputNode
Figure 6.4 Basic transmission gate schematic sub-circuit (T-gate7).
6.3 Theoretical Calculation and SPICE Simulation Result Comparison
The circuit was simulated using PSpice software with a four phase clock extracted
from the 480kHz main clock frequency. The transient simulation results are compared to
theoretical calculations using Eq. 6.1 as listed in Table 6.1 with a 2.5V offset reference.
Table 6.1 Simulated Vs theoretical sample and hold stage output voltage. Vos Vin Voffset Ct Cb Vout(sim) Vout(theo) ΔC ΔV (sim) ΔV (theo) % Diff
2 0 2.5 0.35 0.45 2.3619 2.3583 0.050 -0.1390 -0.1417 1.9%2 0 2.5 0.4 0.4 2.5009 2.5000 0.000 0.0000 0.0000 0.0%2 0 2.5 0.41 0.39 2.5287 2.5283 -0.010 0.0278 0.0283 1.9%2 0 2.5 0.42 0.38 2.5564 0.0567 -0.020 0.0555 0.0567 2.1%2 0 2.5 0.43 0.37 2.584 2.5850 -0.030 0.0831 0.0850 2.3%2 0 2.5 0.45 0.35 2.6398 2.6389 -0.050 0.1389 0.1417 2.0%
The difference between the theoretical model defined by Eq. 6.1 and simulated output
node voltage was on the order of 2%. The simulated and theoretical sample-and-hold
stage was observed to be relatively linear over the maximum sensor operation range
represented by a ΔC/C0 of (+/-) 0-12% referenced to an initial capacitance of 400fF. The
first stage voltage output simulated at the sample and hold node is shown in Figure 6.5.
144
Vout [V] Vs ΔC [pF]
-0.2
-0.15
-0.1
-0.05
0
0.05
0.1
0.15
0.2
-0.06 -0.04 -0.02 0 0.02 0.04 0.06
ΔC Sensor [pF]
ΔV
Out
put [
V]
Vos=2V
Vos=1V
Vout [V] Vs ΔC [pF]
-0.2
-0.15
-0.1
-0.05
0
0.05
0.1
0.15
0.2
-0.06 -0.04 -0.02 0 0.02 0.04 0.06
ΔC Sensor [pF]
ΔV
Out
put [
V]
Vos=2V
Vos=1V
Figure 6.5 First stage capacitance to voltage (C to V) transconduction slope.
The switched capacitor front end bandwidth [153] was calculated as 60kHz for an
8.3μs clocked sample cycle time using the Nyquist limit [154] for the four phase 480kHz
main clock frequency. Simulation of the front end was performed using a sinusoidal 1%
ΔC/C0 to represent transient sensor operation from 100Hz - 2kHz as shown in Figure 6.6.
Ti me
0 s 0 . 2 ms 0 . 4 ms 0 . 6 ms 0 . 8 ms 1 . 0 ms 1 . 2 ms 1 . 4 ms 1 . 6 ms 1 . 8 ms 2 . 0 msV( o u t _ f i n a l )
2 . 4 V
2 . 5 V
2 . 6 V
2 . 7 V
100 [Hz]
500 [Hz]2000 [Hz] 1000 [Hz]
Ti me
0 s 0 . 2 ms 0 . 4 ms 0 . 6 ms 0 . 8 ms 1 . 0 ms 1 . 2 ms 1 . 4 ms 1 . 6 ms 1 . 8 ms 2 . 0 msV( o u t _ f i n a l )
2 . 4 V
2 . 5 V
2 . 6 V
2 . 7 V
100 [Hz]
500 [Hz]2000 [Hz] 1000 [Hz]
Ti me
0 s 0 . 2 ms 0 . 4 ms 0 . 6 ms 0 . 8 ms 1 . 0 ms 1 . 2 ms 1 . 4 ms 1 . 6 ms 1 . 8 ms 2 . 0 msV( o u t _ f i n a l )
2 . 4 V
2 . 5 V
2 . 6 V
2 . 7 V
100 [Hz]
500 [Hz]2000 [Hz] 1000 [Hz]
Figure 6.6. Front end sample-and-hold voltage output for a sinusoidal 1% ΔC/C0.
145
6.4 CMOS Control Chip Top Level Overview
A CMOS control chip functional block diagram has been previously demonstrated
[48] for use with automotive capacitive acceleration sensors. The charge redistribution
portion of the CMOS control chip functional block diagram providing capacitance to
voltage (C to V) conversion and trimmed offset voltage is shown in Figure 6.7. This
portion of the original design was modified to include a similar charge redistribution
scheme as described in section 6.2. A top level schematic of the analog signal path is
shown in Figure 6.8 with the 4 pole Bessel filter omitted. A switched capacitor low pass
filter with analog gain which could be used to replace the Bessel filter in future designs as
described in Appendix D. The analog signal path output voltage is described by Eq. 6.12.
( ) ( )⎟⎟⎠
⎞⎜⎜⎝
⎛ +⎟⎟
⎠
⎞
⎜⎜
⎝
⎛+⎟
⎟⎠
⎞⎜⎜⎝
⎛
+++
−++
+−=
32
332
1
5
1
4
41
3
3
3_RC
RRCCC
VoffsetCC
CCCCC
CC
CCCCC
VinVosVoutF
BAS
FFPBB
PBB
FPTtop
PTtop (6.12)
CapacitiveSensor
Charge RedistributionFront End
InertiaCtop
Cbot
CapacitiveSensor
Charge RedistributionFront End
InertiaCtop
Cbot
Figure 6.7. CMOS control chip functional block diagram.
146
C3
C4
C5
CF1
Cpt
Cbt
Vin
Ctop
Cbot
Vout_1
Vout_3CS2
CF2 R3A R3BR3
CH2 CH3
C3
C4
C5
CF1
Cpt
Cbt
Vin
Ctop
Cbot
Vout_1
Vout_3CS2
CF2 R3A R3BR3
CH2 CH3
Figure 6.8. CMOS control chip analog signal path top level schematic.
Surface micromachined capacitive angular acceleration sensors, as described in
Chapter 5, were electrically interfaced to the CMOS control chips using 2mil wire bonds.
Electrical interconnection between the CMOS control chip and ceramic dip (cerdip) pins
were made using 1mil wire bonds. Both the sensor and CMOS control chip were
adhesively attached to the ceramic dip as shown in Figure 6.9.
147
Figure 6.9. CMOS control chip interfaced to capacitive angular acceleration sensor
6.5 CMOS Signal Conditioned Angular Accelerometer Electrical Output
The CMOS control chip was connected to a 5V power supply with a measured chip
current draw of 4.3mA. Control chip offset voltage was manually trimmed to provide a
2.52V output reference. The electrical output voltage of the CMOS control chip was
measured using a Tektronix digital oscilloscope with a zero acceleration input (rest state)
over a 1 second interval at a sampling rate of 1kHz as plotted in Figure 6.10.
Angular acceleration was applied to the sensor with a peak amplitude of 40r/s2 using
a sinusoidal 2Hz oscillation frequency. Output voltage measurements with angular
acceleration excitation applied over a 1 second period are plotted in Figure 6.11. The
CMOS control chip output angular acceleration sensitivity was measured as 1.9mV/r/s2.
Full scale span was calculated as (+/-) 1260r/s2 using output amplifier high and low rails
conservatively estimated at 4.9V and 0.1V respectively. Angular acceleration resolution
was calculated as 2.3r/s2 using the measured noise and sensitivity.
148
Figure 6.10 CMOS control chip output voltage reference (Noise = 4.3mVRMS).
Figure 6.11 Output voltage measurement for sinusoidal 40r/s2 input.
The angular acceleration test configuration consists of an oscillating aluminum arm
which pivots up to 15 degrees about an automotive wheel bearing mounted to a stationary
table as shown in Figure 6.12. An eccentric cam is used to convert the unidirectional
motor input into a sinusoidal motion via an arm linkage equipped with end attached
149
bearings. The variable drive speed motor is rated up to 5000rpm requiring a step down
transmission be included to decrease arm oscillation speed while increasing available
drive torque. An angular rate signal reference is available from an automotive grade
quartz gyroscope attached to the arm end point as shown in Figure 6.13.
DigitalOscilloscope
RateTable
BearingSensor TestBoard
RateTable
Sensor
DigitalOscilloscope
RateTable
BearingSensor TestBoard
RateTable
Sensor
Figure 6.12 Angular rate table test equipment configuration.
Motor
Rear View
ReferenceGyroscope
ArmMotion
ArmLinkage
Top View
Motor
Rear View
ReferenceGyroscope
ArmMotion
ArmLinkage
Top View
Figure 6.13 Eccentric cam sinusoidal arm linkage with motor driven transmission.
6.6 CMOS Signal Conditioned Angular Acceleration Sensor Summary
Switched capacitor based charge redistribution was used to convert the sensor
differential output into a single ended output prior to front end integration. The top and
150
bottom sensor capacitive plates are charged, sampled, and discharged in parallel.
Additionally, the sensor charge and discharge cycles are provided by single node voltage
sources allowing for common mode noise cancellation at the sensor [153]. Offset charge
was added to guarantee the combination of charge from the top and bottom sensor
capacitive plates would maintain a constant polarity over the angular acceleration sensor
full scale span range. The offset charge also facilitates trimming of the output voltage to
half the full scale output as an offset reference. Offset voltage was measured on a CMOS
control chip output, interfaced to a surface micromachined angular accelerometer via
wire bonds, as 2.52V with 4.3mVRMS of noise. The sensor was excited using a 40r/s2
peak amplitude yielding a measured sensitivity of 1.9mV/r/s2. Angular acceleration
sensor resolution was calculated as 2.3r/s2 using the measured noise and sensitivity
measurements.
Parasitic capacitance due to sensor and CMOS chip integration is estimated at 3pF as
referenced from the sensor bond pad nodes to analog ground. The primary parasitic
capacitance mechanisms are attributed to the large areas consumed by conductive wire
bond pads and polysilicon interconnection traces required to electrically interconnect the
sensor. Presently, the CMOS control chip output signal voltage amplitude is reduced by
a multiplication factor of 2.4 when compared to a null parasitic capacitance condition as
modeled by Eq. 6.12. While complete nulling of parasitic capacitance is not practical, a
reduction from 3pF to 0.3pF would yield an increase in voltage signal output by a
multiplication factor of 2.2. Smaller bond pad areas and thicker dielectrics between
sensor electrical interconnections and the underlying conductive substrate are effective
design methods to reduce parasitic capacitance. Two additional design methods which
can reduce signal conditioned voltage output sensitivity to parasitic capacitance are to
increase the sensor capacitance by using thicker structural films and to integrate the
CMOS signal conditioning circuitry on the same chip. Sensor structural films in excess
151
of 20μm have been realized using SOI substrates effectively increasing sensor
capacitance as thickness is increased while parasitic capacitance remains fixed. Single
chip integration of sensor and signal conditioning electronics [2, 3] eliminates long
polysilicon electrical interconnections, bond pads, and wire bonds between sensor output
nodes and CMOS signal conditioning electronics. The SOI process flow described in
Chapter 7 could be modified to satisfy CMOS integrated circuit compatibility regarding
single chip sensor realization.
152
CHAPTER 7
SOI SENSOR FABRICATION PROCESS FLOWS
Surface micromachining using polysilicon as a structural material [155-157] has
traditionally been limited to a deposition thickness of less than 5μm in order to minimize
film stress [140]. Excessive thin film stress can result in either bowing or buckling of
structures such as beam spring suspensions mechanically anchored to the substrate [158,
159]. In-situ doped single crystal silicon provides a virtually stress free mechanical film
for use in MEMS device applications [148, 160]. However, single crystal silicon wafers
heavily doped with boron at a surface concentration of 1020cm-3 have been previously
observed to induce a non-zero tip deflection regarding 3μm thick cantilevered beams
[161] released using ethylene diamine pyrocatechol (EDP). Although an accelerometer
has been fabricated using a boron etch stop process without any observed stress related
problems [162], a stress free structural film with mechanical properties superior to
polysilicon is desired.
This chapter describes two process flows used to fabricate MEMS capacitive
acceleration sensors on SOI substrates. The short SOI flow describes a minimized
number of process steps to realize a sensor with electrically isolated mechanical anchors.
The integrated SOI flow includes deep reactive ion etch (DRIE) with subsequent
trench refill using low pressure chemical vapor deposition (LPCVD) of conformal films
[63] typically used in the fabrication of CMOS integrated circuits. Multiple trench refill
steps deposit conductive and non-conductive conformal films to provide selective handle
wafer substrate electrical contact and mechanical anchor electrical insulation
respectively.
153
7.1 SOI Sensor Mechanical Anchor Fabrication Fundamentals
SOI provides mechanical film properties superior to polysilicon with the addition of a
buried oxide (BOX) layer. The BOX can be used as a built in release layer for MEMS
devices fabricated in SOI using a single mask process to define the sensor structural
outline. Sacrificial etching of the BOX is performed using hydrofluoric (HF) acid [163,
164]. A timed HF etch is typically used to release the device using large laterally etched
areas to form electrically insulated mechanical substrate anchors due to incomplete BOX
removal, as shown in Figure 7.1.
B B
View B-B
SOI
Si Substrate
Oxide Anchor
Top View TimeDependentIsotropic Oxide EtchUndercut (HF)
SOI
Si Substrate
Side View
MEMSCantileverBeam
B B
View B-B
SOI
Si Substrate
Oxide Anchor
Top View TimeDependentIsotropic Oxide EtchUndercut (HF)
SOI
Si Substrate
Side View
MEMSCantileverBeam
Figure 7.1 Typical SOI MEMS mechanical BOX attached anchor.
The BOX defined anchor cross sectional area is a function of the layout defined
anchor perimeter, sacrificial oxide lateral undercut etch rate, and etch duration. The
timed etch process step requires the HF concentration and temperature be tightly
controlled in order to minimize the BOX etch rate variation distributed over multiple
154
wafer lots. Agitation of HF during sacrificial BOX etch is typically used to reduce
localized oxide etch variation as a function of wafer location.
7.2 Short SOI Process Flow
The short SOI process flow substrate anchor is mechanically connected to the handle
wafer via the buried oxide (BOX) which remains post sacrificial oxide HF etch as
previously shown in Figure 7.1. Design rules were formulated to differentiate between
mechanically anchored and released structures using a timed wet HF sacrificial BOX
etch. The SOI sensor outline was trenched by a photolithography defined deep reactive
ion etch (DRIE) with input/output parameters defined in Appendix C. The conservative
design rule for a mechanically released beam requires a maximum cross section of 8μm
while the anchor design rule requires a minimum cross section of 32μm to ensure that a
robust amount of residual BOX exists post HF etch as shown in Figure 7.2.
The short SOI process consists of two masks. The first mask and photoresist step is
used to define the bond pad metal area using a lift-off technique [165]. The second mask
and photoresist step is used to define the sensor outline regarding deep reactive ion
etching. The final step involves sacrificial oxide etch in aqueous HF to release the device
as shown in Figure 7.3.
155
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
AnchorReleasedBeam
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX (2μm Thick)
Pre Sacrificial Oxide Etch
Handle Wafer (525μm Thick)
SOI (20μm Thick)
BOX
32μm
Post Sacrificial Oxide Etch
8μm
Lateral Undercut (> 4μm)
AnchorReleasedBeam
Figure 7.2 Short SOI process flow DRIE trench defined BOX anchor cross section.
SOI
Si Substrate
Cr-Au Metal
SOI
Si Substrate
BondPad
Si Substrate
ReleasedDevice
1) Metal deposition and Lift-Off
2) Sensor outline DRIE
3) Aqueous HF BOX etch
Mask 1
Mask 2
SOI
Si Substrate
Cr-Au Metal
SOI
Si Substrate
BondPad
Si Substrate
ReleasedDevice
1) Metal deposition and Lift-Off
2) Sensor outline DRIE
3) Aqueous HF BOX etch
Mask 1
Mask 2
SOI
Si Substrate
Cr-Au Metal
SOI
Si Substrate
BondPad
Si Substrate
ReleasedDevice
1) Metal deposition and Lift-Off
2) Sensor outline DRIE
3) Aqueous HF BOX etch
Mask 1
Mask 2
SOI
Si Substrate
Cr-Au Metal
SOI
Si Substrate
BondPad
Si Substrate
ReleasedDevice
1) Metal deposition and Lift-Off
2) Sensor outline DRIE
3) Aqueous HF BOX etch
Mask 1
Mask 2
Figure 7.3 Short SOI process flow released device and bond pad cross section.
156
7.2.1 Clear Field Sensor Perimeter Fabrication
Clear field refers to the perimeter of silicon surrounding the sensor. Clear field
perimeter angular accelerometers fabricated using the short SOI process flow are shown
in Figure 7.4. The large gap between the sensor fixed electrodes and rectangular SOI
perimeter reduces the parasitic sidewall capacitance by more than an order of magnitude.
ClearFieldPerimeter
SOI
SOI Etched
SOI
ClearFieldPerimeter
SOI
SOI Etched
SOI
Figure 7.4. Clear field perimeter SOI short process flow angular acceleration sensors
Long electrical interconnect beams are used to electrically interconnect the sensor
capacitive electrodes to the corresponding bond pads. The electrical interconnect beams
are 4μm wide and 20μm thick with multiple anchors placed on long runs and corner
transitions. The anchors support the interconnect beams above the silicon substrate post
sacrificial oxide etch as shown in Figure 7.5.
157
Anchor
FixedElectrode
Bond Pad Interconnect
Anchor
FixedElectrode
Bond Pad Interconnect
Figure 7.5 Bond pad interconnect beam anchor electrical isolation from substrate.
Termination of the electrical interconnect beams occurring at sensor bond pads
patterned with Cr-Au metal is shown in Figure 7.6.
Metal(CrAu)
Bond Pads
Metal(CrAu)
Bond Pads
Figure 7.6 Bond pad metal and interconnect beam detail.
7.2.2 Dark Field Sensor Perimeter Fabrication
Dark field perimeter is described by a single continuous frame of SOI within
proximity of the sensor. Trench width located between the sensor fixed electrodes and
dark field perimeter are typically on the order of 2μm. Both clear and dark field designs
can be fabricated side by side on the same wafer as shown in Figure 7.7.
158
ClearField Perimeter
DarkField Perimeter
ClearField Perimeter
DarkField Perimeter
Figure 7.7. Dark field perimeter SOI short process flow angular acceleration sensor
Dark field designs are better suited to hermetic encapsulation techniques [166]
intended to protect the sensor from particulates and moisture during operation. However,
parasitic capacitance between the fixed sensor electrodes and the dark field perimeter is
typically an order of magnitude larger than observed for clear field designs. Parasitic
capacitance modeling treats the dark field trench width dimension as the dielectric gap
(g0) of a parallel plate capacitor sidewall as described by Eq. 7.1.
0
30_ g
TRC DarkP
πε= (7.1)
Parasitic capacitance (CP_Dark) was calculated as 281fF using data values listed in
Table 5.2. The dark field SOI is connected to the substrate contact bond pad (Sub) using
a silicon interconnect beam as shown in Figure 7.8.
159
ST1 B Sub C
Stiction assisted substrate contact plate
Folded beam spring
Dark field shorted to bond pad “Sub”
View tilt 15 degrees about y-axis
ST1 B Sub C
Stiction assisted substrate contact plate
Folded beam spring
Dark field shorted to bond pad “Sub”
View tilt 15 degrees about y-axis
Figure 7.8 Dark field SOI electrical short to bond pad with substrate contact plate.
Substrate electrical contact was realized using a silicon plate attached by folded beam
spring to the substrate bond pad (Sub) which is manually deflected until contact with the
silicon substrate is achieved. Silicon to silicon contact was maintained after initial
contact between the spring suspended plate and substrate by in-use stiction [125].
Substrate contact designs intended for release stiction initiation were optimized to
produce high surface tension, large silicon to silicon contact area, and low mechanical
restoring force folded beam springs as described in Appendix E.
7.3 Integrated SOI Process Flow
Mechanical anchors can be formed in SOI using a trench refill conformal film [63]
defined perimeter. Polysilicon and silicon nitride low pressure chemical vapor deposition
(LPCVD) conformal films were chosen based on their lower etch rates in HF as
compared to thermal oxide. The ratios of thermal oxide etch as compared to both
160
LPCVD polysilicon and stoichiometric silicon nitride (Si3N4) are 1000:1 and 83:1
respectively [147]. The large etch selectivity ratios of polysilicon and silicon nitride
allows their use as effective etch-stop films in aqueous HF.
7.3.1 Substrate Anchor Trench Refill Etch Stop Process Example
The process example begins with a 1.5kA thick oxide deposition onto a 10μm thick
SOI film with a 0.5μm thick BOX and 525μm thick silicon substrate handle wafer. An
example of mechanical anchor etch stops is described for a 10μm thick SOI film with
0.5μm BOX using the trench refill process flow as shown in Figure 7.9.
Step 1Mask1, Anchora) Plasma-Therm (1.5kA Oxide Etch)b) STS Deep RIE (10μm Si Etch)c) Plasma-Therm (0.5μm Oxide Etch)
Step 2Deposition:LPCVD Nitride (1.5kA)
Step 4Deposition:LPCVD Polysilicon (2um)
Step 6HF Sacrificial Oxide Etch
Step 3Mask2, Windowa) Plasma-Therm (1.5kA nitride etch)b) Plasma-Therm (1.5kA oxide etch)
SOI
Step 5Mask 3, OutlineSTS Deep RIE (12μm Si Etch)
(BOX=0.5μm)Si Substrate
PR
1.5kA Oxide
Photoresist (PR)
PR
PR
Nitride/poly protected oxide anchor
Step 1Mask1, Anchora) Plasma-Therm (1.5kA Oxide Etch)b) STS Deep RIE (10μm Si Etch)c) Plasma-Therm (0.5μm Oxide Etch)
Step 2Deposition:LPCVD Nitride (1.5kA)
Step 4Deposition:LPCVD Polysilicon (2um)
Step 6HF Sacrificial Oxide Etch
Step 3Mask2, Windowa) Plasma-Therm (1.5kA nitride etch)b) Plasma-Therm (1.5kA oxide etch)
SOI
Step 5Mask 3, OutlineSTS Deep RIE (12μm Si Etch)
(BOX=0.5μm)Si Substrate
PR
1.5kA Oxide
Photoresist (PR)
PR
PR
Nitride/poly protected oxide anchor
Figure 7.9 SOI anchor perimeter etch-stop process flow.
The nitride/polysilicon trench refill films form an etch-stop perimeter which protects
the encapsulated oxide anchor from HF exposure during the sacrificial oxide etch.
Variation in BOX anchor cross section due to timed etch in aqueous HF are virtually
eliminated using trench refill etch stop perimeter.
161
The nitride coated trench surfaces act as an electrical insulator providing isolation
between the SOI and silicon handle wafer substrate and as a diffusion barrier during
LPCVD polysilicon deposition and subsequent annealing steps. An example of an
angular accelerometer with anchors fabricated using this process is shown in Figure 7.10.
polysilicon anchor cap
10μm SOI w/0.5 μm BOX
Silicon handle wafer substrate
Anchors
Trench Refill Perimeter
Trench sidewall nitride/
FixedElectrode
polysilicon anchor cap
10μm SOI w/0.5 μm BOX
Silicon handle wafer substrate
Anchors
Trench Refill Perimeter
Trench sidewall nitride/
FixedElectrode
Figure 7.10 SOI anchor trench refill perimeter etch-stop example.
The polysilicon film needs to cover a slightly larger area than the trench window defined
by mask 2 as described in Figure 7.11. Modification of mask 2 and addition of a poly
trench window mask could be used to remove polysilicon over all sensor areas less the
trenched anchor perimeters using the underlying oxide as an effective silicon etch stop.
7.3.2 Substrate Contact Trench Refill Process Example
A substrate contact is formed using the polysilicon trench refill film. Subsequent
thermal annealing is used to form an electrical contact to the substrate via diffusion from
162
the highly doped SOI to intrinsic trench refilled polysilicon. The process flow for auto-
doped LPCVD polysilicon film is shown in Figure 7.9
Step 1Mask1, Contacta) Plasma-Therm (1.5kA Oxide Etch)b) STS Deep RIE (10μm Si Etch)c) Plasma-Therm (0.5μm Oxide Etch)
Step 2Deposition:LPCVD Polysilicon (2μm)
Step 4Mask 2: MetalBond pad metal deposition and lift-off
Step 6HF Sacrificial Oxide Etch
Step 3Blanket Etcha) Plasma-Therm (2μm poly etch)b) Plasma-Therm (1.5kA oxide etch)c) Anneal > 950C for 30minutes to auto-dope intrinsic polysilicon
Step 5Mask 3, OutlineSTS Deep RIE (12μm Si Etch)
(BOX=0.5μm)
Polysiliconelectrical short to substrate
SOI
Photoresist (PR)Bond Pad Metal
HeavilyDoped
Si Substrate
PR
Step 1Mask1, Contacta) Plasma-Therm (1.5kA Oxide Etch)b) STS Deep RIE (10μm Si Etch)c) Plasma-Therm (0.5μm Oxide Etch)
Step 2Deposition:LPCVD Polysilicon (2μm)
Step 4Mask 2: MetalBond pad metal deposition and lift-off
Step 6HF Sacrificial Oxide Etch
Step 3Blanket Etcha) Plasma-Therm (2μm poly etch)b) Plasma-Therm (1.5kA oxide etch)c) Anneal > 950C for 30minutes to auto-dope intrinsic polysilicon
Step 5Mask 3, OutlineSTS Deep RIE (12μm Si Etch)
(BOX=0.5μm)
Polysiliconelectrical short to substrate
SOI
Photoresist (PR)Bond Pad Metal
HeavilyDoped
Si Substrate
PR
Figure 7.11 Polysilicon trench refill substrate electrical contact process flow.
A cross section of a cleaved polysilicon trench refill substrate contact is shown in
Figure 7.12.
Polysilicon
SOI SOI
Silicon Substrate Silicon Substrate
PolysiliconTrench Refill
KeyholeTrenchRefill
Post HF BOX Etch
Polysilicon
SOI SOI
Silicon Substrate Silicon Substrate
PolysiliconTrench Refill
KeyholeTrenchRefill
Post HF BOX Etch
Figure 7.12 Polysilicon trench refill substrate electrical contact cleaved cross section.
163
The polysilicon trench refill substrate contact was evaluated by measuring electrical
resistance between two contacts separated by approximately 330μm on the substrate
surface. Bond pads were interconnected to the substrate contacts using SOI interconnect
beams as shown in Figure 7.13.
Sub2Sub1 contactcontactSub2Sub1 contactcontact
Figure 7.13 Substrate contact polysilicon trench refill bond pad interconnection.
Electrical resistance was measured using an HP 34401 digital multimeter with probe
needles connected to the subtsrate contact bond pads as 1.343kΩ. The electrical
resistances of SOI, polysilicon contacts, and silicon substrate were modeled using Eq. 7.2
through Eq. 7.4 respectively where ρ is the material resistivity as described in Figure
7.14. The measured electrical resistance RTotal represents the sum of SOI, polysilicon
contacts, and silicon substrate resistances as described by Eq. 7.5.
BB
BSOISOI TW
LR ρ= (7.2)
PP
PPolyPoly TW
LR ρ= (7.3)
164
SS
SSubSub TW
LR ρ= (7.4)
PolySOISubTotal RRRR 22 ++= (7.5)
330μm
SOILB = 210μm, WB = 6μm, TB = 20μm
BondPad Sub1
BondPad Sub2
Silicon SubstrateTS = 525μm
TopView
SideView Polysilicon
contacts to substrate
TP = 2μm
RSOI
RPoly RSub
RSOI
RPoly
RTotal=RSub+ 2RSOI+ 2RPoly
SubstrateLS = 330μm, WS = 8μm, TS = 525μm
PolysiliconLP = 2μm, WP = 8μm, TP = 2μm
ρSOI =0.008Ω-cm
ρSub=0.01Ω-cm
WP = 8μm
WB = 6μm
TB = 20μm
XX
XXX TW
LR ρ=
330μm
SOILB = 210μm, WB = 6μm, TB = 20μm
BondPad Sub1
BondPad Sub2
Silicon SubstrateTS = 525μm
TopView
SideView Polysilicon
contacts to substrate
TP = 2μm
RSOI
RPoly RSub
RSOI
RPoly
RTotal=RSub+ 2RSOI+ 2RPoly
SubstrateLS = 330μm, WS = 8μm, TS = 525μm
PolysiliconLP = 2μm, WP = 8μm, TP = 2μm
ρSOI =0.008Ω-cm
ρSub=0.01Ω-cm
WP = 8μm
WB = 6μm
TB = 20μm
XX
XXX TW
LR ρ=
Figure 7.14 Substrate contact cross section and electrical schematic.
The post anneal polysilicon resistivity ρPoly was calculated as 0.42Ω-cm by combining
Eq. 7.2-7.4 into Eq. 7.5 as given by Eq. 7.6. The polysilicon contact resistance was
calculated as 528Ω per contact using Eq. 5.3. The post anneal polysilicon contact
165
phosphorous dopant density was graphically estimated [167] at 1.2*1016cm-3 as shown in
Figure 7.15.
( )SOISubTotalP
PPPoly RRR
LTW 2
2+−=ρ (7.6)
Figure 7.15 Silicon dopant density (cm-3) Vs resistivity (Ω-cm).
The integrated process flow steps used to combine the trench refill etch stop and
polysilicon substrate contact features is described in Appendix F.
166
7.4 SOI Process Flow Summary
A short SOI sensor fabrication process flow was demonstrated in SOI using only 2
photolithography mask steps to develop rapid prototypes. An integrated SOI sensor
fabrication flow providing a trench refill etch stop and electrical substrate contact was
demonstrated using only 4 photolithography mask steps.
The trench refill etch-stop significantly reduces anchor cross section variation and
reduces the sacrificial etch dependence on both time and aqueous HF concentration. In
addition, the etch-stop trench refill encapsulated BOX island provides a robust
mechanical anchor structure. Although not demonstrated, the nitride/polysilicon trench
refill process can be used to form a robust composite beam attaching the silicon substrate
to the SOI layer independent of BOX encapsulation. While the maximum trench width
is typically on the order of 2-3μm, multiple nitride/polysilicon composite beams can be
placed in proximity to form aggregate anchor arrays.
Parasitic capacitance existing between the sensor seismic mass and substrate nodes
degrades the CMOS control chip output voltage sensitivity as previously described in
Chapter 6. The polysilicon electrical substrate contact allows for the substrate voltage to
be externally controlled. Control of the substrate voltage is critical to reducing parasitic
capacitance present between the conductive sensor seismic mass and substrate nodes.
Maintaining the substrate and sensor seismic mass nodes at a near zero differential
voltage significantly reduced the parasitic capacitance observed across these critical
nodes.
The polysilicon electrical substrate contact was autodoped by surrounding SOI
material with an initial dopant concentration of 6*1018cm-3 using an anneal temperature
of 950C maintained for 30 minutes. Post anneal resistance measurements of the
polysilicon substrate contacts yielded an estimated autodoped phosphorous concentration
167
of 1.2*1016cm-3. Electrical resistance measurements were used to calculate a polysilicon
substrate contact resistance of 528Ω. Methods which could lower the post anneal
polysilicon contact resistance include higher initial SOI dopant concentrations, higher
anneal temperature, and longer anneal duration.
168
CHAPTER 8
SUMMARY AND FUTURE WORK
The main objective of this research work was the design, fabrication, and
characterization of angular rate and angular acceleration sensors combined with front end
signal conditioning electronics.
In summary, the main contributions of this research are:
1) Designed, fabricated, and characterized a decoupled mode surface micromachined
angular rate sensor utilizing a fully differential capacitance array which rejects
cross axis linear acceleration.
2) Designed a radial proof mass central hub structural framework which significantly
increased angular rate and acceleration sensor inner ring rigidity. In addition, the
central hub is the seismic mass center of rotation where multiple folded beam
springs are attached. Previously demonstrated designs require springs be attached
directly to the inner or outer radius of the seismic mass. The central hub design
utilizes mechanical lever action to increase sensor angular sensitivity by affording
spring to proof mass spring attach points well within 50μm of the center of
rotation.
3) Developed theoretical models for radial folded beam spring distributions and
compared prediction values to finite element analysis simulation and empirical
results.
4) Designed, fabricated, and tested radial angular acceleration sensors which utilized
the developed theoretical models to minimize the mechanical spring constant
directed about the z-axis while maximizing the spring constant directed along the
z-axis.
169
5) Developed multiple surface micromachined process and device enhancements
including seismic mass over travel stops and anti-stiction beam tip support posts.
6) Designed, fabricated, and characterized a CMOS switched capacitor front end
used to signal condition angular rate and acceleration sensor differential
capacitance outputs. Charge redistribution was used to represent the sensor
differential capacitance output nodes sampled at discrete time intervals in parallel.
7) Developed an SOI substrate electrical contact and demonstrated its successful use
in SOI MEMS fabrication. The substrate contact was comprised of a deep
reactive ion etched trench subsequenly filled with LPCVD intrinsic polysilicon.
The polysilison was auto-doped using the adjacent low resistivity SOI as a dopant
source via a thermal anneal step.
8) Developed an SOI anchor etch-stop perimeter using a nitride/polysilicon
composite and demonstrated its successful use in SOI MEMS fabrication. This
significantly reduced BOX anchor sacrificial etch dependence on both time and
aqueous HF concentration.
Although the work outlined in this thesis has provided solutions to several of the
technical problems and challenges pertaining to angular rate and angular acceleration
sensing systems, there are a number of areas and topics which require further research.
These areas and topics include:
1) Fully inner connected hub attached springs, as shown in Figures 8.1 and 8.2, can
be used to realize even more sensitive angular acceleration sensors regarding
spring constant about the z-axis without a reduction in the spring constant directed
along the z-axis. Although this design is more susceptible to out of x-y plane
sensor seismic mass tilt, how much this will adversely affect device performance
should be predicted, simulated and characterized..
170
Figure 8.1 Fully inner hub connected folded beam spring suspension.
Figure 8.2 Fully inner hub connected folded beam spring suspension detail.
171
2) Larger proof mass radii can be used to increase the angular acceleration sensor
moment of inertia. Defining the upper end limits of radial seismic mass size in an
SOI based process technology should be addressed and incorporated into set of
basic angular acceleration sensor design rules. In addition, more folds per beam
spring can be explored to determine the practical scaling limits inherent to this
design. A single design example with a 1200μm radius and two extra folds per
beam spring fabricated in 20mm thick SOI is shown in figures 8.3 and 8.4
respectively.
Figure 8.3 1200μm radius angular accelerometer with extra beam spring folds.
172
Figure 8.3 1200μm radius angular accelerometer extra beam spring fold detail.
3) Future angular rate sensor development will almost certainly realize the large
mass and high quality factor values possible in SOI based sensor process flows.
4) Hermetic packaging of angular rate sensors with emphasis on robust low pressure
sealing projected to survive in an automotive environment for a minimum 10yrs is
required. This result should verified via an Arrhenius relationship based
accelerated test methodology.
173
APPENDIX A
ELECTROSTATIC LATCH and RELEASE of MEMS CANTILEVER BEAMS
Two closed form algebraic models describing electrostatic latch and release of micro
cantilever beams are presented. The 1st model is based on beam theory with a fixed
moment at the boundary to represent the electrostatic force and it predicts that
electrostatic pull-in occurs at a beam tip displacement of 46% the initial actuator gap.
The 2nd model uses a rigid beam pinned at the anchor with a spring equivalent to the
beam’s mechanical restoring force attached to the tip and describes electrostatic pull-in
occurring at a beam tip deflection of 44% the initial actuator gap. Pull-in voltage
measurements of polysilicon cantilever beam arrays (6μm wide, 2μm thick, 160 μm long)
correlate to both the 1st and 2nd presented models with errors of 8.2% (σ=1.3%), and
4.9% (σ=1.4%), respectively. The 1st and 2nd models were observed to improve pull-in
voltage prediction by at least 10.3% and 13.7% respectively when compared to
previously presented models without the use of empirical correction factors.
A.1 MEMS Cantilever Beam Background Material
Numerous MEMS applications incorporate both cantilever and doubly clamped
beams as an integral part of their design. A brief application list includes resonators
[128, 157, 168, 169], vapor/pressure sensors [155, 170, 171], accelerometers [1, 2], high-
Q electronic filters [172, 173], and micro relay switches [174-176]. Surface
micromachined polysilicon cantilever beams suspended above an isolated electrode are
common throughout the majority of applications listed above and are addressed in this
paper specifically, as shown in Figure A.1.
174
(Latched)+ -
Vpull-in
Electrode
Cantilever BeamL
Z0z
xy
T
(Released) (Latched)+ -
Vpull-in
Electrode
Cantilever BeamL
Z0z
xy
z
xy
T
(Released)
Figure A.1 Cantilever beam model using electrostatic displacement in ANSYS.
The maximum static differential voltage sustainable between the beam and
underlying electrode, prior to beam tip/electrode contact, is defined as the pull-in voltage.
Applied voltages greater than the pull-in magnitude cause instability between the
electrostatic actuation and mechanical restoring forces resulting in beam tip/electrode
latching [168, 169, 171, 174-176]. The static deflection of a cantilever beam excited
dynamically at resonance is magnified by the quality factor [94] (Q) magnitude. In either
case, the system designer is required to accurately predict the static pull-in voltage
magnitude prior to device fabrication.
The pull-in voltage for a cantilever beam previously modeled as a parallel plate
actuator [168] is represented by the peak value of Eq. A.1 swept over the range 0<z<Z0.
The peak value occurs at z=2Z0/3 corresponding to a beam tip displacement of 33% the
initial dielectric gap (Z0). The discrete pull-in voltage VPI [1] was calculated using Eq.
A.1 with z=2Z0/3 as given by Eq. A.2.
LWzZzKzV Z
AB0
02 )(2)(
ε−
= (A.1)
LWZK
V ZPI
0
30
278
ε= (A.2)
175
Cantilever beam capacitance has been previously described using beam theory [169]
with the electrostatic force directed at the beam tip along the z axis by a third order
function of x, as given by Eq. (3), where ε0 is the permittivity of free space.
dx
EIxLxzZKZ
WzCZ
BE ∫ −−−
=L
0 320
0
0
6)3)((
)( ε (A.3)
The voltage satisfying static equilibrium was calculated by equilibrating mechanical
restoring force and applied electrostatic force using Eq. A.4. The pull-in voltage
represents the peak value of Eq. A.4 swept over the range {0<z<Z0}. The peak value of
Eq. A.4 occurs at z≅0.55Z0 corresponding to a beam tip displacement of 45% the initial
dielectric gap Z0. The previously reported pull-in voltage Vth [169] was approximated
using Eq. A.5.
dzzdCzZKzV
BE
ZBE )(
)(2)( 0
−
−= (A.4)
WLEIZ
Vth 40
30
518ε
= (A.5)
Empirical pull-in voltage results were significantly smaller than modeled by Eq. A.5
resulting in calculated %Error in excess of 20%. Empirical pull-in voltage results were
significantly larger than modeled by Eq. A.2 resulting in a calculated %Error in excess of
28%. Based on the significant %Error observed for both the parallel plate [168] and
beam theory [169] models (reference Table 1), a more accurate theoretical model is
desired which does not incorporate empirical correction factors [175]. Computer based
electromechanical simulation tools have previously demonstrated more accurate pull-in
176
voltage prediction values, as compared to theoretical models, by skewing the appropriate
stiffness and residual stress model coefficients by empirical correction factors [176].
However, this requires the electromechanical simulator to reside in the design feedback
loop requiring multiple simulation and layout change iterations to converge at the initial
design target pull-in voltage magnitude. The work described in this appendix potentially
reduces the number of design iterations required to optimize MEMS cantilever beam
based devices by providing a more accurate initial pull-in voltage prediction magnitude
prior to verification via computer simulation. Also, the designer is afforded valuable
insight regarding system operation via the presented closed form models.
A.2 Beam Theory Model with Bending Moment Applied at Tip
The 1st model proposes use of a bending moment applied at the cantilever tip to
represent the electrostatic force distributed across the beam as shown in Figure A.2.
L
r0
z0Electrode
Cantilever BeamM1
Figure A.2 Cantilever beam w/moment applied at free end.
Unlike the previous model that used a vertical force applied at the tip to approximate
the distributed electrostatic force [169], the bending moment assumption is proposed to
provide a better emulation of electrostatic force.
Assuming constant flexural rigidity given by the product of the Young’s modulus (E)
and the moment of inertia (I), the equation defining the beam moment [177] is given by
Eq. A.6. The boundary conditions regarding beam deflection are ν = 0, and θ = 0, at the
177
cantilever beam anchor base where x = 0. The displacement of the beam was solved via
successive integration of Eq. A.6 as given by Eq. A.7 where both constants of integration
were observed to be zero based on the boundary conditions listed above.
2
2
1dx
vdEIM −= (A.6)
EIxM
xv2
)(2
1= (A.7)
The moment applied at the beam tip is given by Eq. A.8, with the force applied at the
beam tip is given by Eq. A.9.
LzFM M )(1 = (A.8)
)()( 0 zZKzF MM −−= (A.9)
The capacitance between the beam and electrode was calculated using Eq. A.7
through Eq. A.9, as given by Eq. A.10. The capacitance as a function of beam tip
deflection and its derivative with respect to beam tip deflection are given by Eq. A.11,
and Eq. A.12 respectively.
∫ −−
=Lr
2)(
)(0
20
dx
EILxzZKZ
WzCM
o
oCE
ε (A.10)
⎥⎥⎦
⎤
⎢⎢⎣
⎡
−−
−= −
)()(tan
)()(
00
01
00
0
ZzZZz
ZzZLWzCCE
ε (A.11)
178
))(2)()(tan
))((2
)(
0
0
00
01
23
00
00
ZzzLW
ZzZZz
ZzZ
LWZdZ
zdCCE
−+
⎥⎥⎦
⎤
⎢⎢⎣
⎡
−
−
−
−= − εε (A.12)
Equating the electrostatic and mechanical restoring force while solving for voltage is
given by Eq. A.13.
dZzdC
zZKzV
CE
MCE )(
)(2)( 0
−
−= (A.13)
The spring constant is defined by Eq. A.14, calculated using Eq. A.7 through Eq.
A.9, as given Eq. A.15.
)()(Lxv
zFK MM =
= (A.14)
3
3
6LEWTKM = (A.15)
The pull-in voltage (VCPI) represents the peak value of Eq. A.13 swept over the range
{0<z<Z0.} The pull-in voltage (VCPI) was approximated using z=Z0(1-0.46) substituted
into Eq. A.13 and Eq. A.15, normalized via Eq. A.2, as given by Eq. A.16.
WLEIZ
VCPI 40
30
518
5043
ε= (A.16)
A.3 Pinned Rigid Beam with Spring Applied at Tip
The 2nd model describes the electrostatic deflection of a polysilicon cantilever beam
using the derivative of the system capacitance function. The proposed model is
comprised of a hinge pin located at the cantilever beam anchor with the free end
179
suspended via a mechanical spring equivalent to the beam’s mechanical restoring force as
shown in Figure A.3.
KZ
θr1r1
r0r0
Simple HingeCtr of Rotation
Figure A.3 Cantilever beam deflection model parameters.
The cantilever beam capacitance is defined by Eq. A.17 and given by Eq. A.18 where
L’Hopitals rule is used as the beam tip displacement angle (θ) approaches zero [178].
∫ −=
1
0
rr
)( drrZ
WC
o
o
θε
θ (A.17)
⎟⎟⎠
⎞⎜⎜⎝
⎛−−
=10
000 ln)(rZrZW
Cθθ
θε
θ (A.18)
The potential energy of the capacitor and spring as a function of angular displacement
are given by Eq. A.19 and Eq. A.20 respectively.
2)(
21)( θθθ VCU cap = (A.19)
2
1)(21)( rKU Zspring θθ = (A.20)
The electrostatic and mechanical restoring torques are given by Eq. A.21 and Eq.
A.22 respectively.
180
2)(
21)(
θθθ
θθ
Vd
dCd
dUcap = (A.21)
)()( 2
1rKd
dUZ
spring θθ
θ= (A.22)
The rate of capacitance change with respect to angular displacement is given by Eq.
A.23. The beam spring constant applied at the rigid beam tip is given by Eq. A.24.
⎥⎥⎦
⎤
⎢⎢⎣
⎡⎟⎟⎠
⎞⎜⎜⎝
⎛−−
−−−
−−−=
)()(
ln1))((
)()()(
10
00
1000
1000010
rZrZ
rZrZrZrrZrW
ddC
θθ
θθθθθ
θε
θθ (A.23)
3
3
4LEWTK z = (A.24)
Finally, Vθ is modeled using Eq. A.21 and Eq. A.22 as a sum moments satisfying
static equilibrium as given by Eq, A.25. The beam voltage as a function of rotation angle
combines Eq. A.23 through Eq. A.25 as given by Eq. A.26.
θθ
θθθ
ddC
rKV Z)(
2)(2
1= (A.25)
⎥⎥⎦
⎤
⎢⎢⎣
⎡⎟⎟⎠
⎞⎜⎜⎝
⎛−−
−−−
−−−=
)()(ln1
))(()()(2
)(
10
00
1000
10000110
32
rZrZ
rZrZrZrrZrr
TEV
θθ
θθθθθε
θθθ (A.26)
The cantilever beam tip to electrode theoretical contact angle upon pull-in is given by
Eq. A.27. The voltage described by Eq. A.26 can be converted from beam deflection
angle to beam tip displacement as a function of z as given by Eq. A.28.
181
1
0max r
Z=θ (A.27)
1
0
rzZ −
=θ (A.28)
Applied voltage versus normalized cantilever beam tip displacement for the 1st and
2nd models are compared to the previous parallel plate [168] and beam theory models
[169] as shown in Figure A.4.
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 10
5
10
15
20
25
0
0
ZzZ −
V PIV
CPIV
thV
BPIV
Parallel Plate Model
Beam Theory
Model
Proposed 1st and 2nd
Models
Figure A.4 Applied voltage Vs normalized beam tip displacement.
The peak shown in Figure A.4 represents the maximum voltage possible for a steady
state electrostatic solution. Solving Eq. A.26 with θ=(11Z0)/(25r1) yields the pull-in
voltage (VBPI) given by Eq. A.29.
⎥⎥⎥⎥
⎦
⎤
⎢⎢⎢⎢
⎣
⎡
⎟⎟⎠
⎞⎜⎜⎝
⎛ −−
−
−
=
1
01
01
010
30
3
21
141125
ln14
2511
)(1125
7725
11
rrr
rr
rr
ZETr
VBPI
ε
(A.29)
182
A.4 Hybrid Cantilever Beam Model
A combination of the 1st and 2nd models was performed to reduce the capacitance
function from second order to first order in x while maintaining the ability to model
capacitance due to curvature under beam deflection. The hybrid model splits the beam
into n discrete line segments and is solved for capacitance over n discrete line segment
intervals
An example of the hybrid model with three segments (n=3) is provided via line
equations, given by Eq. A.30 through Eq. A.32, where z represents the dielectric gap
between the beam tip and electrode and x represents the beam length from the anchor to
beam tip.
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛−−=
10 3
532),(
rxzZzxYtip ; { 1
1
32
rxr
≤< } (A.30)
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛−−=
10 9
2),(rxzZzxYmid ; {
32
311 r
xr
≤< } (A.31)
( ) ⎟⎟⎠
⎞⎜⎜⎝
⎛ −−=
10 3
),(rxzZzxYanc ; {
30 1rx ≤< } (A.32)
The 1st, 2nd, and hybrid models’ beam curvature versus beam length are plotted in
Figure A.5 for a normalized Z-axis beam tip displacement of Z0 corresponding to the post
pull-in (z=0) latched beam condition.
183
0 0.33 0.67 11
0.90.80.70.60.50.40.30.20.1
00
10 0.33 0.67 1
10.90.80.70.60.50.40.30.20.1
00
1
AnchorSegment
MiddleSegment
Beam TipSegment
HybridModel
1st Model
2nd Model
1rx
0
0
ZzZ −
2nd
1st
0 0.33 0.67 11
0.90.80.70.60.50.40.30.20.1
00
10 0.33 0.67 1
10.90.80.70.60.50.40.30.20.1
00
1
AnchorSegment
MiddleSegment
Beam TipSegment
HybridModel
1st Model
2nd Model
1rx
0
0
ZzZ −
2nd
1st
Figure A.5 Normalized beam deflection Vs beam length.
The capacitance of each region is defined by the summation of electric field lines
between the line segment and underlying electrode as given by Eq. A.33 through Eq.
A.35.
( )dx
rxzZZ
WzC
rrtip ∫
⎟⎟⎠
⎞⎜⎜⎝
⎛−−+
=1
1
32
100
0
35
32
)(ε (A.33)
( )dx
rxzZZ
WzC
r
rmid ∫⎟⎟⎠
⎞⎜⎜⎝
⎛−−+
= 32
3
100
01
1
92
)(ε (A.34)
( )dx
rxzZZ
WzC
r
ranc ∫
⎟⎟⎠
⎞⎜⎜⎝
⎛ −−+
= 3
100
01
0
3
)(ε (A.35)
The integrations shown above were performed as given by Eq. A.36 through Eq.
A.38. The total system capacitance is represented by the summation of beam segment
capacitances as given by Eq. A.39.
184
⎟⎟⎠
⎞⎜⎜⎝
⎛++−
=zZ
zzZ
WrzCtip 45
9ln)(5
3)(
00
10ε (A.36)
⎟⎟⎠
⎞⎜⎜⎝
⎛+
++−
=zZzZ
zZWr
zCmid0
0
0
10
845
ln)(
)(ε (A.37)
⎟⎟⎠
⎞⎜⎜⎝
⎛+
+−+−
−=
)8()(3
ln)(
3)(
01
00010
0
10
zZrzrrZrZ
zZWr
zCancε (A.38)
)()()()( zCzCzCzC ancmidtiphybrid ++= (A.39)
The hybrid model approach accounts for beam curvature with a first order system
capacitance function. The 1st, 2nd, and hybrid models’ capacitance values are plotted
versus Z-axis beam tip displacement swept over the range {0 ≤ z ≤Z0}, as shown in
Figure A.6. The case where n=1 represents the 2nd model capacitance function while as
n increases the hybrid model converges to the 1st model capacitance function for a Z-axis
beam tip displacement swept over the range {0 ≤ z ≤Z0}. The hybrid model example
(n=3) demonstrates that a good approximation of the 2nd model capacitance
encompassing beam curvature can be obtained using a relatively small number of discrete
line segments as shown in Figure A.6. The hybrid model also describes the regional
capacitance distribution along the beam as a function of beam deflection along the x-axis.
The capacitance was calculated for the tip, middle, and anchor regions, at the point of
theoretical electrostatic pull-in, with increases of 51.6%, 15.0%, and 1.0% respectively
when referenced to their initial magnitudes of C0/3. The hybrid model distributes the
total electrostatic force along the beam with 76.3% over the tip region, 22.2% over the
middle region, and 1.5% over the anchor region upon pull-in.
185
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 10
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
1.8
2
0
)(C
zC
0
0
ZzZ −
HybridModel
1st Model
2nd Model
)(zCtip
)(zCmid
)(zCancElectrostaticPull-in Point
2nd
1st
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 10
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
1.8
2
0
)(C
zC
0
0
ZzZ −
HybridModel
1st Model
2nd Model
)(zCtip
)(zCmid
)(zCancElectrostaticPull-in Point
2nd
1st1st
Figure A.6 Normalized capacitance Vs beam tip displacement
A.5 Capacitance-Voltage (C-V) Plot Beam Measurement Description
Capacitance-Voltage measurements [152] were performed using an HP-4284A LCR
Meter controlled via LabView software as shown in Figure A.7. Electrostatic actuation
was accomplished by independently stepping the bias voltage between the cantilever
beam and underlying electrode via discrete 50mV increments. A time delay loop was
incorporated into the C-V plot measurements such that beam motion dampened to steady
state between successive capacitance measurements.
186
Figure A.7 Cantilever beam array electrostatic latch and release C-V plot.
A typical surface micromachined polysilicon cantilever beam array with an
underlying polysilicon electrode is shown in Figure A.8.
Figure A.8 Polysilicon cantilever beam array with underlying polysilicon electrode.
A.6 Comparison of Theoretical Models and Empirical Data
The beam arrays observed per this experiment were 160 microns long and 2 microns
thick. Beam widths were varied at 2, 4, and 6 microns. The initial dielectric gap was
fixed at 2 microns for all beam arrays. The beam displacement predicted by the voltage
187
models are valid from (0,0) to the parabolic maxima represented by pull-in voltage as
shown in Figure A.4. Voltage values greater than pull-in do not satisfy static
equilibrium. Cantilever beam electrostatic pull-in models are compared to empirical
results in Table A.1.
Table A.1 Cantilever beam model prediction comparison to empirical data. Beam L = 160u Empirical Eq(13) Eq(11) Eq(24) Eq(40) Eq(13) Eq(11) Eq(24) Eq(40)Array T = 2u Pull-in Vpi Vth Vcpi Vbpi Vpi Vth Vcpi VbpiID # Width [u] [V] [V] [V] [V] [V] % Error % Error % Error % Error
1 2 14.20 11.07 22.28 19.18 18.50 -28.3 36.3 26.0 23.22 2 14.35 11.07 22.28 19.18 18.50 -29.6 35.6 25.2 22.43 2 14.15 11.07 22.28 19.18 18.50 -27.8 36.5 26.2 23.54 4 15.80 11.07 22.28 19.18 18.50 -42.7 29.1 17.6 14.65 4 16.30 11.07 22.28 19.18 18.50 -47.2 26.8 15.0 11.96 4 15.90 11.07 22.28 19.18 18.50 -43.6 28.6 17.1 14.17 6 17.85 11.07 22.28 19.18 18.50 -61.2 19.9 6.9 3.58 6 17.35 11.07 22.28 19.18 18.50 -56.7 22.1 9.5 6.29 6 17.60 11.07 22.28 19.18 18.50 -59.0 21.0 8.2 4.9
The empirical result referenced %Error metric, as shown in Table A.1, was used to
gage model performance versus cantilever beam width as shown in Figure A.9.
-80.0
-60.0
-40.0
-20.0
0.0
20.0
40.0
60.0
0 2 4 6 8
VBPI [Eq. (29)]
Beam Width [μm]
Pull-inModel%Error
VCPI [Eq. (16)]
Vth [Eq. (5)]
VPI [Eq. (2)]
Figure A.9 Pull-in voltage model prediction %Error Vs beam width
188
The observed non-zero slope in pull-in voltage versus beam width is not accounted
for by any of the pull-in voltage models described in this paper. Fringing electric fields
may result in electrostatic levitation [143] of the suspended cantilever beams accounting
for the poor fit over beam width as fringing was neglected in the pull-in voltage models.
The theoretical cantilever beam capacitance area is given by width W times length L. The
ratio of fringing electric field to theoretical cantilever beam capacitance area decreases as
the beam width is increased for a fixed beam thickness and length. Therefore, the
empirical pull-in voltage is expected to converge as beam width is increased. Based on
the %Error metric, both proposed electromechanical models (reference Eq. A.16 and Eq.
A.29) yielded more accurate results than either the previously described parallel plate or
beam theory models.
A final comparison of relative pull-in voltage magnitude is presented by reducing
model input variables to common terms. Our 1st pull-in model as a function of the
Young’s modulus and beam dimensions by substituting Eq. A.40 into Eq. A.16 as given
by Eq. A.41.
12
3WTI = (A.40)
40
30
3
103
5043
LZET
VCPIε
= (A.41)
Similarly, substituting Eq. A.24 into Eq. A.2, and Eq. A.40 into Eq. A.5, yields the
previously presented parallel plate [168] and beam theory [169] models as given by Eq.
A.42 and Eq. A.43 respectively.
189
40
30
3
103
952
LZET
VPIε
= (A.42)
40
30
3
103
LZET
Vthε
= (A.43)
The maximum stable beam tip deflection prior to electrostatic pull-in was measured
optically on two devices as 0.45Z0 and 0.46Z0 using a Zygo confocal microscope. The
2micron wide beam arrays did not self-release upon removal of differential voltage post
electrostatic pull-in. The beams permanently latched to the substrate are attributed to
stiction [125] as shown in Figure A.10.
Figure A.10 Post electrostatic actuation permanent beam latching (stiction).
A.7 Finite Element Analysis (FEA) Computer Simulation
Computer simulation was performed via ANSYS software, version 5.6, using a
sequential electrostatic-structural coupled field approach. The beam length and thickness
were scaled to 160microns and 2microns respectively, with a Young’s Modulus of
150GPa as used in the previous theoretical calculations. The beam displacement ANSYS
190
simulation [179] yielded a pull-in voltage of 22.3V, as shown normalized in Figure A.11,
using a 2D plane strain electrostatic element.
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.50
0.2
0.4
0.6
0.8
1
0
0
ZzZ −
PIV
CPIV
thV
Parallel Plate Model
Proposed 1st
Model
ANSYSV
ANSYS SimulatedModel
thVV
Figure A.11 Electrostatic latch model prediction compared to 2D ANSYS FEA.
Subsequent ANSYS 2D plane stress and 3D element simulations predicted the
average empirical pull-in voltages for the 6micron wide beams with %Errors of 5.1% and
0.6% respectively, as shown in Table A.2.
Table A.2 ANSYS ANSYS Simulated Empirical Eq(13) Eq(11) Eq(24) Eq(40)Element Element Pull-in Pull-in Vpi Vth Vcpi Vbpi
Dimension Type [V] [Avg. V] [V] [V] [V] [V]2D PLANE82 with Plane Strain 22.3 17.6 11.07 22.28 19.18 18.502D PLANE82 with Plane Stress 18.5 17.6 11.07 22.28 19.18 18.503D SOLID122, w=6um 17.5 17.6 11.07 22.28 19.18 18.50
Note that the ANSYS 2D plane stress and strain simulations yield similar pull-in
results as predicted by Eq. A.41 and Eq. A.43 respectively. However, the ANSYS 3D
191
simulations exhibit less error when compared to both empirical data and the models
derived in this Appendix described by Eq. A.16 (VCPI) and A.29 (VBPI) as shown in Table
A.2.
Both empirical and FEA simulation results support the conclusion that the derived
theoretical models (VCPI and VBPI) are more accurate regarding cantilever pull-in
prediction than those previously presented [168, 169] without the use of empirical
correction factors [175, 176].
A.8 Cantilever Beam Electrostatic Latch Model Summary/Conclusion
Two new closed form algebraic models describing MEMS cantilever beam
electrostatic actuation and pull-in have been independently derived and presented. The
1st model employed beam theory to describe the system electrical capacitance. Pull-in
displacement of the beam tip was calculated at 46% of the original dielectric gap using
the 1st model’s capacitance function. This model accounts for beam deflection
applicable to cantilever beam and micro-relay electromechanical systems where the
underlying electrode fully extends to the cantilever beam tip. The proposed beam theory
model %Error was compared to empirical pull-in voltage measurements for the 2, 4, and
6 micron wide beams as 25.8% (σ=0.5%), 16.6% (σ=1.4%), and 8.2% (σ=1.3%),
respectively. The 2nd model employs a more accurate capacitance function near the
beam tip accounting for orthogonal electric field termination on both the deflected beam
and underlying electrode conductive surfaces. The proposed 2nd model %Error was
compared to empirical pull-in voltage measurements for the 2, 4, and 6 micron wide
beams as 23.1% (σ=0.6%), 13.5% (σ=1.4%), and 4.9% (σ=1.4%), respectively. Both
proposed models consistently predicted electrostatic pull-in voltage results with less
%Error than previously reported theoretical models without the use of empirical
correction factors. Fringing electric fields may account for the discrepancy in pull-in
192
voltage regarding varied beam width. The empirical pull-in voltage is expected to
converge as beam width is increased beyond the 6 micron upper limit explored per this
experiment. A hybrid model was presented as a method to account for beam curvature
during electrostatic deflection while reducing the model from second to first order
functions in terms of x directed along the beam length axis.
193
APPENDIX B
SUPER CRITICAL POINT CO2 CHAMBER DESIGN and OPERATION
Beam spring suspended MEMS structures fabricated in silicon which are externally
deflected until physical contact with adjacent silicon surfaces occur are subject to remain
in contact after external deflection force application is referred to as stiction [125].
Release stiction is common in MEMS devices which utilize a wet sacrificial oxide etch in
hydrofluoric acid followed by de-ionized water rinse and evaporation. The de-ionized
water evaporation phase is associated with a surface tension force between the suspended
MEMS structure and substrate silicon electrodes. In MEMS devices with relatively small
suspension beam spring constants or large suspended structure perimeters typically result
in a release stiction condition. Release stiction in MEMS devices represents catastrophic
yield loss and should be avoided wherever possible. An effective method to reduce yield
loss due to stiction is to substitute the post rinse evaporation phase de-ionized water with
a lower surface tension liquid such as isopropyl alcohol or methanol. Another method
eliminates liquid evaporation phase surface tension by using sublimation technique
described by super critical point CO2 process [146]. This appendix describes the
fabrication and operation of a super critical point CO2 chamber.
B.1 Super Critical Point CO2 Background
Yield loss due to release stiction [125] is a multi-step process which begins with
deflection of a deformable MEMS structure due to the surface tension force associated
with a liquid evaporated from the wafer surface. Surface tension force based deflection
due to the liquid evaporation process is described for low and high z-axis spring constant
MEMS polysilicon cantilever beams in Figure B.1.
194
1
2
3
4
Meniscus Propagation Direction
Short Beam (rigid)
Long Beam (compliant)
Stiction occurs during Step 3; where the Long Polysilicon Beam contacts the Polysilicon substrate.
1
2
3
4
Meniscus Propagation Direction
Short Beam (rigid)
Long Beam (compliant)
Stiction occurs during Step 3; where the Long Polysilicon Beam contacts the Polysilicon substrate.
1
2
3
4
Meniscus Propagation Direction
Short Beam (rigid)
Long Beam (compliant)
Stiction occurs during Step 3; where the Long Polysilicon Beam contacts the Polysilicon substrate.
Figure B.1 Cross section of short (high spring constant KZ) and long (low spring
constant KZ) MEMS cantilever beam release stiction due to de-ionized
water evaporating from wafer surface.
The surface tension of a gas or super critical fluid is essentially zero. As a result, dry
etching, as described in Appendix C, does not cause surface tension. Similarly, super
critical CO2 processing allows the device to transition from a liquid to supercritical fluid
ambient without surface tension effects. Super critical temperature and pressure for
several common materials [145] is shown in Table B.1.
Table B.1 Super critical temperature, pressure, and density of common materials. Critical Critical Critical Critical Critical
Material Temp(K ) Temp(C ) Press(MPa) Press(PSI) Density(kg/m3)H2O 647.4 374.3 22.12 3207 320CO2 304.2 31.1 7.39 1072 468NH3 405.5 132.4 11.28 1636 235O2 154.8 -118.4 5.08 737 410N2 126.2 -147.0 3.39 492 311
195
While water could be processed in the super critical region, the large critical point
temperature and pressure make design and safe operation of such a system cost
prohibitive. In contrast, the critical point temperature and pressure of CO2 is relatively
low and allows for near room temperature operation. As a result, super critical CO2
chambers have been previously designed and fabricated for use in MEMS research [146].
B.2 Super Critical Point CO2 Process
Wet hydrofluoric acid used to etch the MEMS device sacrificial oxide. The wet HF
acid is then diluted and replaced with de-ionized water. Since de-ionized water is not
miscible in liquid CO2, methanol is introduced as an intermediate transition fluid.
Supercritical CO2 processing is initiated after the de-ionized water has been diluted and
completely replaced with methanol. The released MEMS device submerged in methanol
is then placed into a pressure vessel and subsequently filled with liquid CO2. A liquid
CO2 flow rate of 20scfh at a regulated pressure of 1050psi is used to purge and
completely replace the methanol in the sealed chamber. The chamber is then sealed and
heated to 40°C using a hotplate which results in an increase in the chamber pressure in
excess of the critical point pressure of 1071°C. The transition from liquid to super
critical fluid occurs at a minimum temperature of 31°C, and pressure of 1072psi as shown
in Figure B.2. The chamber is maintained at 40°C and vented to atmosphere at a flow
rate of 20scfh after 10minutes of super critical CO2 exposure. The chamber is opened
after atmospheric pressure is achieved and devices are removed completing the super
critical CO2 process.
196
SolidLiquid
Gas
Super CriticalFluid
Triple Point(-57°C, 75 psi)
1
14.7 psi
-78°C
3
2
31°C
1072 psi
Pressure
Temperature
1) Dilute Methanol in liquid CO2.
1 → 2) Close Chamber, add heat using hotplate. Chamber pressure increases due to temperature increase.
2 → 3) Slowly bleed off pressure ensuring that chamber temperature does not fall below 31°C during venting.
SolidLiquid
Gas
Super CriticalFluid
Triple Point(-57°C, 75 psi)
1
14.7 psi
-78°C
3
2
31°C
1072 psi
Pressure
Temperature
1) Dilute Methanol in liquid CO2.
1 → 2) Close Chamber, add heat using hotplate. Chamber pressure increases due to temperature increase.
2 → 3) Slowly bleed off pressure ensuring that chamber temperature does not fall below 31°C during venting.
Figure B.2 Super critical point CO2 transition from liquid to gas phase.
B.3 Super Critical Point CO2 Equipment Configuration
A schematic of the super critical chamber is shown in Figure B.3.
CO2
HeDipTube
CO2 Vent line
G1 G2 G3
H1
H2
M1
M2
H3
H4
M3
M4
HotplateCPD Chamber
Methanol Liquid Filter/Trap
ControlValves
ControlValves
LiquidCO2Bottle
CO2
HeDipTube
CO2 Vent line
G1 G2 G3
H1
H2
M1
M2
H3
H4
M3
M4
HotplateCPD Chamber
Methanol Liquid Filter/Trap
ControlValves
ControlValves
LiquidCO2Bottle
Figure B.3 Super critical CO2 chamber system schematic.
197
The super critical CO2 chamber system is shown with the cap installed and removed
as shown in Figures B.4 and B.5 respectively.
Base
Cap
Thermocouple
RegulatedSupply Pressure
Control Valves
Slow Fill
Fast Fill
Chamber Purge
ChamberVent
SupplyPressure
ChamberPressure
Overpressure Burst Disc
HeaterSwitch
H1
H2
H3
H4
Base
Cap
Thermocouple
RegulatedSupply Pressure
Control Valves
Slow Fill
Fast Fill
Chamber Purge
ChamberVent
SupplyPressure
ChamberPressure
Overpressure Burst Disc
HeaterSwitch
H1
H2
H3
H4
Figure B.4 Super Critical CO2 Chamber with cap installed.
Base
Slow Fill
Fast Fill
Chamber Purge
ChamberVent
SupplyPressure
ChamberPressureHeaterSwitch
4” Wafer
Cap Bolt HolesBase
Slow Fill
Fast Fill
Chamber Purge
ChamberVent
SupplyPressure
ChamberPressureHeaterSwitch
4” Wafer
Cap Bolt Holes
Figure B.5 Super critical CO2 chamber cap removed exposing 100mm wafer sample.
198
The stainless steel chamber base is shown in Figure B.6. The chamber is machined
from stainless steel with specifications shown in Figure B.7.
CO2 Drain Holes
Wafer Support Pins
CO2 Drain Line
Wafer Retaining Pins
100mm Silicon WaferCO2 Drain Holes
Wafer Support Pins
CO2 Drain Line
Wafer Retaining Pins
100mm Silicon Wafer
Figure B.6 Super critical CO2 chamber stainless steel chamber base.
Figure B.7 Super critical CO2 chamber base mechanical specifications.
199
The chamber base and cap are shown in Figures B.8 and B.9.
100mm Wafer O-Ring DiffuserSealing Surface
Cap (internal chamber side shown)Base w/100mm Silicon Wafer
100mm Wafer O-Ring DiffuserSealing Surface
Cap (internal chamber side shown)Base w/100mm Silicon Wafer
Figure B.8 Super critical chamber base with cap.
Cap
Base
Cap with Diffuser
Cap
Base
Cap with Diffuser
Figure B.9 Super critical chamber cap mechanical specifications.
200
The MEMS wafer sample is immersed in liquid methanol at room temperature and
pressure upon process initiation. Liquid CO2 is introduced through the cap diffuser using
on-off valve H1 set to on, as shown in Figure B.4, at a slow fill rate of 10psi/s which is
preset using metered valve M1 located internal to the chamber base pedestal. Upon
pressure equalization of the regulated CO2 supply line and internal chamber pressure
(~1050psi) the fast fill on-off valve H2 is set to on, as shown in Figure B.4. The liquid
CO2 filled chamber is then purged/vented to an organic solvent hood exhaust for a
minimum of 10minutes to dilute and replace the initial methanol charge with liquid CO2
using on-off valve H3 set to on, and metered valve M3 set to a flow rate of 20scfh. The
diffuser shape and hole locations, as shown in Figure B.10, were designed to agitate the
liquid CO2 by introducing a clockwise rotation flow during purge/vent operations. The
cap diffuser hole placement mechanical specifications are listed in Figure B.11.
CO2 Diffuser HolesCO2 Diffuser Holes
Figure B.10 Cap diffuser used dispense liquid CO2 with a clockwise flow.
201
Figure B.11 Diffuser tubing and hole placement mechanical specifications.
An extension sleeve can be installed between the cap and base to process twenty four
100mm MEMS wafer samples per process run as shown in Figures B.12 and B.13.
24 Wafer100mm Boatfits insideChamberExtension
Cap
SleeveSleeve
Cap
24 Wafer100mm Boatfits insideChamberExtension
Cap
SleeveSleeve
Cap
Figure B.12 Super critical CO2 chamber extension sleeve.
202
7.000
10.000
A
7.640
7.5000.385
Cap
Base
ChamberExtensionSleeve
7.000
ChamberExtensionSleeve
Cap
Base
TopView
SideView
7.000
10.000
A
7.640
7.5000.385
Cap
Base
ChamberExtensionSleeve
7.000
ChamberExtensionSleeve
Cap
Base
TopView
SideView
Figure B.13 Chamber extension sleeve mechanical specifications.
The chamber valves (H1-H4) are all turned to the off position after methanol chamber
purging with liquid CO2 is complete.
A hot plate located under the aluminum chamber pedestal housing, as shown in
Figure B.14, is used to elevate the internal chamber temperature beyond the 31°C super
critical CO2 point.
203
Chamber Pedestal
HotPlate
1)
2)
3)
4)
Chamber Pedestal
HotPlate
1)
2)
3)
4)
Figure B.13 Chamber pedestal hot plate location.
A thermocouple feed-thru probe is used to measure the chamber internal cavity gas
temperature as previously shown in Figure B.4. Temperature is maintained at 40°C for a
minimum of 5minutes with chamber pressure verified as greater than 1072psi (typically
1250-1350psi). The vent line valve H3 is then opened and purged to an organic solvent
hood exhaust at a maximum flow rate of 20scfh using pre-set metered valve M3 as shown
in Figure B.14. Temperature is maintained at 40°C during chamber vent operation.
The MEMS sample can be removed from the chamber after internal pressure reaches
ambient (~14.7psi).
204
Valves M1, M2
HotPlate Removed
Valves M1, M2
HotPlate Removed
Figure B.14 Super critical CO2 internal chamber pedestal metered valve locations.
B.4 Super Critical Point CO2 Equipment Process Sequence
1) Release MEMS device using hydrofluoric (HF) acid etch with de-ionized water
(H2O) rinse followed by methanol (CH3OH) rinse with 30minute minimum soak
time.
2) Place methanol (CH3OH) immersed sample into super critical CO2 chamber.
3) Install eight cap with bolts using a star pattern until snug using 15ft-lb applied
via torque wrench.
4) Install ¼ inch stainless steel CO2 supply line using crescent wrench until snug.
5) Verify liquid CO2 bottle pressure regulator set to 1050psi.
6) Open valve H1. Wait until chamber pressure reaches ~1050psi before
proceeding.
7) Open valve H2.
8) Open valve H3 for a minimum of 10minutes.
9) Close valves H1, H2, and H3.
10) Turn on hotplate electrical power heater switch on front right panel of chamber
assembly as shown in Figure B.4.
205
11) Verify and maintain chamber temperature above 40°C using thermocouple
temperature measurement probe as shown in Figure B.4.
12) Verify chamber pressure is greater than 1072psi (typically 1250-1350psi) using
chamber pressure gage as shown in Figure B.5 for a minimum of 5minutes.
13) Open valve H3 and verify/maintain chamber temperature above 40°C using
hotplate control with thermocouple probe measurement data as shown in Figure
B.4.
14) Turn off hot plate electrical heater switch as shown in Figure B.4.
15) Remove ¼ inch stainless steel CO2 supply line using crescent wrench.
16) Remove eight cap bolts and cap.
17) Remove MEMS sample/process complete.
B.5 Super Critical CO2 Chamber Summary/Conclusion
A super critical CO2 chamber has been designed, fabricated, and characterized for
use with MEMS devices where release stiction [125] is an identified problem. The
chamber accommodates 100mm and 150mm silicon wafer samples. In addition, a
chamber extension sleeve has been fabricated with 24 100mm wafers processed in a
single run to demonstrate batch capability of the super critical CO2 process.
206
APPENDIX C
DEEP REACTIVE ION ETCH (DRIE) TOOL CHARACTERIZATION
High aspect ratio beam/trench arrays were etched into single crystal silicon substrates
(100 orientation) using a Surface Technology Systems (STS) deep reactive ion etch
(DRIE) tool. Process input parameters are varied using high/low values for etch cycle
time, passivation cycle time, RF coil power, and SF6 flow rate. The silicon etch process
is characterized using photo-resist masked trench arrays varied from 1.5μm through 6μm
in both width and spacing. A design of experiments (DOE) approach is used to model
the following measured outputs: 1) trench depth (R2=0.985), 2) lateral trench etch
(R2=0.852), 3) trench sidewall angle (R2=0.815), and 4) aspect ratio dependent etch
(R2=0.942), where R2 represents the correlation between actual and model predicted
values. The presented characterization models are employed to form beams as small as
300nm wide etched to a depth greater than 15μm with near vertical sidewalls using
standard photolithography equipment. In addition, the provided models are exploited to
produce a dual re-entrant/tapered beam etch release process. Released silicon beams are
demonstrated over 1200μm long and 30μm thick with a base width of 300nm.
C.1 MEMS Based Deep Reactive Ion Etch Background
High aspect ratio silicon structures are desired in MEMS devices such as
accelerometers [139] and gyroscopes [69, 89]. Fluorine based chemistry is the common
choice for deep silicon etching because of its high etch rate and selectivity. Fluorine etch
anisotropy can be improved by using a time multiplexed plasma etch and passivation
process previously developed by Bosch [180]. Etching of silicon microstructures with
feature sizes typically ranging from two to hundreds of microns have been thoroughly
studied with etch parameters extensively characterized [181-183]. Fluorine based
207
chemistries have been previously shown to significantly increase both selectivity and etch
rate in excess 100:1 and 10μm/minute [183] respectively. Deep reactive ion etching of
silicon trenches based on Cl2 chemistry [184] exhibits slow silicon etch rates and lower
selectivity toward the desired SiO2 masking film [185], typically 20-30:1. Photo-resist
etch selectivity in Cl2 chemistry is on the order of 1:1 when compared to silicon,
practically excluding its use as an etch mask in deep trench etching. In contrast to
chlorine, fluorine radicals etch silicon without the need for ion impact assistance resulting
in an isotropic etch. Anisotropy has previously been improved for SF6 based chemistries
by ion impact assistance coupled with sidewall passivation using polymer deposition
[180] and/or O2 plasma oxidation and re-deposition at the silicon sidewall surface [186,
187]. The introduction of O2 during the etch cycle has also been previously suggested to
improve anisotropy by acting as a getting agent for carbon [188]. In any case, the trench
width is generally increased as compared to the original mask opening due to the
presence of mixed silicon etching of the sidewall typically on the order of hundreds of
nanometers.
The passivation cycle, ranging from 4 to 8 seconds, deposits a fluorocarbon polymer
on the wafer surface using C4F8 as a plasma source gas. The fluorocarbon polymer is
comprised of a chain of CF2 molecules similar in composition to Teflon with a film
thickness of approximately 10-50nm. The following etch cycle, ranging from 4 to 12
seconds, uses SF6 as a plasma source gas to etch silicon. The ion assisted SF6 etch
removes the polymer passivation on exposed horizontal surfaces prior to etching the
underlying silicon. Scalloping occurs when chemically reactive fluorine is adsorbed at a
non-passivated silicon trench sidewall surface resulting in a localized isotropic silicon
etch. Trench sidewall scalloping is typically more pronounced at the top, lessening in
severity from top to bottom. The STS inductively coupled plasma etch tool cross section
with first five steps for the etch sequence are shown in Figures C.1 and C.2 respectively.
208
RFMatchingUnit
Plasma
Si Wafer
RFMatchingUnit
WaferChuck
Si Wafer
Helium ClampCoolingInlet/Outlet
SealedBellowsPumping Port
CeramicProcessChamberWalls
IsolationValve
InspectionWindow
WeightedMechanicalClamp
Figure C.1 Surface Technology Systems deep reactive ion etch tool cross section.
Mask
SiliconSubstrate
Pre-Etch Step 3: Passivate
Step1: Passivate Step 4: Etch
Step 2: Etch Step 5: Passivate
Polymer (CF2)
+ SF+n
F
SF+n
F
+
CF2
CF2
Scalloping
Mask
SiliconSubstrate
Pre-Etch Step 3: Passivate
Step1: Passivate Step 4: Etch
Step 2: Etch Step 5: Passivate
Polymer (CF2)
++ SF+nSF+n
F
SF+nSF+n
F
++
CF2
CF2
Scalloping
Figure C.2 STS etch/passivation algorithm trench sidewall scalloping example.
Smaller feature size with larger aspect ratio is typically preferred in MEMS
accelerometer and gyroscope proof mass suspension tethers. This appendix presents a
DOE based characterization of silicon deep trench etching using typical input parameters
for the STS DRIE tool. Characterization results are used to form sub-micron MEMS
209
suspension tethers as beams released from the substrate using typical photolithography
minimum feature sizes of 1μm-6μm.
C.2 Micrometer Width Trench Arrays
A Surface Technology Systems (STS) DRIE tool was used with 1.3μm thick positive
photo-resist (AZ-1813) to pattern trench arrays etched in this experiment. Fixed trench
width was maintained on an individual array basis while inter trench spacing (beam
width) was varied from 1μm to 6μm. Three fixed trench width arrays of 2μm, 4μm, and
6mm are evaluated in this paper. An array with fixed layout defined trench width of 2μm
is shown in Figure C.3.
Bottom Width
Top Width
Depth
Figure C.3 Silicon trench array measurements used to characterize STS DRIE tool.
A design of experiments (DOE) approach provides a viable method to evaluate the
maximum number of simultaneous input variables producing a statistically significant
change in a specific output variable over a minimum number of evaluated samples [189].
DOE also provides rank order of statistical significance regarding input variable versus
modeled output variable responses. Interactions of 1st and higher orders can also be
evaluated simultaneously among the input variables versus output responses. Proper
choice of input variable levels was required to preclude artificial correlation of input
variables. Typical STS process parameters (etch cycle time, passivation cycle time, RF
210
coil power, and SF6 flow rate) were varied in this 2 level (high/low) screening experiment
as shown in Table C.1.
Table C.1 STS etch tool screening experiment input parameters. Level Pattern Etch (sec) Passivate (sec) Power (Watts) SF6 Flow (sccm)
1 ---- 4 4 600 1302 ---+ 4 4 800 1303 --+- 4 4 600 1604 --++ 4 4 800 1605 -+-- 4 8 600 1306 -+-+ 4 8 800 1307 -++- 4 8 600 1608 -+++ 4 8 800 1609 +--- 12 4 600 13010 +--+ 12 4 800 13011 +-+- 12 4 600 16012 +-++ 12 4 800 16013 ++-- 12 8 600 13014 ++-+ 12 8 800 13015 +++- 12 8 600 16016 ++++ 12 8 800 160
The sample size used in this experiment consisted of sixteen silicon wafers with nine
scanning electron microscope (SEM) based measurement sites per wafer. Layout defined
trench width and spacing were also included as model geometric variable inputs over all
levels shown in Table C.1. The modeled output variables were trench etch depth, lateral
trench etch width, trench sidewall angle, and aspect ratio dependent etch (ARDE). The
output models were evaluated using JMP statistical software. Input variables observed to
produce weak influence (less than 5% increase in model R2) on model prediction were
excluded. The total etch duration was fixed at 8 minutes for all sample measurements
incorporated into the presented models. A constant O2 flow rate of 12sccm was
introduced during the etch cycle to improve anisotropy.
C.3 Trench Depth Model
Trench etch depth was modeled (R2=0.985) with the following input variables listed
in order of decreasing statistical significance: 1) etch cycle time, 2) passivation cycle
211
time, 3) RF coil power, as shown in Figure C.4. Etch rate can be extracted from the
contour plot shown in Figure 3 by dividing the etch depth by the 8 minute etch period.
An example of calculated etch rate for the following input parameters (etch=10s,
passivate=5s, RF coil power=800W) is modeled as 19μm deep over the 8min duration, or
2.4μm/min.
Power (Watts)=600
4
5
6
7
800
22
44
66
88
1010
1212 1414 1616 1818 2020 2222 2424
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=700
4
5
6
7
800
22
44
66
88
10101212 1414 1616 1818 2020 2222 2424
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=800
4
5
6
7
8
00
22
44
66
88
1010 1212 1414 1616 1818 2020 22222424
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Figure C.4 Trench depth model as a function of passivation and etch cycle time for
individual RF coil power inputs of 600, 700, and 800W.
C.4 Lateral Trench Etch Model
The lateral trench etch model was referenced to the trench top width measurement.
As a result, the lateral trench etch model defines the lateral mask undercut/erosion at the
photo-resist/silicon interface.
Lateral trench etch was modeled (R2 = 0.852) with the following input variables listed
in order of decreasing significance: 1) etch cycle time, 2) passivation cycle time, and 3)
RF etch power, as shown in Figure C.5.
212
Power (Watts)=600
4
5
6
7
8
00
0.10.1
0.20.2
0.30.3
0.40.4
0.50.5
0.60.6
0.70.7
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=700
4
5
6
7
8
000.10.1
0.20.2
0.30.3
0.40.4
0.50.5 0.60.60.70.7
0.80.8
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=800
4
5
6
7
8
00
0.10.1
0.20.2
0.30.3
0.40.40.50.5
0.60.60.70.7
0.80.8
0.90.9
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Figure C.5 Lateral trench etch model as a function of passivation and etch cycle time
for individual RF coil power inputs of 600, 700, and 800W.
The lateral trench etch model was re-evaluated with the following input variables
listed in order of decreasing significance: 1) etch cycle time, 2) passivation cycle time, 3)
RF etch power, and 4) layout defined trench width. The second lateral trench etch model
(R2 = 0.901) exhibited an increase of only 4.9% in prediction as compared to the initial
model. Although the second model accurately predicts the trend of higher etch rate for
larger width trenches, the small increase in prediction as compared to added complexity
should be noted. Second model dependence upon trench width using cases of 2μm, 4μm,
and 6μm wide trenches is shown in Figure C.6.
Power (Watts)=800 Tr Width (u)=2
4
5
6
7
800
0.10.1
0.20.2
0.30.3
0.40.4
0.50.5
0.60.6
0.70.7 0.80.8
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=800 Tr Width (u)=4
4
5
6
7
8
00
0.10.1
0.20.2
0.30.3
0.40.4
0.50.5
0.60.6
0.70.7
0.80.8 0.90.9
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Power (Watts)=800 Tr Width (u)=6
4
5
6
7
8 00
0.10.1
0.20.2
0.30.3
0.40.4
0.50.5
0.60.6
0.70.7
0.80.80.90.9 11
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Figure C.6 Lateral etch model as a function of passivation and etch cycle time for an RF
coil power of 800W with 2μm, 4μm, and 6μm trench widths.
213
Statistical model dependence on inter-trench spacing (beam width) was not observed.
C.5 Sidewall Angle Model
Trench sidewall angle [190] was measured as the straight angle between the top and
bottom trench width measurements as shown in Figure C.7.
180º-θθ
Photoresist
SiliconSubstrate
Trench180º-θθθθ
Photoresist
SiliconSubstrate
Trench
Figure C.7 Trench sidewall angle measurement.
Trench sidewall angle was initially modeled with the following input variables listed
in order of decreasing statistical significance: 1) etch cycle time, 2) passivation cycle
time, and 3) RF coil power. The trench sidewall angle model (R2 = 0.815) is a weak, but
statistically significant function of layout defined trench width. Additional modeling
included layout defined trench width with an increase in prediction of only 2.4% (R2 =
0.839). Although the prediction increase is small, the etch trend is captured and results
for the layout defined 2μm and 6μm wide trenches are shown in Figures C.8 and C.9
respectively.
214
Tr Width (u)=2 Power (Watts)=600
4
5
6
7
887.587.5
888888.588.5
8989
89.589.5
909090.590.5
9191
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Tr Width (u)=2 Power (Watts)=700
4
5
6
7
8 8888
88.588.5 8989 89.589.5
9090 90.590.5
9191
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Tr Width (u)=2 Power (Watts)=800
4
5
6
7
888.588.5
8989
89.589.5
9090
90.590.5
9191
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
T
Figure C.8 Trench sidewall angle model as a function of passivation and etch cycle time
for a fixed 2μm trench width and RF coil power of 600, 700, and 800W.
Tr Width (u)=6 Power (Watts)=600
4
5
6
7
886.586.5
8787
87.587.5
8888
88.588.58989
89.589.5
909090.590.5
9191
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Tr Width (u)=6 Power (Watts)=700
4
5
6
7
88787
87.587.5
8888
88.588.58989
89.589.5 9090
90.590.5
9191
91.591.5
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Tr Width (u)=6 Power (Watts)=800
4
5
6
7
8 87.587.5
8888
88.588.5 8989
89.589.5
9090
90.590.5
9191 91.591.5
3 4 5 6 7 8 9 10 11 12 13Etch (sec)
Figure C.9 Trench sidewall angle model as a function of passivation and etch cycle time
for a fixed 6μm trench width and RF coil power of 600, 700, and 800W.
To produce a near vertical sidewall we note that the slope of the 90° sidewall angle
model line, shown in both Figures C.8 and C.9, is approximately 1. Our model predicts
that for etch cycle times greater than 8 seconds, the corresponding passivation cycle time
producing a 90º sidewall is four seconds shorter overall. Although the SF6 flow rate and
mask defined trench spacing were both statistically significant they had less than a 5%
affect on model R2 when factored either together or separately. As a result, both the SF6
and trench width input parameters were excluded from the model.
215
C.6 Aspect Ratio Dependent Etch
Aspect ratio dependent etch [182] trench depth was modeled with the following input
variables listed in order of decreasing statistical significance: 1) etch cycle time, 2)
passivation cycle time, 3) mask defined trench width, and 4) RF coil power. The ARDE
model (R2 = 0.942) describes the relationship between layout defined trench width and
etch depth; as the trench width is decreased the etch depth also decreases for a fixed etch
and passivation cycle time. The ARDE trench depth model is shown in Figure C.10 with
a constant etch cycle time of 12s.
Etch (sec)=12 Power (Watts)=600
4
5
6
7
81616
1818
2020
2222
2424
2626
2 3 4 5 6Tr Width (u)
Etch (sec)=12 Power (Watts)=700
4
5
6
7
81818
2020
2222
2424
2626
2 3 4 5 6Tr Width (u)
Etch (sec)=12 Power (Watts)=800
4
5
6
7
82020
2222
2424
2626
2 3 4 5 6Tr Width (u)
Figure C.10 Trench depth model ARDE effects with a fixed 12s etch cycle and RF
power of 600, 700, and 800W.
The ARDE can be minimized by adjusting the ratio of etch to passivation cycle time
[182]. As the ratio of etch to passivation cycle time approaches unity, the etch rate
distributed across the 2μm through 6μm layout defined trench widths is relatively
uniform for a fixed 8s etch cycle time as shown in Figure C.11.
216
Etch (sec)=8 Power (Watts)=600
4
5
6
7
888
1010
1212
1414
1616
1818
2020
2 3 4 5 6Tr Width (u)
Etch (sec)=8 Power (Watts)=700
4
5
6
7
888
1010
1212
1414
1616
1818
2 3 4 5 6Tr Width (u)
Etch (sec)=8 Power (Watts)=800
4
5
6
7
8 1010
1212
1414
1616
1818
2 3 4 5 6Tr Width (u)
Figure C.11 Trench depth model ARDE effects with a fixed 8s etch cycle and RF power
of 600, 700, and 800W.
However, a 1:1 etch to passivation cycle significantly reduces the overall etch rate
and the resulting sidewall angle is less than vertical. The sidewall profile observed for
levels 1 through 4 were tapered (ϑ<90°) and all trenches formed using these etch input
parameters exhibited grass [186] formation as shown in Figure C.12.
Figure C.12 Tapered etch with silicon grass formation in large width trenches.
The re-entrant (ϑ>90°) and vertical (ϑ≅90°) sidewall trenches were not observed to
form grass. The re-entrant etch trench sidewall is represented by levels 9 through 12
while the vertical trench etch profiles are represented by levels 13 through 16, as shown
in Table C.1. Grass was observed when the ratio of etch to passivation cycle time was
217
less than 3:2. Also, the surface density of grass was observed to significantly increase as
the ratio of etch to passivation cycle time was decreased below 1:1 respectively.
C.7 Trench Interspaced Sub-micron Beam Formation
Beam arrays with a photo defined 1.5μm trench spacing were etched for 8min using
level 15 etch parameters, as shown in Table C.1. The lateral trench etch model predicted
a post etch 0.5μm beam width. The actual post etch beam width was approximately
0.3μm, as shown in Figure C.13.
0.3μm0.3μm
Figure C.13 Sub-micron (300nm) beam width with near vertical sidewalls.
Scalloping of the trench sidewall near the top of the trench was observed as large as
95nm. Sidewall asperities near the trench midsection were limited to <50nm. Beam
sidewall angle was estimated as nearly vertical.
C.8 Submicron Beam Re-entrant Etch Release
A 10 minute re-entrant etch (level 9 from Table C.1) was immediately followed with
a 20 minute tapered etch (level 1 from Table C.1) to release beams from the substrate.
218
The released silicon beam tip is approximately 1250μm long, 30μm thick, with a
maximum width of 300nm at the etch mask/Si interface as shown in Figure C.14.
SideView
FrontView
~30μm
~300nmwide atmaskinterface
Re-entrant etch released beam tip
Beam TipDetail
1500μm
SideView
FrontView
~30μm
~300nmwide atmaskinterface
Re-entrant etch released beam tip
Beam TipDetail
1500μm
Figure C.14 Midpoint re-entrant etch released beam.
This represents an aspect ratio of approximately 100 (0.3μm/30μm). The rough
bottom etch is attributed to abrupt switching between re-entrant and tapered recipes. The
abrupt switching rationale is supported by the rough etch band located at the trench
midpoint corresponding to the STS etch recipe transition region on large width silicon as
shown in Figure C.15.
Re-entrant Etch Beam
TaperedEtch Beam
STS Etch RecipeTransition
Re-entrant Etch Beam
TaperedEtch Beam
STS Etch RecipeTransition
Figure C.15 Midpoint re-entrant etch released beam detail.
219
Future release etch efforts will include a chamber gas stabilization period between the
re-entrant and tapered STS etch recipe transition.
The ratio of etch to passivation cycle time was changed from 3:1 to 1:1, with all other
input parameters held constant, resulting in a swing from re-entrant to tapered sidewall
etching at the beam midpoint respectively. The silicon wafers (100 orientation) were
insitu doped with phosphorous during Czochralski growth [148] to a uniform bulk
resistivity of 7.5-12.5W-cm. The absence of a buried dielectric layer coupled with a
photo-resist etch mask suggests that the angle of ion assisted incidence is not
significantly affected by trapped dielectric charge [147, 190] in the case presented in this
appendix.
C.9 Submicron Beams and Trenches
Lateral and vertical trench etching was evaluated at the submicron level using a nano-
imprint defined [191] oxide hardmask. Trenches 350nm wide with a 700nm period were
formed using a 120nm thick oxide etch mask. Etch parameters were fixed to 4s
passivation cycle, RF coil power of 800W, and SF6 flow rate of 130sccm, for a total
duration of 20 minutes. The etch cycle time was varied over three evaluated etch
samples. The first sample etch cycle time was set to 4.5s. The 4.5s etch sample
exhibited an average trench depth was measured as 10.3μm (σ=0.7μm) where
σ represents the standard deviation. Lateral trench etching was observed on the order of
95nm per side with scalloping sidewall asperities as large as 75nm were observed using
the 4.5 second etch cycle time as shown in Figure C.16.
220
Figure C.16 Sub-micron lateral trench etching using 4.5s etch cycle.
A 1:1 etch to passivation cycle time ratio was chosen to minimize lateral trench
etching. Lateral trench etching on the order of 30nm per side with scalloping sidewall
asperities as large as 75nm were observed using a 4.0 second etch cycle time described
by level 2 etch parameters as described in Table C.1. Surface roughness due to
scalloping can be reduced post etch by thermal oxidation of the sidewall followed by
removal of the oxide [192]. The average trench depth was measured as 9.7μm
(σ=0.4μm) as shown in Figure C.17.
Figure C.17 Minimized sub-micron lateral trench etching using 4.0s etch cycle.
Negative lateral trench etching on the order of 95nm per side with scalloping sidewall
asperities as large as 45nm were observed using a 3.5 second etch cycle time described
221
by level 3 etch parameters as described in Table C.1. The average trench depth was
3.9μm (σ=0.3μm) as shown in Figure C.18.
Figure C.18 Negative sub-micron lateral trench etching using 3.5s etch cycle.
The average etched trench depth for the 4.0s and 3.5s etch cycle samples were
10.3μm and 3.9μm respectively representing a decrease in etch rate on the order of 2.6X.
The post etch trench for the 3.5s etch cycle was approximately 150nm wide as compared
to the SiO2 masked 350nm wide opening. This negative lateral etch represents an
approximate reduction of 0.2μm in the SiO2 masked trench width.
Silicon etching was not observed for an etch cycle of 3.2s due to excessive CF2
deposition at the oxide hard mask and exposed silicon surface. A combination of CF2
pile-up and silicon surface oxidation may be responsible for the smaller than mask
defined trenches observed. Oxygen has been previously proposed to passivate the silicon
surface [186, 187] by forming an oxide film and may also protect the sidewall at the
SiO2/Si interface resulting in a smaller than mask defined etched trench width.
Sub-micron layout defined beam and trench spacing, with or without an SiO2 hard-
mask, requires lateral silicon etching to be minimized by setting the etch to passivation
222
ratio with approximately 1:1 values. As a result, very little sidewall etch angle control is
afforded sub-micron layout defined beam spacing since all etch profiles in this regime are
slightly tapered. In addition, trench widths larger than 500nm were observed to form
grass [186] using a near 1:1 etch to passivation cycle ratios. Trench widths in excess of
500nm require an etch to passivation ratio larger than 3:2 respectively where silicon grass
formation is undesired.
Scalloping has previously been eliminated using electroplated Ni masks [184]. A
dual trench etch process has also been previously shown to significantly reduce both
sidewall scalloping and inter trench depth variation in sub-micron trench arrays by using
HBr/Cl chemistry to etch the initial 500nm of trench depth followed by a 10μm etch in a
SF6/C4F8 based time multiplexed process [193] sequence. This diffusion rate limited
deep etch process is very sensitive to sub-micron inter trench sidewall scallop variation
across an array as the silicon etch byproduct is transported away from the trench bottom
in gas phase causing an increase the etched trench depth variability. This microscopic
loading effect manifests itself as an etch rate dependence on feature size and array density
[194]. Scalloping asperities were observed to decrease where the etch to passivation ratio
was fixed and both etch and passivation cycle times were decreased resulting in a lower
overall etch rate and higher sidewall scallop frequency versus normalized depth.
C.11 Initial LAM 9400-TCP HBR/Cl etch with subsequent STS DRIE
It is notable that the scalloping sidewall asperity is much smaller in the middle and
bottom part of the trench than in the top part of the trench. The scalloping near the top of
the trench was further reduced by performing a two step etch process. The initial trench
depth of 500nm was etched using HBr/Cl chemistry in a LAM 9400-TCP etch tool while
the trench remainder was etched to a depth of 10.3μm (σ=0.1μm) using the STS tool with
223
parameters set as etch cycle=4s, passivation cycle=4s, RF power=800W and SF6 flow
rate=130sccm, as shown in Figure C.19.
Figure C.19 Dual etch sidewall scallop reduction process.
A large sidewall asperity of approximately 65nm due to scalloping exists at the
Lam/STS etch interface near the top of the trench, while the remaining sidewall asperities
are less than 25nm.
The etch rates in these processes are on the order of 0.5-1.5μm/min, much higher than
that of high-aspect ratio sub-micron Si trench etch based on Cl2 with an ECR source,
which is on the order of 0.1μm/min [184]. Also the etch selectivity over oxide is more
than 10 times of that for ECR etch with Cl2. These attributes make these recipes very
attractive for fabricating sub-micron high-aspect ratio structures for optical gratings and
224
MEMS applications such as accelerometer [162] proof mass support tethers utilizing an
etch release process as described by Shaw et. al [195].
In addition, the dual etch process reduced the trench array depth variation from a
measured standard deviation of 0.7μm to 0.1μm representing significant improvement of
etch repeatability across the wafer sample as shown in Figures C.17 and C.19
respectively. Trench depth non-uniformity across the wafer can be significantly reduced
using a two step etch process where scalloping is minimized near the trench opening as
demonstrated in Figure C.19.
C.10 DRIE Tool Characterization DOE Model Summary/Conclusion
Sub-micron high aspect ratio beams etched into silicon wafers have been
demonstrated using standard photolithography equipment in conjunction with STS deep
reactive ion etch equipment. Models were presented for 1) trench depth (R2=0.985), 2)
trench sidewall angle (R2=0.815), 3) trench lateral etch (R2=0.852), and 4) aspect ratio
dependent etch (R2=0.942). The most significant parameters common throughout all four
presented models were etch cycle time, passivation cycle time, and RF coil power.
Proper mask bias can be estimated using the models presented in this paper with to form
sub-micron beam/trench designs on silicon wafer substrates with nearly vertical
sidewalls. Re-entrant etch released beams with an aspect ratio of approximately 100 are
demonstrated. Future work will address fabrication of accelerometer sub-micron width
high aspect ratio tethers using the re-entrant etch release process. Modeled lateral etch
trends were observed on sub-micron width trench arrays with an oxide hard mask using a
1:1 etch to passivation cycle time ratio. Sidewall scalloping was observed to decrease as
the etch to passivation ratio is decreased below a 1:1 ratio respectively.
225
APPENDIX D
SWITCHED CAPACITOR LOW PASS FILTER/AMPLIFIER
A switched capacitor circuit is provided which reduces the input signal bandwidth by
the number of discrete time averaged samples (N) per sample and hold cycle. The input
voltage signal (Vin) is time multiplexed onto N cascoded sample and hold stage
capacitors (C1-CN) as shown in Figure D.1
Figure D.1 Switched capacitor low pass filter with time averaged analog gain.
226
The transmission gate sub-circuit is shown in Figure D.2
Vdd Vss
CNTL
outin
T-Gate4Sub-circuitSymbol
Vdd Vss
CNTL
outin
T-Gate4Sub-circuitSymbol
Figure D.2 Transmission gate sub-circuit T-Gate4.
D.1 Switched Capacitor Low Pass Filter Amplification Operation
A multi-phase (N+1) clock is used to sample and hold the input voltage signal (Vin)
using cascaded time multiplexed scheme. The example shown in Figure D.1 uses 5 clock
phases (0-4), with phase 0 used for reset while phase 4 is used to pass the discrete time
averaged and amplified signal (Vout1) to the output stage sample and hold node (Vout2).
Clock phases 1-3 discretely control sampling of the input voltage signal (Vin) and sum
the corresponding charge onto the amplified output voltage node (Vout1). Discrete time
distributed output voltage amplification (Vout1, Vout2) is demonstrated using a
227
sinusoidal input voltage signal (Vin) with a 100mV amplitude, 800Hz frequency, and a
2.5V offset as shown in Figure D.3.
Ti me
0 . 8 0 0 ms 1 . 0 0 0 ms 1 . 2 0 0 ms 1 . 4 0 0 ms 1 . 6 0 0 ms 1 . 8 0 0 ms0 . 6 0 3 msV( o u t _ 1 ) V( i n ) V( o u t _ 2 )
2 . 2 5 V
2 . 5 0 V
2 . 7 5 V
2 . 1 4 V
2 . 8 7 V
Ref. next slide for detail
Input (100mV, 800Hz, 2.5V offset)
Output (3X Gain with 2.5V offset)
Ti me
0 . 8 0 0 ms 1 . 0 0 0 ms 1 . 2 0 0 ms 1 . 4 0 0 ms 1 . 6 0 0 ms 1 . 8 0 0 ms0 . 6 0 3 msV( o u t _ 1 ) V( i n ) V( o u t _ 2 )
2 . 2 5 V
2 . 5 0 V
2 . 7 5 V
2 . 1 4 V
2 . 8 7 V
Ref. next slide for detail
Input (100mV, 800Hz, 2.5V offset)
Output (3X Gain with 2.5V offset)
Ti me
0 . 8 0 0 ms 1 . 0 0 0 ms 1 . 2 0 0 ms 1 . 4 0 0 ms 1 . 6 0 0 ms 1 . 8 0 0 ms0 . 6 0 3 msV( o u t _ 1 ) V( i n ) V( o u t _ 2 )
2 . 2 5 V
2 . 5 0 V
2 . 7 5 V
2 . 1 4 V
2 . 8 7 V
Ref. next slide for detail
Input (100mV, 800Hz, 2.5V offset)
Output (3X Gain with 2.5V offset)
Ref. next slide for detail
Input (100mV, 800Hz, 2.5V offset)
Output (3X Gain with 2.5V offset)
Figure D.3 SPICE simulation of switched capacitor amplifier circuit.
An overlay of the control clock phases is shown in Figure D.4 which describes the
input voltage (Vin) in terms of N discrete time averaged sum steps as the output voltage
amplitude.
Ti me
9 0 0 u s 9 1 0 u s 9 2 0 u s 9 3 0 u s 9 4 0 u s 9 5 0 u s 9 6 0 u s 9 7 0 u s 9 8 0 u s 9 9 0 u s 1 0 0 0 u sV( o u t _ 1 ) V( i n ) V( o u t _ 2 )
2 . 5 0 V
2 . 7 5 V
2 . 3 4 VSEL>>
V( CLK_ 0 ) V( CLK_ 1 ) V( CLK_ 2 ) V( CLK_ 3 ) V( CLK_ 4 )0 V
2 . 5 V
5 . 0 V
0 1 2 3 40 1 2 3 4
0 1 2 3 40 1 2 3 40 1 2 3 4
Input
OutputTimeAveraging
N+2 Clocked Cycle Period
Ti me
9 0 0 u s 9 1 0 u s 9 2 0 u s 9 3 0 u s 9 4 0 u s 9 5 0 u s 9 6 0 u s 9 7 0 u s 9 8 0 u s 9 9 0 u s 1 0 0 0 u sV( o u t _ 1 ) V( i n ) V( o u t _ 2 )
2 . 5 0 V
2 . 7 5 V
2 . 3 4 VSEL>>
V( CLK_ 0 ) V( CLK_ 1 ) V( CLK_ 2 ) V( CLK_ 3 ) V( CLK_ 4 )0 V
2 . 5 V
5 . 0 V
0 1 2 3 40 1 2 3 4
0 1 2 3 40 1 2 3 40 1 2 3 4
Input
OutputTimeAveraging
N+2 Clocked Cycle Period
0 1 2 3 40 1 2 3 40 1 2 3 40 1 2 3 4
0 1 2 3 40 1 2 3 40 1 2 3 40 1 2 3 40 1 2 3 40 1 2 3 4
Input
OutputTimeAveraging
N+2 Clocked Cycle Period
Figure D.4 SPICE simulation of switched capacitor amplifier with clock phases.
228
The output voltage amplitude (Vout) is a function of the offset voltage (Vos) and the
ratio of input voltage (Vin) charge sampling capacitors (C1-CN) to the switched capacitor
amplifier feedback capacitor (CF) as described by Eq. D.1.
VosC
CCCVinVosVoutF
N +⎟⎟⎠
⎞⎜⎜⎝
⎛ ++−≅
…21)( (D.1)
Although the example listed above set the value of the charge sampling capacitors
(C1-CN) equal to the integration feedback capacitor (CF), analog gain greater than unity is
desirable in practical applications. The output signal bandwidth is reduced by discrete
values of N only, which is independent of capacitor ratio based analog gain. An example
of a practical application would set the ratio of input voltage (Vin) charge sampling
capacitors (C1-CN) to integration feedback capacitor (CF) as 2. Using N=3 cascaded
charge sampling capacitors (C1-C3) would yield a single stage gain of 6 where CF=C1/2,
and C1=C2=C3. Assuming a switched capacitor front end 60kHz bandwidth, as described
in Chapter 6, the reduced bandwidth realized after a complete cycle is reduced by N=3 as
20kHz. Cascading two additional stages would result in a theoretical system gain of 63
yielding a system bandwidth reduced by 33 to 2.2kHz as shown in Figure D.5.
Clock Phase Generation Digital Control Logic
Clock Phase Generation Digital Control Logic
Clock Phase Generation Digital Control Logic
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
0 1 2 3 4 0 1 2 3 4 0 1 2 3 4ClockPhases
MasterClock Frequency
CLK CLK/N CLK/N2
Vin+
Vout_stage1-
BWin=60kHz BW1=20kHzA=6
BW2=6.6kHzA=36
Vout_stage2
BW3=2.2kHzA=216
Vout_stage3+
-
ClockFrequencyReduction
ClockFrequencyReduction
Clock Phase Generation Digital Control Logic
Clock Phase Generation Digital Control Logic
Clock Phase Generation Digital Control Logic
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
Cascaded Switched Capacitor Low Pass Filter/AmplifierN=3, CF=C1/2
0 1 2 3 40 1 2 3 4 0 1 2 3 40 1 2 3 4 0 1 2 3 40 1 2 3 4ClockPhases
MasterClock Frequency
CLK CLK/N CLK/N2
Vin+
Vout_stage1-
BWin=60kHz BW1=20kHzA=6
BW2=6.6kHzA=36
Vout_stage2
BW3=2.2kHzA=216
Vout_stage3+
-
ClockFrequencyReduction
ClockFrequencyReduction
Figure D.5 Cascaded switched capacitor low pass filter/amplifier.
229
D.2 Switched Capacitor Low Pass Filter/Amplifier Summary/Conclusion
MEMS capacitive acceleration sensors intended for use in automotive applications
are typically limited to relatively small output voltage signal bandwidths typically
ranging from 400-800Hz. Switched capacitor techniques to reduce MEMS acceleration
sensor output signal bandwidth, such as Bessel filters [48], are typically implemented.
However, Bessel filters typically provide a gain of unity in the pass band. As a result,
additional gain stage circuits are required with this architecture implementation.
A cascoded switched capacitor amplifier design has been presented to allow for input
signal voltage low pass filtering and amplification in a single gain stage. Cascading
multiple stages of the design allows for large increases in signal amplification in addition
to significantly reduced signal bandwidth. As a result, the switched capacitor low pass
filter/amplifier design presented in this appendix could be used to replace the Bessel filter
and adjacent gain stages as previously described in Chapter 6. Future research will
address this topic.
230
APPENDIX E
STICTION ASSISTED SOI SUBSTRATE ELECTRICAL CONTACT
Large surface area silicon substrate contacts with multiple sacrificial etch hole arrays
were connected to bond pads via electrically conductive folded beam springs in a 20μm
thick SOI structural film. The beam springs are designed to deflect the proof mass to the
substrate using surface tension experienced during de-ionized H2O rinse/evaporation.
Upon contact with the substrate, stiction is used to maintain mechanical and electrical
contact between SOI and substrate silicon layers as shown in 3D microscope Figure E.1.
Single and dual folded beam spring substrate contacts are shown in Figures E.2 and E.3.
BondPad
BondPad
Proof Masses
SpringsBondPad
BondPad
Proof Masses
Springs
Figure E.1 Stiction assisted substrate contact bond pad structures.
231
BondPad
FoldedBeamSpring
ProofMass
BondPad
FoldedBeamSpring
ProofMass
Figure E.2 Dual folded beam spring (left side) stiction assisted substrate contact.
Bond Pad
ProofMass
FoldedBeamSpring
Bond Pad
ProofMass
FoldedBeamSpring
Figure E.3 Single folded beam spring (right side) stiction assisted substrate contact.
232
E.1 Substrate Contact Folded Beam Mechanical Spring Constants
The dual and single folded beam spring displacements in a 1g field directed along the
z-axis were simulated using ANSYS finite element analysis software. The single folded
beam suspension mechanical spring constant (KZ_Single) was calculated using the
maximum proof mass displacement (Δz), and the mass of the substrate contact plate
(msub) as shown in Figure E.4.
Figure E.4 Single folded beam suspension mechanical spring constant simulation.
Similarly, the dual folded beam suspension mechanical spring constant (KZ_Dual) was
calculated using the maximum proof mass displacement (Δz), and the mass of the
substrate contact plate (msub) as shown in Figure E.5.
233
Figure E.5 Dual folded beam suspension mechanical spring constant simulation.
E.2 Substrate Contact Surface Tension Based Displacement Calculation
Evaporation of de-ionized H2O from the wafer surface, after sacrificial oxide etch in
hydrofluoric acid, causes a z-axis directed force upon the underside of the substrate
contact proof mass plate. This z-axis force is a function of the substrate contact plate
perimeter (P) and surface tension (γ=0.071N/m) of H2O assuming a zero contact angle
(φ=0) as described by Eq. E.1.
)cos(φγPFSurf = (E.1)
The perimeter (P=3.1*10-3m) of the contact plate includes the outside perimeter
(LSub=100*10-6m) plus the summation of a 13x13 x-y grid array of sacrificial oxide etch
holes (LSac=4*10-6m) as described by Eq. E.2.
234
)4(13)(4 2SacSub LLP += (E.2)
The surface tension force due to H2O evaporation after sacrificial oxide etch is
calculated as FSurf=220μN by substituting Eq. E.2 into E.1.
The surface tension based displacement of the single folded beam spring substrate
contact (ΔzSingle=40.3μm) is defined by Eq. E.3.
SingleZ
SurfSingle K
Fz
_
=Δ (E.3)
The surface tension based displacement of the dual folded beam spring substrate
contact (ΔzSingle=3.7μm) is defined by Eq. E.4.
DualZ
SurfDual K
Fz
_
=Δ (E.4)
The initial gap defined by the SOI buried oxide (BOX) thickness is 2μm. Therefore,
the surface tension displacements listed above guarantee silicon to silicon contact
between the proof mass plate and substrate by design.
E.3 Stiction Assisted Substrate Contact Electrical Measurements
Electrical measurements of the stiction assisted substrate contacts was performed on
several identical devices using probe needles connected directly to bond pad and silicon
substrate with an HP-34401 digital multi-meter. Electrical resistance measurements,
localized to the contact site, varied from as low as 396Ω to as high as 1242Ω. The large
235
variation in electrical resistance measurements is attributed to a non-planar post stiction
surface contact angle [125] between the electrode and substrate. Future research will
focus on identifying the actual mechanism causing the large electrical resistance variation
observed.
E.4 Stiction Assisted SOI Substrate Contact Summary/Conclusion
A stiction assisted SOI substrate contact has been demonstrated for use in MEMS
device fabrication. Surface tension of H2O during a wet rinse/evaporation process step
was used to deflect the substrate contact plate to the silicon substrate. Stiction is
responsible for maintaining the physical contact of the proof mass plate and substrate
silicon surfaces after all H20 has been evaporated form the surface. Z-axis proof mass
displacement referenced to the silicon substrate was measured on actual devices using a
Zygo 3-D confocal microscope. Released device electrical resistance measurements were
performed using an HP-34401 digital muti-meter. Future work will focus on identifying
the actual mechanism causing the large electrical resistance variation observed.
Additionally, future research will evaluate the use of large amplitude voltage and current
pulses post substrate contact stiction initiation to evaluate the potential of decreasing
electrical resistance using this technique.
236
APPENDIX F
INTEGRATED SILICON ON INUSLATOR (SOI) PROCESS FLOW
The integrated SOI process includes deep reactive ion etch (DRIE) with subsequent
trench refill using low pressure chemical vapor deposition (LPCVD) of conformal films
[63] typically used in the fabrication of CMOS integrated circuits. Multiple trench refill
steps deposit conductive and non-conductive conformal films to provide selective handle
wafer substrate electrical contact and mechanical anchor electrical insulation
respectively.
F.1 Integrated SOI Process Steps
The initial SOI is 20μm thick with a 2μm buried oxide (BOX) and 525μm thick
handle wafer as shown in Figure F.1.
SOI
BOX
Si Substrate 525μm
20μm
2μm
SOI
BOX
Si Substrate 525μm
20μm
2μm
Figure F.1 Standard SOI wafer cross section.
237
1) Deposit Oxide (1.5kA)
Figure F.2 Deposit 1.5kA oxide.
1) Mask 1: Trench1
1) Etch Oxide (Plasma-therm)2) Etch Silicon (STS)3) Etch Oxide (Plasma-therm)
Photo-resist
1) Mask 1: Trench1
1) Etch Oxide (Plasma-therm)2) Etch Silicon (STS)3) Etch Oxide (Plasma-therm)
1) Mask 1: Trench1
1) Etch Oxide (Plasma-therm)2) Etch Silicon (STS)3) Etch Oxide (Plasma-therm)
Photo-resist
Figure F.3 Photolithography and etch of anchor trench.
1) Deposit 1.5kA LPCVD Nitride1) Deposit 1.5kA LPCVD Nitride
Figure F.4 Low pressure chemical vapor deposition (LPCVD) nitride.
238
1) Etch nitride (Plasma-therm)2) Etch Oxide (Plasma-therm)3) Etch Silicon (STS)4) Etch Oxide (Plasma-therm)
Photo-resist
Mask 2: Substrate_Contact1) Etch nitride (Plasma-therm)2) Etch Oxide (Plasma-therm)3) Etch Silicon (STS)4) Etch Oxide (Plasma-therm)
Photo-resist
Mask 2: Substrate_Contact
Figure F.5 Photolithography and etch substrate contact trench.
1) Deposit LPCVD Polysilicon (2μm)1) Deposit LPCVD Polysilicon (2μm)
Figure F.6 Low pressure chemical vapor deposition (LPCVD) polysilicon.
1) Etch-Back Polysilicon (STS or Plasma-therm)1) Etch-Back Polysilicon (STS or Plasma-therm)
Figure F.7 Polysilicon etch-back.
239
1) Etch Nitride (Plasma-therm)2) Etch Oxide (Plasma-therm)1) Etch Nitride (Plasma-therm)2) Etch Oxide (Plasma-therm)
Figure F.8 Nitride and oxide etch-back.
1) Mask 3: Metal (lift-off)
Photo-resist Metal
1) Mask 3: Metal (lift-off)
Photo-resist Metal
Figure F.9 Metal evaporation and lift-off.
Strip Photo-resist (lift-off)
Bond Pad Metal
Strip Photo-resist (lift-off)
Bond Pad Metal
Figure F.10 Metal lift-off photo-resist strip.
240
1) Mask 4: Outline (STS etch)
Photo-resist
1) Mask 4: Outline (STS etch)
Photo-resist
Figure F.11 Sensor outline DRIE etch mask.
1) BOX Sac-Etch (Wet HF Acid) MEMS Device Release
Substrate ElectricalContact(Polysilicon)
BondPad
Electrically IsolatedMechanical Anchor
1) BOX Sac-Etch (Wet HF Acid) MEMS Device Release1) BOX Sac-Etch (Wet HF Acid) MEMS Device Release
Substrate ElectricalContact(Polysilicon)
BondPad
Electrically IsolatedMechanical Anchor
Figure F.12 Sacrificial buried oxide (BOX) etch.
F.2 Integrated SOI Process Summary
The integrated SOI process flow enabled the fabrication of MEMS devices with
mechanical buried oxide anchors protected by silicon nitride and polysilicon films during
sacrificial oxide etch. An electrical substrate contact is realized using auto-doped
polysilicon to allow the potential of the conductive silicon handle wafer to be
manipulated during sensor operation. MEMS devices fabricated using this process are
described/shown in chapter 5 and chapter 7 respectively.
241
BIBLIOGRAPHY
[1] L. J. Ristic, R. Gutteridge, B. Dunn, D. Mietus, and P. Bennet, "Surface Micromachined Polysilicon Accelerometer," presented at IEEE Solid State Sensors and Actuators Workshop, Hilton Head, pp. 118-121, 1992.
[2] S. J. Sherman, W. K. Tsang, T. A. Core, R. S. Payne, D. E. Quinn, K. H. Chau, J. Farash, and S. Baum, "A Low Cost Monolithic Accelerometer; Product Technology Update," IEEE Electron Devices, pp. 501-504, 1992.
[3] L. Spangler and C. J. Kemp, "ISAAC - Integrated Silicon Automotive Accelerometer," presented at IEEE Transducers, pp. 585-588, 1995.
[4] D. R. Sparks, S. R. Zarabaldi, J. D. Johnson, Q. Jiang, M. Chia, O. Larsen, W. Higdon, and P. Borelley-Castillo, "A CMOS Integrated Surface Micromachined Angular Rate Sensor: It's Automotive Applications," presented at IEEE Transducers, pp. 851-854, 1997.
[5] M. Lutz, W. Golderer, J. Gerstenmeir, J. Marek, B. Malhofer, and D. Schubert, "A Precision Yaw Rate Sensor in Silicon Micromachining," Society of Automotove Engineers (SAE), vol. # 980267, 1998.
[6] D. D. Hoffman and M. D. Rizzo, "Chevrolet C5 Corvette Vehicle Dynamic Control System," Society of Automotive Engineers, vol. #980233, 1998.
[7] J. Yukawa, T. Nozoe, H. Ohgoshi, M. Murakami, T. Uemura, T. Nagaki, and Y. Ishai, "Angular Rate Sensor for Dynamic Chassis Control," Society of Automotive Engineers (SAE), vol. # 980269, 1998.
[8] J. Geen, "A Path to Low Cost Gyroscopy," presented at IEEE Solid State Sensors and Actuators Workshop, Hilton Head, pp. 51-54, 1998.
[9] D. B. Davies, "Analysis of Active Compensation for Shock, Vibration, and Wind-up of Disk Drives," in Masters Thesis, Massachusetts Institute of Technology, 1991.
[10] T. J. Brosnihan, A. P. Pisano, and R. T. Howe, "Micromachined Angular Accelerometer with Force Feedback," presented at ASME Conference and Expo, pp. 941-947, 1995.
[11] A. Gola, N. Bagnalasta, P. Bendiscioli, S. D. E. Chiesa, E. Lasalandra, F. Pasolini, M. Tronconi, T. Ungaretti, and A.Baschirotto, "A MEMS-based Rotational Accelerometer for HDD Applications with 2.5rad/sec2 Resolution and Digital Output," presented at European Solid State Circuits Conference (ESSCIRC2001), pp. 336-339, 2001.
242
[12] J. Mizuno, K. Nottmeyer, Y. Kanai, O. Berberig, T. Kobayashi, and M. Esashi, "A Silicon Bulk Micromachined Crash Detection Sensor with Simultaneous Angular and Linear Sensitivity," presented at IEEE Transducers, pp. 1302-1305, 1999.
[13] L. J. Ristic, "Sensor Technology and Devices." Norwood, MA: Artech House Inc., 1994, pp. 402.
[14] M. Madou, "Fundamentals of Microfabrication," in CRC Press, vol. 1st Edition, 1997, pp. 464-465.
[15] P. L. Chen, R. S. Mueller, and A. P. Andrews, "Integrated Silicon Pi-FET Accelerometers with Proof Mass," Sensors and Actuators, vol. 5. no. 2, pp. 119-126, 1984.
[16] D. L. DeVoe and A. P. Pisano, "A Fully Surface Micromachined Piezoelectric Accelerometer," Solid State Sensors and Actuators, vol. 2, pp. 1205-1208, 1997.
[17] L. M. Roylance and J. B. Angell, "A Batch-Fabricated Silcon Accelerometer," IEEE Electron Devices, vol. ED-26, no. 12, pp. 1911-1917, 1979.
[18] W. Riethmuller, W. Benecke, U. Schnakneberg, and B. Wagner, "Development of Commercial CMOS Process-Based Technologies fro the Fabrication of Smart Accelerometers," presented at Solid State Sensors and Actuators, pp. 416-419, 1991.
[19] H. Seidel, U. Freitsch, R. Gottinger, J. Schalk, J. Walter, and K. Ambaum, "A Piezoresistive Silicon Accelerometer with Monolithically Integrated CMOS-Circuitry," Solid State Sensors and Actuators, vol. 1, pp. 597-600, 1995.
[20] H. Rockstad, T. W. Kenny, J. K. Reynolds, W. J. Kaiser, and T. B. Gabrielson, "A Miniature High Sensitivity Broad Band Accelerometer Based on Electron Tunneling Transducers," presented at IEEE Transducers, pp. 836-839, 1993.
[21] T. W. Kenny, W. J. Kaiser, H. K. Rockstad, J. K. Reynolds, J. A. Podosek, and E. C. Vote, "Wide Bandwidth Electromechanical Actuators for Tunneling Displacement Transducers," Journal of MicroElectromechanical Systems, vol. 3, pp. 97-104, 1994.
[22] J. Wang, B. McClelland, P. M. Zavracky, F. Hartley, and B. Dolgin, "Design, Fabrication and Measurement of a Tunneling Tip Accelerometer," presented at IEEE Solid State Sensors and Actuators Workshop, Hilton Head, pp. 68-71, 1996.
[23] J. Grade, A. Barzilai, J. K. Reynolds, C. H. Liu, A. Partridge, T. W. Kenny, T. R. VanZandt, L. M. Miller, and J. A. Podesek, "Progress in Tunnel Sensors," presented at Solid State Sensors and Actuators, Hilton Head, pp. 72-75, 1996.
243
[24] P. R. Scheeper, J. K. Reynolds, and T. W. Kenny, "Development of a Modal Analysis Accelerometer Based on a Tunneling Displacement Transducer," presented at IEEE Transducers, pp. 867-870, 1997.
[25] C. Yeh and K. Najafi, "Micromachined Tunneling Accelerometer with a Low-Voltage CMOS Interface Circuit," presented at IEEE Transducers, pp. 1213-1216, 1997.
[26] A. M. Leung, J. Jones, E. Czyzewska, J. Chen, and B. Woods, "Micromachined Accelerometer Based on Convection Heat Transfer," presented at IEEE MEMS98, pp. 627-630, 1998.
[27] W. P. Mason, Piezoelectric Crystals and Their Applications to Ultrasonics. New York, NY: Van Nostrand, 1950.
[28] T. Ikeda, "Fundamentals of Piezoelectricity." New York, NY: Oxford Univeristy Press, 1990.
[29] G. T. A. Kovacs, "Micromachined Transducers Sourcebook." New York, NY: McGraw-Hill, 1998, pp. 216-217.
[30] W. G. Cady, Piezoelectricity, 2nd ed. New York, NY: McGraw-Hill, 1964.
[31] C. Ye, P. Baude, and D. L. Polla, "Critical Phenomena and Phase Transition in SOL-GEL Derived Ferroelectric PLZT Thin Films," presented at Proceedings of the 8th International Meeting on Ferroelectricity, pp. 347-352, 1993.
[32] P. Muralt, A. Kholkin, M. Kohli, T. Meader, K. G. Brooks, and R. Luthier, "Fabrication and Characterization of PZT Thin Films for Micromotors," presented at Seventh International Symposium on Integrated Ferroelectrics, pp. 213-220, 1995.
[33] D. F. Bahr, J. C. Merlino, P. Banerjee, C. M. Yip, and A. Bandyopadhyay, "Reliability and Properties of PZT Thin Films for MEMS Applications," Materials Research Society Symposium - Proceedings, vol. 546, pp. 153-158, 1999.
[34] C. F. Knowllenberg, T. D. Sands, A. S. Nikles, and R. M. White, "Issues in the Flexible Integration of Sputter-Deposited PZT Thin Films with Polysilicon and Ti/Pt Electrode Layers for use as Sensors and Actuators in Microelectromechanical Systems (MEMS)," presented at Materials Science of MEMS Devices Symposium, pp. 351-356, 2001.
[35] P. W. Bridgman, "The Effect of Homogenous Mechanical Stress on the Electrical Resistance of Materials," Phys. Rev., vol. 42, pp. 858-863, 1932.
[36] C. Herring, "Transport Properties of a Many Valley Semiconductor," J. Bell System Tech., vol. 34, pp. 237-290, 1955.
244
[37] C. S. Smith, "Piezoresistance Effect in Germanium and Silicon," Phys. Rev., vol. 94, pp. 22-29, 1954.
[38] R. W. Keyes, "The Effects of Elastic Deformation on the Electrical Conductivity of Semiconductors," Solid State Phys., vol. 11, pp. 149-221, 1960.
[39] F. T. Geyling and J. J. Frost, "Semiconductor Strain Transducers," Bell Systems Tech., vol. 39, pp. 705-731, 1960.
[40] W. P. Mason and R. N. Thurston, "Use of Piezoresistive Materials in the Measurement and Displacement of Force and Torque," Phys. Rev., vol. 105, pp. 525-539, 1957.
[41] W. G. Pfann and R. N. Thurston, "Semiconducting Stress Trabsducers Utilizing the Transverse and Shear Piezoresistance Effects," Appl. Phys., vol. 32, pp. 2008-2019, 1961.
[42] O. N. Tufte, P. W. Chapman, and D. Long, "Silicon Diffused-element Piezoresistive Diaphragms," Appl. Phys., vol. 33, pp. 3322-3327, 1962.
[43] H. Sandmaier, K. Kuhl, and E. Obermeier, "A Silicon Based Micromechanical Accelerometer with Cross Acceleration Sensitivity Compensation," presented at IEEE Transducers, 399-402, 1987.
[44] O. N. Tufte and D. Long, "Recent Developments in Semiconductor Piezoresistive Devices," Solid State Electronics, vol. 6, pp. 323-338, 1963.
[45] V. A. Gridchin, V. M. Lubinsky, and M. P. Sarina, "Piezoresistive Properties of Polysilicon Films," Sensors and Actuators, vol. A49, pp. 67-72, 1995.
[46] D. W. Burns, "Micromechanics of Integrated Sensors and the Planar Processed Pressure Transducer." Madison: University of Wisconsin, PhD Thesis, 1988.
[47] S. K. Clark and K. D. Wise, "Pressure Sensitivity in Anisotropically Etched Thin-Diaphragm Pressure Sensors," IEEE Electron Devices, vol. ED-26, pp. 1887-1896, 1979.
[48] Motorola, Sensor Device Data/Handbook, 4th ed. Phoenix, AZ: Motorola Inc., 1998.
[49] G. Bitko, A. McNeil, and R. Frank, "Improving the MEMS Pressure Sensor," in Sensors Magazine, 2000, pp. 62-67.
[50] C. H. Liu, A. M. Barzilai, J. K. Reynolds, A. Partridge, T. W. Kenny, J. D. Grade, and H. K. Rockstad, "Characterization of a High Sensitivity Micromachined Tunneling Accelerometer," Journal of MicroElectromechanical Systems, vol. 7, pp. 235-244, 1998.
245
[51] C. H. Liu, H. K. Rockstad, and T. W. Kenny, "Robust Controller Design via μ-Synthesis for High Performance Micromachined Tunneling Accelerometers," presented at American Control Conference, pp. 247-252, 1999.
[52] C. H. Liu and T. W. Kenny, "A High Precision Wide Bandwidth Micromachined Tunneling Accelerometer," Journal of MicroElectromechanical Systems, vol. 10, pp. 425-433, 2001.
[53] S. D. Senturia, "Microsystem Design." Norwell, MA: Kluwer Academic Publishers, 2000, pp. 529-530.
[54] R. Dao, "Thermal Accelerometers Frequency Compensation," vol. AN-00MX-003. North Andover, MA: MEMSIC Inc., 2002, pp. 1.
[55] S. M. Sze, "Semiconductor Sensors." New York, NY: John Wiley and Sons, 1994, pp. 191-193.
[56] L. K. Baxter, "Capacitive Sensors: Desings and Applications." Piscataway, NJ: IEEE Press, 1997, pp. 37-47.
[57] R. S. Cobbold, "Transducers for Biomedical Applications." New York, NY: John Wiley and Sons, 1974, pp. 23-36.
[58] G. T. A. Kovacs, "Micromachined Transducers Sourcebook." New York, NY: McGraw-Hill, 1998, pp. 219-221.
[59] F. R. Rudolf, "A Micromechanical Capacitive Accelerometer with a Two Point Inertial-mass Suspension," Sensors and Actuators, vol. 4, pp. 191-198, 1982.
[60] K. E. Petersen, A. Shartel, and N. F. Raley, "Micromechanical Accelerometer Integrated with MOS Detection Circuitry," IEEE Electron Devices, vol. ED-29, pp. 23-26, 1982.
[61] H. Seidel, "Capacitive Silicon Accelerometer with Highly Symmetric Design," Sensors and Actuators, vol. A21, pp. 312-315, 1990.
[62] W. C. Tang, C. T. Nguyen, M. W. Judy, and R. T. Howe, "Electrostatic Comb Drive of Lateral Polysilicon Resonators," Sensors and Actuators, vol. A21, pp. 328-331, 1990.
[63] A. Selvakumar and K. Najafi, "High Density Vertical Comb Array Microactuators Fabricated Using a Novel Bulk/Polysilicon Trench Refill Technology," presented at Solid State Sensors and Actuators, Hilton Head, pp. 138-141, 1994.
[64] G. J. O'Brien, D. J. Monk, and K. Najafi, "Angular Accelerometer with Dual Ancor Support," presented at Solid State Sensors and Actuators, Transducers03, pp. 1371-1374, 2003.
246
[65] A. Lawrence, "Modern Inertial Technology Guidance and Control." New York, NY: Springer-Verlag, 1993, pp. 84-181.
[66] T. Kumagai, "Development of Optical Fiber Gyroscopes for Industrial Use," Hitiachi Cable Review, vol. 9, pp. 43-48, 1990.
[67] J. S. Burdess and T. Wren, "The Theory of a Piezoelectric gyroscope," IEEE Transactions on Aerospace and Electronic Systems, vol. AES-23, pp. 410-418, 1986.
[68] M. W. Putty and K. Najafi, "A Micromachined Vibrating Ring Gyroscope," presented at Solid State Sensors and Actuators, Hilton Head, pp. 213-217, 1994.
[69] A. Ayazi and K. Najafi, "Design and Fabrication of a High Performance Polysilicon Ring Gyroscope," presented at IEEE MEMS98, pp. 621-626, 1998.
[70] W. D. Gates, "Vibrating Angular Rate Sensor May Threaten the Gyroscope," Electronics, vol. 10, pp. 130-134, 1968.
[71] H. Sato, T. Fukada, F. Arai, and K. Itogawa, "Parallel Beam Gyroscope," presented at Sensors and Actuators, Transducers99, pp. 1586-1589, 1999.
[72] K. Maenaka and T. Shiozawa, "A Study of Silicon Angular Rate Sensors using Anisotropic Etching Technology," Sensors and Actuators A (Physical), vol. A43, pp. 72-77, 1994.
[73] P. Greiff, B. Boxenhorn, T. King, and L. Niles, "Silicon Monolithic Gyroscope," presented at Solid State Sensors and Actuators, Transducers91, pp. 966-968, 1991.
[74] S. Fujishima, T. Nakamura, and K. Fujimoto, "Piezoelectric Vibratory Gyroscope using Flexural Vibration of a Triangular Bar," presented at 45th Annual Symposium on Frequency Control, pp. 261-265, 1991.
[75] J. Bernstein, S. Cho, A. T. King, A. Kourepenis, P. Maciel, and W. Weinberg, "A Micromachined Comb-Drive Tuning Fork Rate Gyroscope," presented at IEEE MEMS93, pp. 143-148, 1993.
[76] W. A. Clark and R. T. Howe, "Surface Micromachined Z-axis Vibratory Rate Gyroscope," presented at Solid State Sensors and Actuators, Hilton Head, pp. 283-287, 1996.
[77] M. Lutz, W. Golderer, J. Gerstenmeir, J. Marek, B. Malhofer, and D. Shubert, "A Precision Yaw Rate Sensor in Silicon Micromachining," presented at Solid State Sensors and Actuators, Transducers97, pp. 847-850, 1997.
247
[78] T. Juneau, A. Pisano, and J. H. Smith, "Dual Axis Operation of a Micromachined Rate Gyroscope," presented at Solid State Sensors and Actuators,Transducers97, pp. 883-886, 1997.
[79] W. Geiger, B. Folkmer, J. Merz, H. Sandmeir, and W. Lang, "A New Silicon Rate Gyroscope," presented at IEEE MEMS98, pp. 615-620, 1998.
[80] T. K. Tang, R. C. Guiterrez, J. Z. Wilcox, C. Stell, V. Vorperian, R. Calvet, W. J. Li, I. Charkaborty, R. Bartman, and W. J. Kaiser, "Silicon Bulk Micromachined Vibratory Gyroscope," Solid State Sensors and Actuators, Hilton Head, pp. 288-293, 1996.
[81] T. Brosnihan, J. Bustillo, and A. Pisano, "Embedded Interconnectand Electrical Isolation for High Aspect Ratio SOI Inertial Measurements," presented at Solid State Sensors and Actuators, Transducers97, pp. 637-640, 1997.
[82] G. J. O'Brien and D. J. Monk, "SOI Selective Electrical Isolation and Contact Method and Process," in US Patent, Pat. Pending, 2001.
[83] G. J. O'Brien, D. J. Monk, and K. Najafi, "Dual Anchor Angular Rate Sensor (Gyroscope)," presented at IEEE Solid State Sensors and Actuators, Hilton Head, pp. 285-288, 2002.
[84] L. Foucault, "Sur Une Nouvelle Demonstration Experimentalle Mouvement de la Terre Fondee sur la Fixete du Plan de Rotation," C. R. Acad. Sci, vol. 35, 1852.
[85] N. Yazdi, F. Ayazi, and K. Najafi, "Micromachined Inertial Sensors," Proceedings of the IEEE, vol. 86, no. 8, pp. 1640-1659, 1998.
[86] A. D. Aczel, "Pendulum: Leon Foucault and the Triumph of Science." New York, NY: Simon and Schuster, 2003, pp. 5-11.
[87] J. P. Hartog, Mechanics. New York City, NY: Dover Publications, 1948.
[88] J. C. Maxwell and J. Larmor, "Matter and Motion." Mineola, NY: Dover Inc., 1920 (reprint 1991), pp. 97-104.
[89] M. W. Putty, "A Micromachined Vibrating Ring Gyroscope," in Dept. of Electrical Engineering and Computer Science. Ann Arbor, MI: University of Michigan, 1995.
[90] G. S. Division, "WGS 84 EGM96 Earth Gravity Model," National Imagery and Mapping Agency, NASA/Goddard Space Flight Center 1997.
[91] F. W. Sears, M. W. Zemansky, and H. D. Young, "University Physics, 7th Ed.," Addison-Wesley, Reading, MA, 1987, pp. 276-277.
248
[92] S. Timoshenko, D. H. Young, and W. Weaver, "Vibration Problems in Engineering." New York, NY: John Wiley and Sons, 1974, pp. 279-362.
[93] R. A. Becker, "Introduction to Theoretical Mechanics." New York, NY: McGraw-Hill, 1954, pp. 256-258.
[94] W. E. Newell, "Miniaturization of Tuning Forks," Science, vol. 161, pp. 1320-1326, 1968.
[95] G. T. A. Kovacs, "Micromachined Transducers Sourcebook." New York, NY: McGraw-Hill, 1998, pp. 311-312.
[96] E. J. Loper and D. D. Lynch, "Hemispherical Resonator Gyro: Status Report and Test Results," presented at National Techical Meeting of the Institute of Navigation, pp. 103-107, 1984.
[97] F. Rudolf, A. Jornod, and P. Bencze, "Silicon Microaccelerometer," presented at IEEE Solid State Sensors and Actuators, Transducers87, pp. 395-398, 1987.
[98] C. Shearwood, K. Y. Ho, and H. Q. Gong, "Testing of a Micro-Rotating Gyroscope," presented at IEEE Transducers99, pp. 984-987, 1999.
[99] K. Maenaka, T. Fujita, K. Y, and M. Maeda, "Analysis of a Highly Sensitive Silicon Gyroscope with Cantilever Beam as Vibrating Mass," Sensors and Actuators A (Physical), vol. 54, pp. 568-573, 1996.
[100] I. Pappas, T. Keller, and M. Popovic, "Experimental Evaluation of the Gyroscope Sensor Used in a New Gait Phase Detection System," presented at 4th Annual Conference of the International Functional Electrical Stimulation Society, IFESS99, pp. 96-101, 1999.
[101] G. C. Newton, "Theory and Practice in Vibratory Rate Gyroscopes," Control Engineering, pp. 95-99, 1963.
[102] S. D. Orlosky and H. D. Morris, "Quartz Rotation Rate Sensor," presented at Sensors Expo, Cleveland, OH, pp. 171-177, 1994.
[103] J. Soderkvist, "Design of a Solid State Gyroscopic Sensor Made of Quartz," Sensors and Actuators, vol. A21, pp. 293-296, 1990.
[104] A. Lawrence, "Modern Inertial Technology Navigation Guidance and Control, 2nd Edition." New York, NY: Springer-Verlag, 1998, pp. 153-155.
[105] R. Voss, K. Bauer, W. Ficker, T. Gleissner, W. Kupke, M. Rose, S. Sassen, J. Schalk, H. Seidel, and E. Stenzel, "Silicon Angular Rate Sensor for Automotive Applications with Piezoelectric Drive and Piezoresistive Read-Out," presented at Solid State Sensors and Actuators, Transducers97, pp. 879-882, 1997.
249
[106] C. P. Fell, "Method For Matching Vibrating Frequencies on a Vibrating Mode Structure," in U.S. Patent Number 5,739,410: British Aerospace PLC, 1998.
[107] S. Bhave, J. I. Seeger, X. Jiang, B. E. Boser, R. T. Howe, and J. Yasaitis, "An Integrated, Vertical Drive, In-Plane-Sense Microgyroscope," presented at Solid State Sensors and Actuators, Transducers03, pp. 171-174, 2003.
[108] M. Weinberg, J. Bernstein, J. Borenstein, J. Campbell, J. Cousens, B. Cunningham, R. Fields, P. Greiff, B. Hugh, L. Niles, and J. Sohn, "Micromachining Intertial Instruments," Proceedings of the SPIE, vol. 2879, pp. 26-26, 1996.
[109] Y. Gianchandani and K. Najafi, "A Bulk Silicon Dissolved Wafer Process for Microelectromechanical Devices," Journal of MicroElectromechanical Systems, vol. 1, pp. 77-85, 1992.
[110] T. K. Tang, R. C. Gutierrez, J. Z. Wilcox, C. Stell, V. Vorporian, R. Calvet, W. J. Li, I. Charkaborty, R. Bartman, and W. J. Kaiser, "Silicon Bulk Micromachined Gyroscope," presented at Solid State Sensors and Actuators Workshop, Hilton Head96, pp. 288-293, 1996.
[111] T. K. Tang, R. C. Gutierrez, C. B. Stell, V. Vorporian, G. A. Arakaki, J. T. Rice, W. J. li, I. Chakraborty, K. Scheglov, J. Z. Wilcox, and W. J. Kaiser, "A Packaged Silicon MEMS Vibratory Gyroscope for Microspacecraft," presented at IEEE Microelectromechanical Workshop, MEMS97, pp. 500-505, 1997.
[112] G. I. Andersson, N. Hedenstierna, P. Svenson, and H. Pettersson, "A Novel Silicon Bulk Gyroscope," presented at Solid State Sensors and Actuators, Transducers99, pp. 902-905, 1999.
[113] C. H. Mastrangelo and W. C. Tang, "Semiconductor Sensors," S. M. Sze, Ed. New York, NY: John Wiley and Sons, Inc., 1994, pp. 38-42.
[114] M. Illing, "Micromachining Foundry Design Rules, Version 1.0," Bosch Mikroelektronik 1997.
[115] D. D. Lynch, "Hemispherical Resonator Gyro," IEEE Transactions on Aerospace and Electronics Systems, vol. AES-20, pp. 414-444, 1984.
[116] J. S. Burdess, "The Dynamics of a Thin Piezoelectric Cylinder Gyroscope," Institute of Mechanical Engineers, vol. 200, no. C4, pp. 271-280, 1986.
[117] G. H. Bryan, "On the Beats in the Vibrations of a Revolving Cylinder or Bell," Proc. Camb. Phil. Soc., vol. VII, no. 24, pp. 101-111, 1890.
[118] N. Maluf, "An Introduction to Microelectromechanical Systems Engineering." Norwood, MA: Artech-House, 2000, pp. 126-128.
250
[119] A. Lawrence, "Modern Inertial Technology Navigation Guidance and Control, 2nd Edition." New York, NY: Springer-Verlag, 1998, pp. 31-32.
[120] A. Lawrence, "Modern Inertial Technology Navigation Guidance and Control, 2nd Ed.." New York, NY: Springer-Verlag, 1998, pp. 148-162.
[121] J. Geen and D. Krakauer, "New iMEMS Angular Rate Sensing Gyroscope," Analog Devices Inc., Analog Dialogue 37-03, 2003.
[122] W. C. Albert, "Vibrating Beam Accelerometer," IEEE Transactions on Aerospace and Electronics Systems, vol. AES-20, pp. 414-444, 1984.
[123] P. E. Allen and D. R. Holberg, "CMOS Analog Circuit Design." Orlando, FL: Harcourt Brace Jovanovich Inc., 1987, pp. 208-211.
[124] G. J. O'Brien, D. J. Monk, and L. Lin, "MEMS Cantilever Beam Electrostatic Pull-in Model," presented at SPIE MEMS, pp. 31-41, 2001.
[125] C. H. Mastrangelo and C. H. Hsu, "Mechanical Stability and Adhesion of Microstructures Under Capillary Forces -Part 1: Basic Theory," Journal of MicroElectromechanical Systems, vol. 2, pp. 121-129, 1993.
[126] R. J. Roark and W. C. Young, "Roark's Formulas for Stress and Strain, 6th Ed.," McGraw-Hill, 1989, pp. 345-349.
[127] Y. H. Cho, A. P. Pisano, and R. T. Howe, "Viscous Damping Model for Laterally Oscillating Microstructures," Journal of MicroElectromechanical Systems, vol. 3, pp. 81-86, 1994.
[128] W. Tang, C. T. Nguyen, and R. T. Howe, "Laterally Driven Polysilicon Resonant Microstructures," presented at IEEE Microelectromechanical Systems, pp. 53-59, 1989.
[129] D. J. Koch, J. H. Hammond, D. N. Koury, and J. F. Gorrell, "Method of Manufacturing a Sensor," in U. S. Patent 6,228,275, 2001.
[130] R. Gutteridge and L. J. Ristic, "Micromachined Capacitor Structure and Method for Making," in U. S. Patent 5,181,156, 1993.
[131] J. M. Noworolski and M. Judy, "VHARM: Sub-micrometer Electrostatic MEMS," presented at Solid State Sensors and Actuators, Transducers99, pp. 1482-1485, 1999.
[132] S. L. Miller, G. LaVigne, M. S. Rodgers, J. J. Sniegowski, J. P. Walters, and P. J. McWhorter, "Routes to Failure in Rotating MEMS Devices Experiencing Sliding Friction," presented at SPIE Micromachined Devices and Components, pp. 24-30, 1997.
251
[133] G. T. A. Kovacs, "Micromachined Transducers Sourcebook." New York, NY, 1998, pp. 193-194.
[134] T. Yi and C. J. Kim, "Microscale Material Testing:Etchant Effect on the Tensile Strength," presented at Solid State Sensors and Actuators, Transducers99, pp. 518-521, 1999.
[135] J. A. Connally and S. B. Brown, "Slow Crack Growth in Single Crystal SiIicon," Science, vol. 256, no. 5063, pp. 1537-1539, 1992.
[136] J. A. Connally and S. B. Brown, "Micromechanical Fatigue Testing," presented at Solid State Sensors and Actuators, Transducers91, pp. 953-956, 1991.
[137] S. B. Brown, G. Povrik, and J. Connally, "Measurement of Slow Crack Growth in Silicon and Nickel Micromechanical Devices," presented at IEEE Micro Electro Mehanical Workshop, MEMS93, pp. 99-104, 1993.
[138] S. B. Brown, W. V. Arsdell, and L. Muhlstein, "Materials Reliabilty in MEMS Devices," presented at Solid State Sensors and Actuators, Transducers97, pp. 591-593, 1997.
[139] M. Offenberg, F. Larmer, B. Elsner, H. Munzel, and W. Riethmuller, "Novel Process for an Integrated Acelerometer," presented at Solid State Sensors and Actuators, Transducers95, pp. 24-29, 1995.
[140] T. W. Kim, B. Gogoi, K. G. Goldman, A. C. McNeil, N. J. Rivette, S. E. Garling, and D. J. Koch, "Substrate and Annealing Influence on the Residual Stress of Polysilicon," presented at Solid State Sensors and Actuators, Hilton Head, pp. 237-240, 1998.
[141] Y. H. Chen, T. D. Kudrle, and N. C. Tien, "Characteristics of 12micron Thick Polysilicon of an Optically Sensed Accelerometer," presented at ASME Microelectromechanical Systems, pp. 325-331, 1999.
[142] H. Funabashi, T. Tsuchiya, Y. Kageyama, and J. Sakata, "Fabrication Technology of Three Layer Polysilicon Microstructures without CMP for Gyroscope," presented at Solid State Sensors and Actuators, Transducers99, pp. 336-339, 1999.
[143] W. Tang, M. G. Lim, and R. T. Howe, "Electrostatic Comb Drive Levitation and Control Method," Journal of MicroElectromechanical Systems, vol. 1, No. 4, pp. 221-226, 1992.
[144] T. B. Gabrielson, "Mechanical Thermal Noise in Micro- machined Acoustic and Vibration Sensors," IEEE Transactions on Electron Devices, vol. 40, pp. 903-909, 1993.
252
[145] F. W. Sears, M. W. Zemansky, and H. D. Young, University Physics, 7th Ed. Reading, MA: Addison-Wesley, 1987.
[146] G. T. Mulhern, D. S. Soane, and R. T. Howe, "Supercritical Carbon Dioxide Drying of Microstructures," presented at Sensors and Actuators, Transducers93, pp. 296-299, 1993.
[147] S. A. Campbell and H. J. Lewerenz, Semiconductor Micromachining, vol. 2. New York, NY: John Wiley & Sons, 1998.
[148] S. Wolf and R. N. Tauber, Silicon Processing for the VLSI Era, vol. 1, 2 ed. Sunset Beach, CA: Lattice Press, 2000.
[149] G. He and K. Najafi, "A Single-Crystal Silicon Vibrating Ring Gyroscope," presented at IEEE MEMS02, pp. 651-655, 2002.
[150] S. Wolf, Silicon Processing for the VLSI Era, The Submicron Mosfet, vol. 3. Sunset Beach, CA: Lattice Press, 1995.
[151] N. Maluf, An Introduction to Microelectromechanical Systems Engineering. Norwood, MA: Artech House, Inc., 2000.
[152] G. J. O'Brien, D. J. Monk, and L. Lin, "A Stiction Study via Capacitance-Voltage Plot Electrostatic Actuation/Latching," presented at ASME MEMS, pp.275-280, 1999.
[153] P. R. Gray and R. G. Meyer, "Analysis and Design of Analog Integrated Circuits," 3rd ed. New York, NY: J. Wiley and Sons, Inc., 1993, pp. 417-420.
[154] F. G. Stremler, Introduction to Communication Systems, 3rd ed. Reading, MA: Addison-Wesley, 1990.
[155] R. T. Howe and R. S. Mueller, "Integrated Resonant-Microbridge Vapor Sensor," IEEE Electron Devices, vol. 84CH2099-0, pp. 213-216, 1984.
[156] T. A. Lober and R. T. Howe, "Surface Micromachining for Electrostatic Microactuator Fabrication," presented at Solid State Sensors and Actuators Workshop, Hilton Head, pp. 59-62, 1988.
[157] M. W. Putty, S. Chang, R. T. Howe, A. L. Robinson, and K. D. Wise, "Process Integration for Active Polysilicon Resonant Structures," Sensors and Actuators, vol. 20, pp. 143-147, 1989.
[158] L. S. Fan and R. S. Mueller, "As Deposited Low Strain LPCVD Polysilicon," presented at Sensors and Actuators Workshop, Hilton Head, pp. 55-58, 1988.
253
[159] H. Guckel, T. Randazzo, and D. W. Burns, "A Simple Technique for the Determination of Mechanical Strain in Thin Films With Applications to Polysilicon," J. of Applied Physics, vol. 57, pp. 1671-1675, 1985.
[160] K. Petersen, "Silicon as a Mechanical Material," Proceedings of the IEEE, vol. 70, pp. 420-457, 1982.
[161] J. W. Weigold, W. H. Juan, S. W. Pang, and J. T. Borenstein, "Characterization of Bending in Single Crystal Silicon Beams and Resonators," J. Vacuum Science Technology, vol. 17, pp. 1336-1340, 1999.
[162] J. W. Weigold, K. Najafi, and S. W. Pang, "Design and Fabrication of Submicrometer Single Crystal Accelerometer," Journal of MicroElectromechanical Systems, vol. 10, pp. 518-524, 2000.
[163] D. J. Monk, D. S. Soane, and R. T. Howe, "Hydrofluoric Acid Etching of Silicon Dioxide Sacrificial Layers. Part I. Experimental Observations," J. Electrochemical Society, vol. 141, pp. 264-269, 1994.
[164] D. J. Monk, D. S. Soane, and R. T. Howe, "Hydrofluoric Acid Etching of Silicon Dioxide Sacrificial Layers. Part II. Experimental Observations," J. Electrochemical Society, vol. 141, pp. 270-274, 1994.
[165] M. J. Madou, "Fubdamentals of Microfabricatrion," 2nd ed. New York, NY: CRC Press, 2001, pp. 18-19.
[166] L. J. Risic, "Sensor Technology and Devices." Norwood, MA: Artech House, Inc., 1994, pp. 208-215.
[167] R. S. Muller and T. I. Kamins, in Device Electronics for Integrated Circuits. New York, NY: J. Wiley and Sons, 1986, pp. 31.
[168] H. C. Nathanson, W. E. Newell, R. A. Wickstrom, and J. R. Davis, "The Resonant Gate Transistor," IEEE Electron Devices, vol. ED-14, no. 3, pp. 117-133, 1967.
[169] K. E. Petersen, "Dynamic Micromechanics on Silicon: Techniques and Devices," IEEE Transactions on Electron Devices, vol. ED-25, pp. 1241-1250, 1978.
[170] C. H. Mastrangelo and R. S. Muller, "Fabrication and Performance of a Fully Integrated μ-Pirani Pressure Gauge with Digital Readout," presented at IEEE Transducers91, pp. 245-248, 1991.
[171] R. K. Gupta and S. Senturia, "Pull-In Dynamics as a Measure of Absolute Pressure," presented at IEEE MEMS97, pp. 290-294, 1997.
[172] K. Wang and C. T. Nguyen, "High Order Micromechanical Filters," presented at IEEE MEMS97, pp. 25-30, 1997.
254
[173] C. T. Nguyen, "High-Q Micromechanical Oscillators and Filters for Communications," presented at IEEE International Symposium on Circuits and Systems, pp. 2825-2828, 1997.
[174] K. E. Petersen, "Micromechanical Membrane Switches on Silicon," IBM Journal of Research and Development, vol. 23, no. 4, pp. 376-385, 1978.
[175] P. M. Zavracky, S. Majumder, and N. E. McGruer, "Micromechanical Switches Fabricated Using Nickel Surface Micromachining," Journal of MicroElectromechanical Systems, vol. 6, pp. 3-9, 1997.
[176] M. A. Gretillat, Y. J. Yang, E. S. Hung, V. Rabinovich, G. K. Ananthasuresh, and S. Senturia, "Nonlinear Electromechanical Behavior of an Electrostatic Microrelay," presented at IEEE MEMS97, pp. 1141-1144, 1997.
[177] E. P. Popov, Mechanics of Materials. Englewood Cliffs, NJ: Prentice-Hall, 1976.
[178] G. J. O'Brien, D. J. Monk, and L. Lin, "Electrostatic Latch and Release; a Theoretical and Empirical Study," presented at ASME MEMS, pp. 275-280, 2000.
[179] ANSYS, "Silicon Beam Suspended Above a Grounded Gate," in Coupled Field Analysis Guide, version 5.6, Example 2.11.1, vol. 5.6. Cannonsburg, PA, 2001.
[180] F. Laermer and A. Schlip, "Method of Anisotropically Etching Silicon," in U. S. Patent Nos. 4,855,017 and 4,784,720: R. Bosch GMBH, 1991.
[181] J. W. Weigold, "Dry Etching of High Aspect Ratio Si Microstructures in High Density Plasma for use in MEMS." Ann Arbor, MI: PhD Thesis, University of Michigan, 2000.
[182] A. A. Ayon, R. Braff, C. C. Lin, H.H.Sawin, and M. A. Schmidt, "Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher," J. Electrochemical Society, vol. 146, pp. 339-349, 1999.
[183] F. Laermer, A. Schlip, K. Funk, and M. Offenberg, "Bosch Deep Silicon Etching: Improving Uniformity and Etch Rate for Advanced MEMS Applications," presented at IEEE MEMS99, pp. 211-216, 1999.
[184] W. C. Tian, J. W. Weigold, and S. W. Pang, "Comparison of Cl2 and F-based Dry Etching for High Aspect Ratio Si Microstructures Etched with an Inductively Coupled Plasma," J. Vacuum Science Technology, vol. B18, pp. 1890-1896, 2000.
[185] K. T. Sung and S. W. Pang, "Etching of Si with Cl2 Using an Electron Cyclotron Resonance Source," J. Vacuum Science Technology, vol. A11, 1993.
[186] H. Jansen, M. d. Boer, and M. Elwenspoek, "The Black Silicon Method," Journal of MicroElectromechanical Systems, vol. 5, pp. 115-120, 1995.
255
[187] C. P. D'Emic, K. K. Chan, and J. Blum, "Deep Trench Plasma Etching of Single Crystal Silicon using SF6/O2 Gas Mixtures," J. Vacuum Science Technology, vol. B10, pp. 1105-1109, 1992.
[188] J. Bhardwaj, H. Ashraf, and A. McQuarrie, "Dry Silicon Etching for MEMS," presented at Symposium on Microstructures and Microfabricated Systems, Electrochemical Society, pp. 126-131, 1997.
[189] D. C. Montgomery, Design and Analysis of Experiments. New York, NY: J. Wiley and Sons, 1991.
[190] A. A. Ayon, S. Nagle, L. Frechette, A. Epstein, and M. A. Schmidt, "Tailoring Etch Directionality in a Deep Reactive Ion Etching Tool," J. Vacuum Science Technology, vol. B18, pp. 1412-1416, 2000.
[191] S. Y. Chou, P. R. Krauss, W. Zhang, L. J. Guo, and L. Zhuang, "Nanoscale Silicon Field Effect Transistors Fabricated using Imprint Lithography," J. Vacuum Science Technology, vol. B15, pp. 1881-1883, 1997.
[192] W. H. Juan and S. W. Pang, "Batch-Micromachined, High Aspect Ratio Si Mirror Arrays for Optical Switching Applications," presented at ", International Conference on Solid-State Sensors and Actuators, pp. 93-97, 1997.
[193] G. J. O'Brien, D. J. Monk, and K. Najafi, "Deep Reactive Ion Etched Submicron Beam/Trench Characterization," presented at ASME MEMS, pp. 586-591, 2001.
[194] R. A. Gottscho, C. W. Jurgenson, and D. J. Kitkavage, "Microscopic Uniformity in Plasma Etching," J. Vacuum Science Technology, vol. B10, pp. 2133-2138, 1992.
[195] K. A. Shaw, Z. L. Zhang, and N. C. MacDonald, "SCREAM: A Single Mask, Single Crystal Silicon Process for MEMS Structures," presented at IEEE MEMS93, pp. 155-160, 1993.