40
Improving margins through component integration Indium price goes through the roof TriQuint sells off opto business Reliability test for GaN developers ALSO INSIDE May 2005 Volume 11 Number 4 G A A S IC S

G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Embed Size (px)

Citation preview

Page 1: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Improving margins throughcomponent integration

Indium price goesthrough the roof

TriQuint sells offopto business

Reliability test forGaN developers

ALSO INSIDE

May 2005 Volume 11 Number 4

GAAS ICS

Page 3: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

MAY 2005 VOLUME 11 NUMBER 4

Improving the reliability of GaN devices is thenumber-one challenge, says DARPA. p5, 31

Automotive-lighting company Hella is to makeLED headlamps in volume from 2008. p8

Two US teams have sterilized flowing dirtywater using an ultraviolet LED module. p15

5 Headline News TriQuint sells opto business to CyOptics...DVD war may be off as rival camps seek hybrid standard...DARPA describes GaN challenges as Cree confirms Track 1 role...Transistor breaks 600 GHz barrier...Switches will boost GaAs use in cell phones

7 GaAs & Wireless News Oki aims its GaAs MESFETs at WiMAX...Anadigics’HBT PAs pull in volume orders...Sirenza says delays caused revenue fall

8 LED News Toshiba to volume-manufacture its high-performance white LEDs...Nichia settles out of court with retailer...HB-LED sales boost Cree’s profit margin...Tridonic, Toyoda Gosei embark on general-lighting joint venture

10 Wide Bandgap News Non-LED applications are set to bolster GaN sector...II-VI constructs SiC facility in Starkville...GaN amplifier exhibits a record efficiency

13 Fiber News Avanex powers UK–India fiber upgrade...$13 m of funding will see 1310 nm VCSEL production ramp up...Bookham signs $50 m Nortel agreement

15 Opto News LED modules make dirty water potable...nLight claims diode-laser-output record...Emcore secures solar-cell contract but shuts US fab...NASA builds first four-color infrared camera

36 Materials & Equipment News “Stormy” market makes profitable Aixtron wary...IQE wafer shipments grow 27%

COMPOUND SEMICONDUCTOR MAY 2005 1

On the cover: TriQuint has developed a new E-/D-mode PHEMT process in response to market demand for cheaper, more complex wireless components (imagecourtesy of TriQuint).

NEWS

18 Switch technology delivers longer cellular talk timesFront-end modules for cell-phone handsets that deliverincreased talk time in a small footprint can be achieved byintegrating E-PHEMT transistors and sophisticatedswitching. Richard Stevenson reports.

21 TriQuint ups PHEMT integrationIn response to the demand from cell-phone handsetmanufacturers for smaller, cheaper and yet more complexRF modules, TriQuint has developed an E-/D-modePHEMT process. Walter Wohlmuth reports.

25 Batch-deposition module cuts downtime and material wasteDavid Lishan, Mike Fresina and colleagues describe how a PECVD module has played a part in maximizing yield,increasing throughput and reducing scrap in a high-volume manufacturing environment.

COVER STORY: GAAS ICS

31 Reliability is the central issue for DARPA’s triple play on GaNGaN microelectronics is benefiting from DARPA fundingunder its wide-bandgap semiconductors program. Michael Hatcher takes a look at the three teams on thewide-bandgap roster.

34 Indium price soars as demand for displays continues to growDemand for InP-based devices may just be crawling out ofan extended slump, but its main raw material, indium, ishighly sought after. Thomas Jansseune looks at the marketforces that could be problematic for InP manufacturers.

FEATURES

Compound Semiconductor’s circulationfigures are audited by BPA International

36 Product Showcase

ADVERTISING SECTION

TR

IQU

INT

HE

LLA

KG

AA

& C

O

Page 4: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Commercial manager Rebecca [email protected]: +44 117 930 1032. Fax: +44 117 930 1178

Sales executive Luke [email protected]: +44 117 930 1033. Fax: +44 117 930 1178

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Production Rachel Elliott, Teresa RyanAd production Jackie Cooke, Tanwen HafArt directorAndrew GiaquintoTechnical illustratorAlison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe.Subscriptions for individuals not meeting qualifyingcriteria: individual £82/$148 US/7119; library£184/$331 US/7267. Orders to CompoundSemiconductor, WDIS, Units 12 & 13, CranleighGardens Industrial Estate, Southall, MiddlesexUB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries:[email protected].

7979 total qualified circulation*

*June 2004 BPA audit statement

Editorial boardMayank Bulsara (USA); Andrew CarterBookham Technology (UK); Jacob TarnEpistar/Gigacomm (Taiwan); Ian FergusonGeorgia Institute of Technology (USA); Toby StriteJDS Uniphase (USA); Mark Wilson Motorola(USA); Dwight Streit Northrop Grumman (USA);Joseph Smart RF Micro Devices (USA);Colombo Bolognesi Simon Fraser University(Canada); Shuji Nakamura University ofCalifornia at Santa Barbara (USA)

©2005 IOP Publishing Ltd. All rights reserved.

US mailing information: CompoundSemiconductor (ISSN 1096-598X) is published 11 times a year for $148 by Institute of PhysicsPublishing, Dirac House, Temple Back, Bristol BS1 6BE, UK. Periodicals postage paid atMiddlesex, NJ 08846. POSTMASTER: sendaddress corrections to Compound Semiconductor,c/o PO Box 177, Middlesex, NJ 08846. US agent:Pronto Mailers Association Inc, 200 Wood Avenue,PO Box 177, Middlesex, NJ 08846.

2

Editorial

Aixtron 3

Azko Nobel 22

Bandwidth Semiconductor 22

Compound Semiconductor Week 20

EpiNova 24

EW-MOVPE XI 35

Global Metallurgical 17

Groupe Arnaud 29

Hüttinger Elektronik 16

Indium Corporation of America 17

IntelliEpi 29

INTRINSIC Semiconductor IFC

J P Sercel Associates 7

KLA-Tencor 30

LayTec 9

Linn High Therm 10

MBE Komponenten 36

MonoCrystal 36

Raboutet 28

Recapture Metals 24

Riber IBC

SAES Pure Gas 8

SAMCO Inc 17

Semiconductor 2005 Conference 24

Semicon West 2005 33

Shiva Technologies 35

Structured Materials 22

Surface Technology Systems 12

Tecdia 4

Technology Tracking 29

Thomas Swan Scientific 14

TriQuint Semiconductor 26

Unaxis 11

Veeco 36, OBC

Wafer Technology 6

Advertisers’ Index

Ten years ago, when the mobile industry was in its infancy,silicon chips were at the heart of 90% of cell-phone poweramplifiers (PAs). Today, around 80% of PAs are GaAs powered,with the remainder using silicon LDMOS technology. So GaAshas clearly won that particular battle.

However, while the GaAs industry needs to expand itshorizons to break free of its dependency on cellular applications and theinevitable cycles that will ensue, it must also keep an eye on the rear-viewmirror. Dwarfing the GaAs industry, silicon has whole armies of researchersand engineers working to drive the performance of CMOS transistors tothe terahertz regime.

And although the threat to GaAs posed by silicon CMOS PAs from thelikes of Silicon Labs is yet to materialize, this cannot be said of other keyGaAs applications within the cell phone, such as antenna switches.

In a keynote address to the III-V manufacturing community last month,Paul Augustine – general manager of the Nokia product line at the Finnishfirm’s key PA supplier RF Micro Devices – identified such switches as a majorgrowth area for GaAs PHEMTs. However, the silicon competition could bestiffer when it comes to this application, with Peregrine Semiconductoralready shipping “millions of units” that exploit its UltraCMOS technology– its own version of the silicon-on-insulator approach that uses sapphiresubstrates – destined for switches in GSM handsets.

Perhaps the compounds industry could do with emulating thecollaboration between companies that exists in the silicon world. Accordingto some, this will certainly be necessary if GaN devices are to make a seriouscommercial impact. Just as GaAs had to take on incumbent silicontechnology in handsets a decade ago, so GaN will face the same challenge incellular base-stations. And GaN is up against a moving target: those siliconarmies have a roadmap and they have a history of following it.

Michael Hatcher

COMPOUND SEMICONDUCTOR MAY 2005

Keeping silicon armies at bay

Page 5: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

AIXTRON AG · Kackertstraße 15–17 · D-52072 Aachen, Germany · www.aixtron.com

One of your best investments won’t come from a banker.

push yourPERFORMANCE

Performance stems from productivity. And with your investment in

AIXTRON technology, you get a top quality CVD production system.

Leading technology that fits your individual requirements. The aim

of our work with you is to get the best out of the wafer: qualitatively

and economically. For us, productivity is at the heart of all we do,

leaving you free to concentrate on competing with the best products.

Call us! And count on us. After all, the best return on your investment

is at stake.

TECHNOLOGY PRODUCTIVITY SERVICE PURE PLAY

con

sen

s G

mb

H, B

ad N

auh

eim

, Ger

man

y

Page 7: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 5

Pennsylvania-based fiber-optic componentand module supplier CyOptics is to acquireTriQuint Semiconductor’s InP chip manu-facturing business. In a twin deal said to beworth $32 million overall, TriQuint is alsoselling its Breinigsville, PA, optoelectronicsfacility and surrounding property to AnthemPartners, which is planning transform the siteinto an advanced-technology center.

TriQuint’s assembly operation in Mata-moros, Mexico, will be transferred to Cy-Optics through the acquisition, which alsoincludes products, manufacturing equipmentand intellectual property.

CyOptics, which already has a chip manu-facturing facility in Yokneam Illit, Israel,raised $10 million in fourth-round funding inSeptember last year. It will lease theBreinigsville plant from Anthem. “TheCyOptics team plans to hire the vast majorityof our [approximately 250] employees inPennsylvania and Mexico,” said TriQuintCEO Ralph Quinsey.

TriQuint had moved into the optoelectron-ics sector through its acquisition of AgereSystems’ business for $40 million cash inOctober 2002. The enterprise had a strongpedigree, having been based on technologydeveloped at Bell Laboratories and LucentTechnologies prior to the spin-out of Agere.

However, despite that pedigree, sales fromthe venture never matched up to TriQuint’sexpectations. According to Quinsey, annualsales of $50million–60 million were predictedinitially. However, the business brought inonly $36.5 million in 2003 – now consideredto be the year in which the fiber-optic sectorbottomed-out – and just $34 million in 2004,when the market began its slow recovery.Despite restructuring the operation in Nov-ember last year, these difficulties remained.“The optoelectronics market will only sup-port a few right-sized and nimble players,”commented Quinsey.

Quinsey adds that TriQuint will now beable to focus squarely on its core business of

RF chips and products for wireless handsets,base-stations and broadband access, as wellas the defense market. The company is retain-ing some GaAs capacity for optoelectronicproducts in the form of transimpedance ampli-fiers and driver ICs.

Ed Coringrato, CyOptics’ CEO, said:“Industry consolidation is much needed andwith this acquisition, CyOptics is well posi-tioned...to serve the once-again growing tele-com-equipment market.” He adds that theacquisition, which was expected to haveclosed by the end of April, will makeCyOptics a “top-five” supplier of InP-basedoptoelectronic chips and components.

TriQuint says that the overall deal is wortharound $22 million in cash, with the $10 mil-lion balance a combination of a loan note andequity. It will retain a small share in CyOptics,and expects to record a one-time gain of$7 million–9 million on the deal. It may raiseanother $1 million–2 million through the saleof remaining assets.

The industry split over the two next-genera-tion DVD formats – Blu-ray and HD-DVD– could soon be resolved, according to reportsin the Japanese press. Both formats use GaN-based blue lasers, but there have been fearsthat the split could confuse consumers andhamper the take-up of next-generation opti-cal data-storage technology.

The Blu-ray Disc Association (BDA),which is led by Sony, Matsushita Electric and

others, told Compound Semiconductor that it“remains open to exploring ways to avoid aformat war at the consumer level”, althoughit could not confirm whether the two campsare close to agreeing a truce.

Toshiba is still planning to release notebookcomputers with HD-DVD drives in the fourthquarter of 2005, but the company says itbelieves that a single format would be best forconsumers, and that it will work toward thataim through “necessary discussions” with theBDA. Meanwhile, the Toshiba-led HD-DVDcamp held its first general meeting in Tokyolast month. “HD-DVD is making the movefrom specs to products,” said the group.

DVD war may be offas rival camps seekhybrid standard

Device reliability is the number-one challengefacing the companies working on the threetechnology tracks that make up the DefenseAdvanced Research Projects Agency’s(DARPA’s) wide-bandgap semiconductorsfor RF applications program.

That’s according to Mark Rosker, DARPA’sprogram manager for the effort, who outlinedthe aims of the project to the compound semi-conductor community at last month’s CSMantech conference in New Orleans, LA.

With the two-year Phase I effort, whichfocused primarily on materials development,

completed, Phase II and Phase III will takeplace over the next five years and concentratefirst on device fabrication, then on MMICdesign, before moving on to tackle moduledevelopment for military applications.

Raytheon has now confirmed that it is theprime contractor on the Track 1 development,the aim of which is to produce an X-bandtransmit-and-receive module. Worth an ini-tial $26.9 million for Phase II, Track 1 couldbe worth $59.4 million in all. Cree isRaytheon’s key subcontractor and is set toreceive $11 million under Phase II.

Rosker told Mantech delegates that a keyfocus of the Track 1 effort is device efficiencyfor radar applications at 8–12 GHz. To pro-duce the desired power output, a substantialimprovement would be required at the MMIClevel, he added, saying that is the case for allthree technology tracks.

Both MBE and MOCVD growth optionswill be tried in the program, since it is not clearwhich is the better technique. Rosker said hebelieved that GaN would become cheaperthan GaAs in terms of the key cost-per-wattmetric during the DARPA program.

DARPA describes GaN challenges as Cree confirms Track 1 role

Sony and Toshiba are discussing a hybridformat for next-generation DVD.

TO

SH

IBA

/SA

MS

UN

G

TriQuint sells opto business to CyOptics

Page 8: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 20056

Researchers from the University of Illinois atUrbana-Champaign (UIUC) have broken thetransistor-speed record with a pseudomorphicHBT operating at 604 GHz (Appl. Phys. Letts86 152101).

The InP/InGaAs transistor, which was fab-ricated by Milton Feng and Walid Hafez, con-tained a compositionally graded collector,base and emitter to reduce transit time.

“Pseudomorphic grading of the materialstructure allows us to lower the bandgap inselected areas,” explained Feng. “This per-mits faster electron flow in the collector andimproves the current density.”

According to Feng, the modifications to thetransistor will help to produce a terahertz tran-sistor: “To achieve such speed in a typicalHBT, the current density would become solarge that it would melt the components. In ourpseudomorphic HBT, we can operate at higherfrequencies with a lower current density.”

The pseudomorphic HBT, which was pro-duced at the UIUC Micro and Nanotech-nology Laboratory, was grown by MBE on anInPsubstrate and fabricated using an all-wet-

etch process. The device, with a 0.4 × 6 µmemitter, achieved an fT value of 604 GHz andan associated fmax. of 246 GHz at a collectorcurrent density of 16.8 mA/µm2.

Transistors operating at higher speedscould lead to faster computers, more flexi-ble and secure wireless communication andimproved electronic combat systems.

Transistor breaks 600GHz barrier

By Michael Hatcher in NewOrleansIncreased use of PHEMTs in antenna switchesis set to drive up the amount of GaAs in futurecell-phone handsets.

Paul Augustine, general manager of theNokia product line at RF Micro Devices, toldCS Mantech delegates in New Orleans,Louisiana, that GaAs content would grow by40% to around 3.4 mm2 per phone by 2007and to 4 mm2 in 2009.

While pin diodes have been the incumbenttechnology in switch applications until now,these devices are too big for highly integratedmodules, which require six throw switches.

“GaAs PHEMT is well positioned to cap-ture significant antenna switch volumes dur-ing the next few years,” said Augustine.

He added that cost-effective integration ofpower amplifiers will become the most criti-cal issue to address as handset OEMs demandsmaller modules and significantly fewer com-ponents in future high-end phone designs.

Widening the applications base for GaAsRF components will be necessary as the indus-try faces a slowdown in the growth of the cell-phone-handset market.

According to Asif Anwar, director of Stra-

tegy Analytics’ GaAs service, year-on-yearrevenue growth will fall to 1% at best in 2005,compared with an increase of 7% last year.Sales are expected to accelerate in 2006 and2007, before tailing off again toward 2009.

The cell-phone market accounts for 52%of sales of GaAs microelectronic components,Anwar said. Strategy Analytics expects hand-set shipments to increase by only 6–7% thisyear, far less than the 25–26% growth exper-ienced between 2003 and 2004.

“The saving grace is that as you movetoward 3G you move toward multiband,multimode handsets,” added Anwar. “Whilethe price per band may be much less than adollar now, when you have three or four bandsin a cell phone, you can bump that [module]price up to around two dollars.” However, hestill expects GaAs revenues from cellularapplications to decline from 2007 onwards.

Anwar also thinks that application areassuch as WLAN and automotive radar will pro-vide further opportunities for the GaAs indus-try. However, he doesn’t expect theseemerging markets to grow sufficiently to freethe GaAs industry from its cellular-handsetdependency by 2009. See “TriQuint ups PHEMTintegration”, p21.

Feng’s UIUC research group has brokenanother transistor-speed record with itslatest pseudomorphic HBT.

KW

AM

E R

OS

S/U

IUC

Switches will boost GaAs use in cell phones

Page 9: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

GAAS & WIRELESS NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 7

Oki aims its GaAs MESFETs at WiMAXOki Electric is starting to ship samples of itsnew GaAs metal–semiconductor field-effecttransistor (MESFET) for use in 3G-mobilebase-stations, and is planning to develop thetechnology for WiMAX applications.

The Tokyo-based chip manufacturer claimsthat its KGF1934 transistor has a record-breaking drain efficiency, which is the ratioof RF output power to DC input power.

The company expects to see the deviceused in urban “microcell” base-stations –small transmitters located in shopping malls,for example, that require power transistorswith low power consumption, high efficiencyand good heat dissipation.

Oki used a gate-recess structure in itsMESFET, which reduces parasitic resistancebetween the transistor’s source and gateregions, and lowers the surface leak current.

Drain efficiency is quoted as being morethan 55% with a 10 W output power, and 25%at the normal operating power of 2 W.

The firm says that as a result of this

improved drain efficiency, the power con-sumption of the driver-stage amplifier in whichthe MESFET is used is reduced by 40%.

With volume production of the transistorsslated to begin in June, Oki is aiming to sell10,000 units per month.

And the company has its sights set onanother target: the high-throughput next-gen-eration wireless standard known as WiMAX.

“Oki plans to look into developing high-frequency power transistors that are highlyefficient with high output covering the 6 GHzrange,” said the company.

WiMAX, known officially as the IEEE802.16 wireless-networking specification, isset to offer a maximum communication speedof 75Mbit/s over a range of up to 50km. It willoperate at between 2 and 11 GHz, and couldbe a substantial future market for GaAs chipand component makers.

The WiMAX Forum, a group of companiesincluding some of the semiconductor indus-try’s biggest names, is developing the next-generation standard. Intel has just released itsfirst WiMAX product, with several serviceproviders announcing plans to begin com-mercial WiMAX trials based on Intel’s sili-con products later this year. In its latest report,the Telecommunications Industry Associationsays that the US market for WiMAX infra-structure will increase from $15 million in2004 to $290 million in 2008.

Oki says that its latest GaAs-based MESFEThas a record-breaking drain efficiency.

Anadigics says that it has received volumeorders for InGaPHBT power amplifiers (PAs)for 3.5 GHz WiMAX applications. The firmsays that its highly integrated 4.5 × 4.5 mmAWM6430 module provides an integratedstep attenuator and output-power detector,thereby minimizing external componentcount, PCB space requirements and develop-ment costs for new designs.

The US-based RFIC manufacturer has alsoshipped its 25 millionth InGaP HBT PA forwireless LAN applications.

Sirenza Microdevices has recorded revenueof $12.2 million for the first fiscal quarter of2005, down $2.9 million sequentially.

“We are disappointed that our sales did nottrack the forecasts of our major OEM cus-tomers,” said the Broomfield, CO, companyin a statement. The RF component supplierblamed its poor sales on “substantial orderdeferrals and shipment delays resulting fromrevised OEM forecasts”.

Featuring the JPSA ChromaDice™ System!

Our advanced 266nmChromaDice™ DPSS laser

system produces 8 wafer/hour LED throughput

with > 99% die yield at < $2 US per wafer.

Advanced 266 or 355nm DPSS Laser Process

Narrow Cuts: As Small As 2.5 Microns

≤ 3% LED Light Loss

Excellent Die Yield: > 99%

Up to 8 Wafers/Hour on Sapphire

Low Operating Cost: < $2 per Wafer

24/7 Maintenance-Free Operation

User-Friendly Windows® 2000™ Software

Automatic Alignment: < 1 Minute to Load & Align

Excellent Results on GaAs, Si and Other Materials

Visit www.jpsalaser.com today and find out more!

J P Sercel Associates, Inc. 17D Clinton Drive, Hollis, New Hampshire 03049 USATel. +1 (603) 595-7048 Fax +1 (603) 598-3835LST Technology Company, Ltd. TaiwanTel. 886-3-6563716 Fax 886-3-6563717

Speed, Quality, and Reliability

Blue LED’s on Sapphire

Now!Available 2.5µm Cut Width and 3% or Less Light Loss

OK

I ELE

CT

RIC

Anadigics’ HBT PAspull in volume orders

Sirenza says delayscaused revenue fall

Page 10: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Toshiba Corporation will soon be offeringcustomers white LEDs suitable for generallighting and LCD backlighting. The com-pany’s flagship LED, the TL10W02-D, whichgenerates 60 lm at 2W and 500mA, will beginsampling in July. Production of one millionunits per month is expected by October.

According to the company, the LED’s high-performance characteristics result fromimproved chip-mounting technology and bet-ter thermal management due to a low-thermal-resistance package.

Toshiba says that the excellent heat-dissi-

pation characteristics also maintain the chip’sluminous linearity at a drive current of up to700 mA.

The firm will also begin sampling the 30 lmTL10W01-D in June, with the manufacture ofone million units per month to follow in July.

Although Toshiba is not a companystrongly associated with GaN LEDs, back in2001 it teamed up with Toyoda Gosei toproduce white emitters. The LEDs, whichcombined Toyoda’s chips with Toshiba’sphosphors, were marketed by both companiesunder their own brand names.

LED NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 20058

Toshiba to volume-manufactureits high-performance white LEDs

Germany-based automotive-lighting company Hella says that it expects to be makingLED-based headlamps in volume from 2008. The company has recently developed thisseven-element concept headlamp for Volkswagen. Seven pentagonal lenses arranged in ahoneycomb pattern focus light from Lumileds and Osram Opto Semiconductors’ whiteLEDs to produce a daytime running lamp, a low beam and a high beam. Hella says that thecrucial luminous flux of 1000 lm has been achieved for the low beam – a figure that isequivalent to that of existing xenon headlamps. However, a high-quality high beam is yet tobe realized. The company expects European authorities to have approved the validity ofLED headlamps by 2008. The technology has already been approved in the US.

Litigious LED maker Nichia has settled its dis-pute with US retailer Sharper Image. TheJapanese firm filed a lawsuit last April alleg-ing that some of the products sold by SharperImage, such as flashlamps and booklights,infringed US patent 5, 998, 925 on white LEDs.

Nichia believed that Sharper Image hadpurchased devices used in its consumer prod-ucts from an unnamed third-party Asian LEDmanufacturer that had not signed the Japanesecompany’s licensing deal.

While Sharper Image denied those claims,it has now come to a “business arrangement”

with Nichia. Awarded in December 1999,patent 5,998,925 details a nitride-based emit-ter and a fluorescent yttrium aluminum gar-net (YAG) phosphor. According to a report in the Nihon KeizaiShimbun newspaper, Nichia is planning togrow sales of LEDs by 30% under an aggres-sive new four-year expansion plan.

The firm says it will invest around ¥30 bil-lion ($277 million) in plant and equipment,and ¥17 billion on research and development.

By 2008, Nichia is expected to make 25%of its LED sales from the backlighting ofscreens in cell phones and other small devices.Meanwhile, large-display applications willgrow to represent 18% of sales and automo-tive applications will contribute 15%.

Nichia settles out ofcourt with retailer

HE

LLA

KG

AA

HU

EC

K &

CO

Page 11: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

9COMPOUND SEMICONDUCTOR MAY 2005

LED NEWScompoundsemiconductor.net

By Tim WhitakerA new European alliance will develop tech-nology for high-power white LEDs for gen-eral-lighting applications.

TridonicAtco GmbH & Co KG, the light-ing components and control-gear division ofthe Zumtobel Group, has signed a joint ven-ture agreement with Japanese LED manufac-turer Toyoda Gosei with the aim of advancingthe development of high-power white LEDs.

The 50:50 joint venture has been estab-lished with a fund of 72.6 million ($3.5 mil-lion) and further capital investments will bemade in the future. The firm will be based atJennersdorf in Austria and will commencebusiness in May, with production expected tostart by the end of this calendar year.

The joint venture will make high-powerLED packages and components for thegeneral-lighting market. White LEDs will bedeveloped with a focus on color temperature,tolerance, homogeneous white light and

color rendering.These LEDs will be destined for worldwide

use in innovative lighting solutions, but thecompany is also aiming to develop LED appli-cations specifically for the European market,not least for the automotive and communica-tions industries.

Austria-based Zumtobel Group is a globallighting maker (boasting sales of 71.14billionin the year ending April 2004) with divisionsincluding Thorn Lighting, Zumtobel Staff andTridonicAtco. As early as 2001, the lighting-components division assigned its LED activ-ities to a separate subsidiary, TridonicOptoelectronics GmbH, based in Jennersdorf.

As the joint venture is set up at the Tech-nology Centre in Jennersdorf in the Austrianstate of Burgenland, TridonicAtco’s exist-ing facility there will be expanded. The gen-eral-lighting project qualifies for subsidiesfrom technology promotion schemes financedby the EU and Burgenland.

The distinctive “Hot Pink” illumination of theTorana concept car built by General MotorsHolden made an impression at the 2004Australian Motor Show, says Osram OptoSemiconductors, the firm that provided theLEDs used to light the dashboard and theexternal features. The Torana was fittedwith lighting modules based on Osram’snew MultiLED, which integrates Osram’sred (625 nm), green (525 nm) and blue(470nm) chips in one package.

Tridonic, Toyoda Gosei embarkon general-lighting joint venture

US-based chip maker Cree reported revenueof $96.7 million for the third fiscal quarterof 2005, down $0.8 million sequentially, butup $19.5 million year on year.

Profit in the third quarter was $20.7 mil-lion, a fall of $4.7 million against the previ-ous quarter, but $5.7 million higher than theequivalent period last year.

According to Cree CEO Chuck Swoboda,strong growth in high-brightness (HB) LEDsales offset softness in the company’s mid-brightness category and shifted the productmix toward the higher end. Average sellingprices were up 6% as a result.

Swoboda also says that sales of HB-LEDswere boosted thanks to their use in the popu-lar Japanese pinball game Pachinko, whichcompensated for a slowdown in the growth ofthe cell-phone-handset market.

On the development front, Cree says that itwill have a renewed focus on green LEDs,as well as blue–violet lasers fabricated onGaN substrates.

Revenue in the next quarter should be$98 million–102 million, says Cree, with aprofit of around $20 million.

HB-LED sales boostCree’s profit margin

HO

LDE

N L

TD

, AU

ST

RA

LIA

With EpiTT the future of GaN epitaxy has never been brighterEpiTT is the newest development in LayTec's line of unique in-situ epi-taxy monitoring tools. It has been especially developed for maximiz-ing yields in mass production GaNenvironments. Features include measurement of real-time growth rate and true wafer temperature.

No Surprises!

LayTec GmbHHelmholtzstr. 13-14D-10587 Berlin · GermanyTel.: +49 (0)30 – 39 800 800Fax: +49 (0)30 – 31 80 82 37Email: [email protected] www.laytec.de

If you need answersnot surprises

Page 12: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

COMPOUND SEMICONDUCTOR MAY 200510

The value of the worldwide GaN device sec-tor is set to hit $7.2 million in 2009, by whichtime non-LED applications of the technologywill account for 17% of the market.

According to a new report from market-analyst company Strategies Unlimited, theblue laser-diode market will be worth$900 million five years from now. If the pre-diction is correct, that would equate to a 13%share of the overall GaN device industry.

Significant shipments of GaN-based blue–violet laser diodes are expected in the secondhalf of this year as the DVD industry launchesits next-generation recorders and players.

Bob Steele, who compiled the report, toldCompound Semiconductor that the currentGaN market, worth $3.2 billion in 2004, isoverwhelmingly dominated by LED sales.And sales of blue, white and green LEDs willstill account for 83% of the market, or $6 bil-lion, in 2009, he says.

However, by that time GaN-based elec-tronic devices will also be making their pres-ence felt, with an expected market value of

$300 million, or 4% of the overall sector.“For GaN-based electronic devices, the prin-

cipal application will be HEMTs for use inpower amplifiers in base-stations for mobilenetworks, and for the emerging WiMAX fixed-wireless base-station market,” predicted Steele.

The industry analyst adds that less signif-icant applications will include power switchesfor high-voltage power supplies and high-voltage rectifiers.

Aside from regular visible-range LEDs,Steele is expecting some demand for ultra-violet LEDs based on GaN to emerge over thenext five years. However, the market for appli-cations such as water purification and ultra-violet curing is expected to remain relativelysmall during the forecast period.

GaN-device development is proving to bea strong research topic among academics, with394 universities and research centers nowinvolved in the material – a 35% increase overthe past two years. It’s a similar picture in thecommercial world, confirms the report, with232 firms involved in GaN development.

By Michael Hatcher in NewOrleansUS chipmaker Cree says that it has developeda GaN-based RF amplifier with record power-added efficiency (PAE).

Cree’s Jim Milligan told CS Mantech dele-gates that the device, which operates atbetween 1.9 and 2.1 GHz, has a power outputof 10 W and a PAE of 84%. The company hasalso made highly efficient chips operating at2.7–2.9 GHz and at 3.3–3.5 GHz.

Milligan was keen to stress Cree’s progresswith SiC RF chips. “SiC is ready now,” he

emphasized, adding that sales of SiCMESFETs were increasing rapidly. Havingrecently completed a 2000-MMIC demon-stration, Milligan said that the technology has“taken off” in the last six to nine months.

Although mostly used by the US military,SiC devices could fall in cost if they areordered in high volumes – opening the door tocommercial applications, said Milligan.

Currently costing $3 per watt in quanti-ties of 100,000, this price should fall whenCree moves to 4 inch substrate production,which is scheduled for 2007.

GaN amplifier exhibits a record efficiency

SiC substrate manufacturer II-VI will partnerwith SemiSouth Laboratories and MississippiState University (MSU) to build a manufac-turing facility for 2, 3 and 4 inch SiC sub-strates in Starkville, MS.

According to Tom Anderson, general man-ager of II-VI’s Wide Bandgap Materials

Group, the company chose Starkville for itslong-term volume manufacturing because ofits favorable business climate and its closeproximity to MSU and SemiSouth.

The collaboration will start in the PowerCenter at MSU, but when demand for its SiCmaterial exceeds capacity the partnership willbuild a larger facility in Starkville.

II-VI will continue to develop wide-bandgap materials technology and productsat its technical center in Pine Brook, NJ.

II-VI constructs SiCfacility in Starkville

Non-LED applications areset to bolster GaN sector

WIDE BANDGAP NEWS

Phone: +49 9665 9140-0Fax: +49 [email protected]

w w w . l i n n . d e

Crystal growing

Bridgeman 3 zone vertical tubular furnacewith graphite heater for directional /and single crystal solidification ofmetals under protective gas atmos-phere. With quenching buffle. High precisiontranslation. Program controller: SE 502.Max. furnace temperature: 1.800 °C. Max. hea-ting power: appr. 20 kW. Linear unit: 0,1 mm/hto 1.000 mm/h. Fast cooling: appr. 100 mm/s.

GaIn

Micro-Crystal growth systemfor pulling of single crystalline fibers from the melt under inert gas or air. Fiberdimensions: Ø = 0,2-2,0 mm, lmax = 250 mm.Up to 5000 mg of starting material is melted in aplatinum crucible (for high-melting compoundsalso Ir-, W-, Mo- crucibles) and a fiber crystal ispulled down through a capillary nozzle with asecondary heater around the nozzle. Powersupply: primary heater 80 W (max. 500 W),secondary heater 30 W (max. 200 W).

LiNbO3

Production system for sublimation growth of 2 / 3 inch 4H- and 6H SiC single crystals for optoelectronics, power- and high temperature electronics. Allowsthe precise control of process conditions (tempe-rature field, gas pressure, gas composition).

Tmax 2300 °C.

SiC

Page 13: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

www.waferprocessing.unaxis.com

UW

P/C

ar V

LN M

EM

S/V

1/05

.04.

05

USA +1 727 577 4999 Europe +49 89 7550 5201

China + 86 21 5057 4646 Singapore +65 6873 1188 Korea +82 31 785 1300

Taiwan + 886 3597 7771 Japan +81 3 3225 9020 Other + 423 388 4986

GREAT PRODUCTS BEGIN WITH INNOVATIVE TECHNOLOGY FROM UNAXIS

Patented helium Soft Seal forhigh rate etching

Process stability with Hold and Release control

Fast solid state RF tuning

Increased productivity with temperature stabilized ICP

Notchless SOI

Unique endpoint detection algorithms

Smooth walls with fast gas switching

VERSALINE Features for MEMS Enable your future in MEMS with the VERSALINE™ and itssuperior suite of process

technologies. Our unique, advanced innovations and experienced professionals

focus on one thing – your future success in the MEMS and microtechnology market.

Take control of your future and be in the driver’s seat with the VERSALINE.

Fresnel lens etched in oxide

Micro lens etched in oxideNotchless SOI structure afterDSE™ etching to oxide layer

300 µm deep silicon via

etched with DSE™

20.0 kV10 µm

20.0 kV10 µm

= 298 µm100 µm

20.0 kV 10 µm

Page 14: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Imagination…

MEMS . COMPOUND SEMICONDUCTORS . PHOTONICS . ADVANCED PACKAGING . DATA STORAGE

…engineered

THINK • INNOVATE • CREATE

Whatever your inspiration, STS takes your ideas further. As a keyprovider of plasma etch and deposition technologies for over 20years, STS continues to deliver expert knowledge and advancedthinking to customers based on proven technology.

Whether you are an emerging or established enterprise we offerunrivalled experience, and unparalleled knowledge of our proventechnologies turning your innovations into reality. Above all, we listen to our customers. Their success is all the inspiration we need.To find out more visit: www.stsystems.com

Page 15: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

FIBER NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 13

Avanex powers UK–India fiber upgradeOptoelectronic chip and module manufacturerAvanex is to supply pump laser modules foran upgrade to part of the world’s longest fiber-optic communications link.

The order came from France-based tele-communications system builder Alcatel(which sold its optical-components division,Alcatel Optronics, to California-based Avanexin 2003) after it won a contract to upgrade partof the 38000 km Sea-Me-We 3 submarinecable network, which runs from the UK all theway to Perth, Australia. The upgrade to theUK–India section of the link is due to be com-pleted by the end of this year.

The upgrade is required to provide the net-

work with sufficient capacity to support theincrease in traffic driven by the widespreadroll-out of broadband services.

Alcatel will use GaAs-based 980 nm-emit-ting laser modules from Avanex to pumperbium-doped fiber amplifiers as it deploysits latest dense-wavelength division multi-plexed submarine line terminal.

Alcatel will also upgrade all terrestrial sta-tions along the course of the link, which passesthrough France, Portugal, Egypt, Saudi Arabiaand Somalia en route from the UK to India.

For Avanex, the contract comes at a timewhen the company is still struggling to reduceits financial losses as the fiber-optic market

finally starts to regain momentum.The company was forced to reduce its

expectations on revenue for the most recentquarter: “We have seen some pressure dueto the deteriorating dollar/euro exchange rateand annual product-pricing negotiations,”reasoned CEO Jo Major.

Major adds that Avanex is actively consid-ering further restructuring of the companyto reduce its cost base, with its major com-petitors in the optical component space endur-ing similar struggles. Avanex is to cut the manufacturing work-force at its Nozay, France, facility by 60% ata cost of $26 million in severance payments.

Following its latest funding round, and sens-ing “steadily improving” market conditionsfor fiber-optic components, Picolight says thatit will now increase the production volume ofits 1310 nm vertical cavity surface-emittinglasers (VCSELs).

The Boulder, CO, company, which makesshort- and long-wavelength VCSELs, as wellas transceivers based on the components,raised $13 million in capital and is looking toclose another deal worth $4 million–7 millionin the current quarter.

Coral Capital Management led the recentfunding round, and Coral’s managing direc-tor Todd Ortberg now takes a seat onPicolight’s board of directors. “This fundinground validates the progress we made throughthe second half of 2004 and into the first quar-ter of 2005, and will help us commence vol-ume shipments of 1310 nm VCSEL-basedproducts,” said Picolight CEO Steve Hane.

Picolight says that its revenue has morethan doubled in the past year, fueled bydemand for applications such as 10 Gbit/sEthernet and parallel optics. Hane adds thatPicolight is aiming to become profitable bythe end of this year.

Picolight had already raised $90 million incapital prior to the most recent round of fund-ing, and the company built its VCSEL fabri-cation facility in 1998.

In February 2002, the company released its“Extensus” range of optical transceivers,which are based on 1310 nm lasers.

Optoelectronic component manufacturerBookham has struck a new deal with its num-ber-one customer, Nortel Networks, thatincludes the shipment of $50 million worth ofproducts from a product line that will soon bediscontinued.

Nortel’s12-month order will extend thelifetime of Bookham’s Paignton site in theUK, where these products are to be made. Thecontract cannot be fulfilled with currentinventory, and so additional componentmanufacture will take place at the facility. Theagreement will also see Bookham sell com-ponents at slightly higher prices than underthe previous Nortel deal.

“As a result of this agreement, Bookhamanticipates that revenue, gross margin andoperating cash burn will improve significantlybeginning in the fourth quarter of fiscal 2005,

ending July 2,” said the firm in a statement.Bookham adds that it is in the final stages

of signing another agreement with Nortel,covering a supply of products made at itsShenzhen, China, location.

Investors reacted positively to the deal,with Bookham’s share price near doublingafter the announcement.

Nortel has been Bookham’s most impor-tant customer for several years, and in thequarter ending January 1, the Canada-basedfirm accounted for $17.9 million of sales, or44% of Bookham’s total revenue.

Bookham and Nortel have also altered theloan agreement that was signed whenBookham bought Nortel’s optical componentsdivision in 2002. The firm says that it has pro-vided Nortel with additional guarantees relat-ing to the continuity of supply of its products.

$13m of funding willsee 1310nm VCSELproduction ramp up

Bookham signs $50m Nortel agreement

Consolidation in the European fiber-opticsector continues apace, with Swedishcompanies at the forefront. France-basedHighwave Optical Technologies hasacquired Northlight Optronics, whileTransmode and Lumentis are set tocomplete an all-Swedish merger.Transmode’s Michael Crossey says that themerger would create a strong wavelengthdivision multiplexing (WDM) systemscompany – under the Transmode brand – tocapitalize on the return to growth of themetro WDM systems market. “This marketwill grow by almost 20% in 2005,” predictedCrossey, who is Transmode’s vice-president of marketing and businessdevelopment.T

RA

NS

MO

DE

Page 17: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 15

LED modules make dirty water potableTwo US teams working on a water-steriliza-tion module that uses AlGaN-based ultravio-let LEDs have demonstrated its ability to killharmful bacteria in flowing water.

One of the concepts developed is a modulethat does not require an external energy sourceto power the LEDs, instead relying on the flowof water to generate the required drive current.

Such purification modules, which wouldbe far smaller and more convenient than thosethat use mercury lamps to kill bacteria, couldbecome a high-volume market for UV-LEDs.

Although the concept of bacteria destruc-tion with UV-LEDs has been shown beforewith a static water sample, the purification offlowing water is critical for the technology tobecome commercially viable.

In collaboration with microbiologist AnneHanson at the University of Maine Orono,Hydro-Photon, a Blue Hill, ME, company,developed a bench-level prototype flowingwater-treatment module that uses 10 AlGaNLEDs made by the South Carolina firm SensorElectronic Technology (SET).

The SETdevices used in the module, whichwere developed in collaboration with AsifKhan’s group at the University of SouthCarolina, emit at 280 nm, and the 4 cm3 alu-minum treatment chamber is designed to max-imize light reflection at this wavelength.

In tests using sterile tap water contaminatedwith a 10,000 microbes/ml concentration ofE coli, the UV-LEDs destroyed at least 95.5%of the bacteria. The best results were seen atlower flow rates, where the germ destructionwas close to 100%.

“The results put us anther step closer todemonstrating the basic viability of the flow-through UV-LED water-purifier concept,”said Miles Maiden, CEO of Hydro-Photon.“At this point, the challenge is to continueimproving LED efficiency and power output.

More power means faster flow and more puri-fied water per minute.”

Meanwhile, a second firm – Ohio-basedstart-up company Oh Technology (OHT),which is also working with SET’s LEDs –claims to have reduced bacteria levels in flow-ing raw sewage by 60%.

OHT’s first battery of tests, which began inFebruary this year, looked at the effect of aprototype module containing 16 AlGaN LEDson raw fecal sewage at a local wastewater-treatment facility.

According to the team, which includedthird-party testers at Tri State Laboratory, theinfluent samples that contained fecal coliformbacteria showed a 60% reduction in bacteriaconcentration after a one-second flow-

through. These bacteria are found in the diges-tive tract, and include classes such as enter-obacter, citrobacter and E coli, andconcentrations of these bacteria are com-monly used as an indicator of sewage conta-mination in water supplies.

“Our goal is to alleviate inadequate watersituations that have become a global epi-demic,” said OHT chief executive DamienLieggi. “The test results have provided proofof the basic viability and instant kill efficiencyof the LEDs.” Lieggi added: “We want to turnthe worst possible conditions into drinkingwater. We feel that we have achieved tremen-dous results at this level.”

Lieggi describes OHT’s technology as“self-sustaining”, as it does not rely on anexternal energy source: “[Our] patent-pend-ing technology uses the flow of water, whichis converted into electrical energy [to power]the LEDs, which in turn sterilize the water.”

The LEDs in the current set-up emit about1 mW at around 270 nm, while OHT and SETare testing new, improved devices. Lieggi saysthat a commercial product will be unveiledwithin a few months: “What sets our prod-uct apart [is that] it eliminates the need for bat-teries, solar panels and ballasts.”

SET makes the short-wavelength LEDsused in the module using a novel growth tech-nique called migration-enhanced MOCVD(Compound Semiconductor April p27). Thisapproach, which differs from conventionalMOCVD in regard to the way gases areflowed into the reaction chamber, improvesthe quality of AlN and AlGaN in particular,while increasing the material-deposition rate.

Remis Gaska, SET’s CEO, said of theHydro-Photon work: “We consider this to bea significant milestone in bringing our deep-UV technology to such high-volume marketsas water, air and surface sterilization.”

nLight, which is developing high-power,high-efficiency lasers based on GaAs and InPmaterial systems, says that it has set a newworld record for output power from a single1 cm InP laser bar.

A water-cooled InP bar made by theVancouver, WA, company produced 88 W ofcontinuous-wave power at 1470 nm. The sig-

nificance of the breakthrough, says nLight, isthat diode bars based on the technology couldsubstantially improve high-power diode-pumped solid-state (DPSS) lasers that emit inthe so-called “eye-safe” 1400–1600nm range.

These large laser systems are usuallypumped with high-power GaAs diodes emit-ting around 800 nm. However, they require anadditional system, known as an optical para-metric oscillator (OPO), to shift the outputwavelength into the eye-safe range.

Using InP-based diode bars, says nLight,

means that an OPO is not needed. That’sbecause the 1470 nm output can be used topump an erbium-doped crystal, which emitsdirectly in the eye-safe range. And so theDPSS systems can be made smaller and morecheaply, and could find more applications.

The physics of the erbium-doped crystalstructure also means that less pumping poweris required: “The number of diode-laser barsrequired to reach a given pulse energy [withan erbium-doped crystal] is reduced by at leastan order of magnitude,” claimed the company.

Water purifier: Hydro-Photon’s 10-LEDmodule has virtually eliminated bacterialcontamination in flowing water.

nLight claims diode-laser-output record

Page 18: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 200516

Emcore secures solar-cellcontract but shuts US fabDespite involvement in two new NASAdevelopment contracts, Emcore is to close itssolar-panel fabrication facility in City ofIndustry, CA, and consolidate the businessinto its Albuquerque, NM, location.

The move, which is expected to save thecompany $3 million annually, should be com-pleted by the end of this year.

Emcore says that the Albuquerque solar-panel facility will be highly automated, result-ing in more consistent product performanceand reduced manufacturing costs.

“Our modern wafer-fabrication line inAlbuquerque employs state-of-the-art manu-facturing methods, which will be applieddirectly to the solar-cell assembly and panel-manufacturing operation,” said the Somerset,NJ, chip manufacturer in a statement.

Emcore competes primarily with Boeingsubsidiary Spectrolab for a share of the III-Vsolar-cell market, which is dominated by satel-lite applications.

However, Emcore believes that by reduc-ing its costs it will be able to broaden its pho-tovoltaics product portfolio to compete interrestrial solar-cell concentrators that can behooked up to electrical grids. Solar cells based

on III-V materials are currently regarded asbeing too expensive for terrestrial use (Com-pound Semiconductor November 2003 p25).

Emcore’s photovoltaics division is alsoinvolved in two NASAcontracts, which wererecently won by the Keller, Texas, firmEntech. Entech makes solar-power arrays forboth space-based and terrestrial applications,and is collaborating with Emcore on projectssponsored by NASA’s Exploration SystemsMission Directorate.

Under a $0.6 million phase II small-busi-ness innovation research contract, the teamwill develop a solar-cell concentrator systemcapable of collecting both sunlight andinfrared laser light.

The idea is that spacecraft at the north andsouth poles of the Moon, which are known tocontain hydrogen but which do not receiveany direct sunlight, could be powered by along-range laser beam fired at the solar arrayfrom an orbiting craft.

In another Emcore–Entech contract worthan initial $1.8 million, a very-high-powerarray is set to be developed. If deemed suc-cessful, this contract could receive additionalfunding of $12.5 million.

Powered for Performance

To win the race in semiconductors and flat panel displays, you need the leader in power supply technology. HUETTINGER – power from A to Z.Ashing to zone floating – we havethe solution.

Take advantage of our worldwide service network for support whenand where you need it.

www.huettinger.com

Ashing

Etching

Epitaxy

Sputtering

Zone floating

By Richard StevensonAcollaboration between NASA’s Jet Propul-sion Laboratory (JPL) and UK-based epiwafersupplier IQE claims to have produced theworld’s first four-band infrared camera.

Based on quantum-well infrared photode-tector (QWIP) technology, the camera is suit-able for applications including weatherprediction and remote sensing of pollution,such as nitrous oxide in smog. It has alreadybeen used as part of an international projectinvestigating the environmental impact ofvegetation burning and related ecologicaleffects in Africa.

The camera’s four QWIP channels allowdetection of radiation at wavelengths of 3–5,8–10, 10–12 and 13.5–15.5 µm, and combineto give an array of 640 ×512 pixels.

“This technology will revolutionize theway we develop new remote-sensing instru-ments,” said JPL senior scientist SarathGunapala. “One such example is the detec-

tion of smog. Smog contains a range of chem-icals that only appear in certain infraredranges. The multiband capacity of the cameraarray will allow researchers a full spectralview to identify them.”

Other technologies, such as microbolome-ter- and HgCdTe-based cameras, are morelimited in terms of their spatial resolution,while HgCdTe cannot detect beyond 12 µm.

The QWIP camera contains GaAs-basedmaterial that was grown at IQE’s US facil-ity, located in Bethlehem, PA. Infrared lightexcites carriers within the wells, which arethen accelerated by an electric field to gen-erate a photocurrent.

The detector will also be used to form thebasis of a hyperspectral infrared camera, whichmay comprise more than 64 wavelength bands.The project is the combined effort of JPL, IQEand the US Army Research Laboratory, andwill include quantum-well structures fabri-cated on 6 inch GaAs substrates.

NASA builds first four-color infrared camera

Page 19: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Give us the opportunity to apply our 25 years of processing

knowledge to your reactive ion etching challenges.

Load-locked system

Small footprint

Excellent uniformity

Etching of GaAs, GaN, Al, SiO2

Micromachining

Optical lens fabrication

partners in progress sharing expert knowledge

SAMCO RIE System

RIE–200L

Headquarters: Kyoto, Japan

Phone: +81 (75) 621-7841Fax: +81 (75) 621-0936 www.samcointl.com/RIE

US Office: Sunnyvale, CA

Phone: +1 (408) 734-0459Fax: +1 (408) 734-0961

etching

Global Metallurgical Co., Ltd.Add: Laochang, Gejiu City, Yunnan Province, P.R. ChinaTel: 86 873 3102224 Fax: 86 873 2561159

Email [email protected] Website www.arsenicvalley.com

Our aim is to supply high quality products and service to our customers.

A Supplier For High Purity MaterialsGlobal Metallurgical Co., Ltd. is a Sino-American joint venture established in 2000. It specializesin research, development, manufacture and supply of high purity semiconductor materials (As, Ga, In, Sb, Sn) and their compounds. Our products are welcomed by the customers in U.S.A. and Europe thanks to their excellent quality.

The company has an excellent team for research, development,manufacture, marketing and management in the field. We have stable and

reliable resources, up-to-date equipment, unique process, advanced technique and perfect quality control system (including

Glow Discharge Mass Spectrometer VG9000).The system of environmental protection and occupational

harm prevention approved by the authorities meets the requirements of the

national standard.

There are manykinds of high purity products with a total production capacity of 4MT/month in the company, for instance, 5N, 6N, 7N, 7N5 arsenic, 5N,6N gallium, indium and antimony, and 2N,3N, 4N zinc arsenide.

Page 20: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 200518

COVER STORYFRONT-END MODULES

Cell-phone customers, vendors, andcomponent manufacturers are unitedin their quest for longer handset talk

times. One of the key aims for RFIC manu-facturers is to increase the efficiency of theiramplification stages, thereby reducing powerconsumption and increasing the time betweeneach battery recharge.

Agilent Technologies, which is followingthis route, believes it can grasp the winningformula now that it has acquired the Koreanpower-amplifier (PA) specialist Wavics(Compound Semiconductor March p9).According to Agilent mobile and wirelessindustry analyst Joe Madden, the combinationof the firm’s existing filter technology, whichdiscriminates between call signals and farmore powerful electromagnetic radiation, itsE-PHEMTtechnology, and Wavics’advancedcircuit design will propel Agilent up the RFICvendor rankings. Currently placed ninth in RFand microwave, the California-based chipmaker has its sights set on the top spot.

Penetrating Korean markets“Before the [Wavics] acquisition, Agilent’sbiggest strength was in duplexers and filtersfabricated in our Fort Collins fab,” revealedMadden. “We were shipping about 2 millionGSM amplifiers per month to some largeEuropean OEMs [original equipment manu-facturers], and we had introduced an EDGEamplifier. However, we had not got very farin terms of CDMAamplifiers, so our productportfolio for the entire RF space for mobilehandsets was limited. We had a hole in theportfolio.” With the addition of Wavics, a firmwith customers including Samsung and LGand a specific strength in CDMA amplifiers,Agilent has now plugged that gap.

Incorporating Wavics’HBTtechnology intoAgilent’s product line is not a trivial matter,because Agilent has always used an E-PHEMTprocess that was developed at its own labs. Butalthough the fundamental transistor technol-

ogy is quite different, Madden doesn’t see thisas a problem, because Wavics’ technology isnot specific to any particular type of amplifier.“[Wavics’technology is] agnostic in terms ofthe transistor or process used,” said Madden.He adds that Wavics’success has resulted pri-marily from its circuit design: “Wavics has thisgreat circuit technique and Agilent has aninherently efficient transistor process foramplifiers. When we put those two togetherwe’ll have the most efficient power amplifierson the market by far.”

Cool and efficientWavics’amplifiers use patented “CoolPAM”technology, so-called because the circuit tech-niques deployed allow amplifiers to run coolerand more efficiently, thereby increasing hand-set talk time. According to Madden, this tech-nology is particularly well-suited to efficientpower amplification for handsets operating inthe low-power regime, and integrates wellwith the high-power output provided byAgilent’s E-PHEMTs.

If you’re out in the countryside and youwant to use your cell phone to call severalmiles back to your base-station, all of theamplifier stages in the phone would be used.“We would be sending the signal through thetwo output stages to get the maximum outputpower, and in that case the E-PHEMT tech-nology is quite strong,” said Madden, whoclaims that Agilent’s amplifiers are 5% moreefficient than those based on HBTs. But thatsubtle difference isn’t enough to make cell-phone OEMs chose an Agilent product, saysMadden, because handsets don’t normallyoperate at maximum power. “Most of the timepeople’s phones are backed off by about twoorders of magnitude in power. What thatmeans is that phone designers care much moreabout low-power operation.”

Wavics’circuit design offers high efficiencyat low operating powers. “The CoolPAM tech-nique is a way of bypassing those output stages

so that for low-power operation in class ABmode, we can have up to 15% better efficiencythan traditional HBT amplifiers,” saidMadden. Although other companies are alsoswitching-out their output stages, Madden saysthat the key to Wavics’ technology is theabsence of physical switches on the MMICs.“Essentially [Wavics’technology] character-izes the impedance of the output stage in boththe on and off state,” he said, explaining thatthis is achieved by inserting a matching cir-cuit. Switching off the output transistors pre-sents a different impedance to the output of the

The challenge for today’s RFIC makers is to produce front-endmodules for cell-phone handsets that can deliver increased talktime in a small footprint. According to Agilent Technologies, thiscan be achieved by integrating E-PHEMT transistors andsophisticated switching. Richard Stevenson reports.

Joe Madden, Agilent’s analyst for themobile and wireless industry, thinks that thecombination of its E-PHEMTs and Wavics’circuit design will allow the company toproduce front-end modules that deliverlonger talk times. Above right: Agilent’sCoolPAM amplifiers use a sophisticatedswitching technique to achieve high-efficiency at low operating powers.

Switch technology delivers

Page 21: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

19COMPOUND SEMICONDUCTOR MAY 2005

COVER STORYcompoundsemiconductor.net

FRONT-END MODULES

driver stage, which in turn causes the outputsignal to take a different route. “We are able totake advantage of that to reduce the insertionloss within the amplifier and improve the effi-ciency,” said Madden, who reveals that thisprocess also reduces manufacturing costs byusing 15% smaller die.

Outsourcing strategyPrior to Agilent’s acquisition, Wavics was pro-ducing reliable devices in large volumes andwas on the verge of becoming a top-tier sup-plier to companies such as LG and Samsung.According to Madden, however, these bigcustomers were nervous about using Wavicsexclusively, because the company, which wasfabless and working with foundries, had anunproven supply chain.

Offering an interesting perspective on win-ning contracts with LG and Samsung, Maddenclaims that their barrier to entry is lower thanwith other OEMs: “[LG and Samsung] are

open-minded when it comes to trying newthings. If something doesn’t work, they moveon and try something else very quickly. That’stheir mode of operating in Korea, and that’swhy Wavics had the opportunity to get in.”

Madden explains that Agilent will continuewith the foundry model that Wavics estab-lished for HBTs. “[The outside fabs] have donea good job for Wavics. That business has beensuccessful, and we see no reason to change.”

“The strength of Agilent is that we havearmies of people that know how to fabricatedevices and we can specify to a much greaterlevel of detail what we want these foundriesto do. That’s part of the synergy,” he said.

According to Madden, Agilent’s efforts todate have focused on developing discretecomponents: “We’ve taken the individualduplexer and E-PHEMTpower amplifiers andsimply put them together on the same board.”

Agilent’s approach in 2003 was to mount afilter and amplifier on the same substrate, but

its next-generation modules will be influencedby Wavics’ design principles. “Rather thanusing a 50 Ω interface between the filter andamplifier we can design for optimal impedancefor the filter you choose to use,” said Madden.“That will allow us to shrink the filter andimprove the insertion loss between compo-nents by eliminating several steps of matchingand fine-tuning. And you’ll see another step ofimproved efficiency for talk time.”

Integration policyMadden now expects Agilent to enter into aperiod of increased integration: “We’ll havethese multiband or multifunction filters andput them together with multiband amplifiers.For example, you might have a CDMAfront-end module that has both CDMA frequencybands, 800 and 1900 MHz, with the two dif-ferent duplexers, all in one sort of super mod-ule.” The natural extension of this, he says, isa phone with one chip from Qualcomm thathandles the RF transceiver and baseband com-ponents, one chip from Agilent that handlesthe non-CMOS components, and an antenna.

The improvements that are expected fromAgilent’s increased integration are illustratedin figure 1. Next year the company plans toextend the integration to include Wavics’cir-cuit technique. The result should be animprovement in talk time of up to 90 minutes.

Madden believes that Wavics’circuit designis already giving Agilent an edge, by offeringtalk times at power outputs of 10 dBm that are25–30 minutes longer than those of its com-petitors. He believes that this advantage is sig-nificant: “Customers like Samsung, Nokiaand Siemens are very much focused on thetalk time. From what I hear, half an hour is asignificant improvement. Ten to 15 minutesmight not be noticed by a consumer but whenwe get to half an hour, that starts to be a dif-ferentiator for the OEM.”

As Agilent continues to turn around the for-tunes of its semiconductor products group, theRF sector offers the greatest room forimprovement. If successful, the integration ofE-PHEMT and CoolPAM technology shouldsee it move up the RFIC ranks quickly.

Further readingCompound Semiconductor May 2004 p23.

Fig. 1: Agilent’s transition from supplyingdiscrete components to front-end modules(FEMs) decreases the amplifier current,thereby increasing talk time. The datashown above were collected from Agilent’slabs using the same amplifier, but with thefilter and RF components integrated indifferent configurations. The discrete line-up contained a separate module for theamplifier mounted on a board matched to50Ω, matched to a separate duplexer at50Ω. The first-generation FEM brought thedie onto a common board, and the secondgeneration involved a co-design approach,with impedances not fixed and theefficiency of the two die optimized together.

450

400

350

300

250

200discreteline-up

first-generation

FEM

second-generation

FEM

ampl

ifier

cur

rent

(mA

)

s longer cellular talk times

Page 22: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

COMPOUNDSEMICONDUCTORWEEK 2005

October 30 –November 2, 2005Palm Springs,California, USA

Compound Semiconductor Week is a key event for the compound semiconductor industry.Encompassing the 2005 IEEE Compound Semiconductor IC Symposium (CSICS), theCompound Semiconductor Manufacturing Expo (CS-MAX 2005), the Key Conference andan exhibition showcasing suppliers of equipment and materials, it provides the ideal opportunityfor your company to network across all levels of the supply chain – in one great location.

October 30 – November 2, 2005

CSICS is where R&D and designengineers will hear first about state-of-the-art electronic circuits, devices andtechnologies under development by themajor compound semiconductorindustry.

October 31 – November 2, 2005

Dedicated to advancing themanufacturing process, CS-MAX is aforum for engineers and FAB managersto discuss the technologies andtechniques that will improve II-V devicemanufacturing and overall efficiency.

November 1–2, 2005

This event is an executive-level meetingthat will focus on the key technologicalthemes and market trends that willdominate the future of the industry. It is amust for senior managers whoappreciate how vital it is to gain an in-depth understanding of marketdevelopments and competitor strategies.

PLUS the Compound Semiconductor Week TechnologyExhibition will be open to all conference attendees free of charge. Numerous vendors will be on hand to discuss their products.

To request more information please visit our website: www.compoundsemiconductor.net /csmax

This year’s event will be held at the luxurious Hyatt Grand ChampionsResort and Spa in Palm Springs, California, USA.

Call for papersIf you would like to submit a paper forpresentation at either CSICS or CS-MAX then please visit our website for more informationwww.compoundsemiconductor.net/csmax

The deadline for electronic receipt of abstracts is May 2005.

Page 23: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 21

Severe pricing pressures are affecting theentire supply chain in the cellular andwireless local-area network (WLAN)

industry, with GaAs chip and power-ampli-fier (PA) manufacturers struggling to makea profit despite booming sales of cell phones.

At the same time, products such as hand-sets are undergoing continuous revision, withan increasing demand for greater device func-tionality and complexity as additional wire-less bands such as EDGE, W-CDMA/UMTS,WLAN a/b/g/n and WiMAX are accumulated.

TriQuint’s latest offering, based on E-/D-mode PHEMT technology, provides oneoption to increase integration and reduce test-ing costs. The technology has advantages overcompeting transistor designs that use a com-bination of HBTs and D-mode PHEMTs andMESFETs. These include low-voltage oper-ation, low control currents and more desirablebreakdown characteristics. In production,transistors have shown consistent character-istics on epitaxy from various sources.

GaAs and silicon integrationHandsets contain a mixture of silicon andGaAs ICs. The front-end transmit-and-receiveportion uses technologies such as GaAs HBTs,GaAs PHEMTs, filters and silicon LDMOS,while the transceiver and baseband elementsuse exclusively either silicon MOSFET orBiCMOS. The front-end transmit-and-receivemodules have to accommodate PAs, antennaswitches, power-control circuits, power-detectcircuits, electrostatic discharge (ESD) devices,low-noise amplifiers (LNAs) and filters.

Component and configuration choicesdepend on the wireless bands required, the cus-tomer’s design and the interface between theterminal’s transceiver and baseband elements.

The general trend toward smaller, cheaper,yet more complex front-end modules hasforced RFIC manufacturers into greater com-ponent integration and has promoted theacceptance of disruptive technologies. AsGaAs epitaxy costs have fallen, manufactur-ing yields and throughput have increased. Thecost of “known-good-die” testing, packagingand assembly can now exceed the basic com-ponent-fabrication expense. To combat this,device integration on a wafer can be increased,which cuts test costs and reduces module size.

The integration of HBT and FET technolo-

gies (box p23) enables a host of devices,including HBT-based PAs, FET power detectand sense circuits, FETantenna switches, FETbypass stage switches to alter PA characteris-tics and deliver improved efficiency at low out-put power and ESD protection with HBT-basedimplementations through pin diodes.

Since the optimum, low-cost, high-through-put method is to form a FET underneath theHBT in a single epitaxy sequence, the precisedelineation of fine-line features such as gateelectrodes is important. This is of most con-cern in high-performance antenna switch com-ponents requiring multigate structures andminimal gate-to-gate pitch to enable the trade-off of insertion loss, isolation, control current,and harmonic and cross-modulation perfor-mance. The issue also affects high-perfor-mance LNAcomponents because gate lengthprimarily limits low-noise performance.

E-mode PHEMTs have advantages over

HBTs for PA applications: they have no ther-mal runaway, they exhibit softer breakdowncharacteristics, they do not have large voltagedrops due to pn junctions and have a low turn-on voltage. They also offer easier interstagematching due to higher impedance and havehigh transconductance at low quiescent cur-rent, enabling sub-class B operation. However,HBT technology offers higher output power.

Integrating the E- and D-modes deliverssimilar circuit-building block functions toHBT and FET combinations, but benefitsfrom a greater variety of logic architectureover a D-mode-only technology. This resultsin low control current logic cells and decoders.

One disadvantage of PHEMTtechnology isthe difficulty in fabricating bias circuits that arestable in terms of process variation and tem-perature. ESD protection devices are also moredifficult to produce in PHEMTs than in HBTsbecause the deep dopant layers – or regions

Demand for smaller, cheaper and yet more complex RF modulesfrom cell-phone handset manufacturers is driving increased GaAscomponent integration and the development of disruptive RFICtechnologies. Walter Wohlmuth details TriQuint’s E-/D-modePHEMT process, developed in response to that demand.

DEVICE PROCESSING

TriQuint ups PHEMT integration

metal (4 µm)

metal (2 µm)

dielectric

NiCr

isolation implant

MIM Tmmetal 0

metal 2

metal 1 metal 1

dielectric

dielectric

N+pseudomorphic

channel

semi-insulating GaAs

NiCr resistorPHEMT metal–insulator–metal (MIM)

Fig. 1: TriQuint’s E-/D-mode PHEMT process offers a high level of integration and reducedtesting costs. A module can be produced with D-mode Schottky diodes, ESD protectiondevices and low-current source devices. Options include NiCr thin-film resistors with asheet resistance of 50±3W/square, a temperature coefficient of almost zero, metal–insulator–metal capacitors with 0.63±0.01 fF/µm/m2 and a maximum voltage rating of 40V.

Page 24: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

With a cohesive and complete team of professionals, Bandwidth Semiconductor continues to growin today's marketplace. We operate a complete compound semiconductor growth & device fabricationline housed in a 13,500 sq. ft. class 100/1000 cleanroom.

BANDWIDTH SEMICONDUCTOR, LLC25 Sagamore Park Rd., Hudson, NH 03051

www.bandwidthsemi.com(603) 595-8900

Epitaxial and Foundry Inquiries: [email protected] M/F/D/V

Epitaxial Services MOCVD Experts – 20 Years ExperienceOur extensive MOCVD experience and capacity enable us to grow a wide range ofGaAs and InP epitaxial structures to our ownor to customer's designs.

• GaAs and InP-based materials• 2", 3", 4" Custom Epi-Wafer Capability• Excellent Uniformity & Reproducibility• Production & Development Quantities

Foundry ServicesWe design the process steps and conditions tomeet the desired device characteristics andimplement the process in our fab. Processequipment includes:

• PECVD • Metallization • Wet & Dry Etch• Photolithography • Dielectric & Optical Thin Film Deposition• Wafer Polishing, Lapping, Dicing & Cleaving

Page 25: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

23COMPOUND SEMICONDUCTOR MAY 2005

COVER STORYcompoundsemiconductor.net

DEVICE PROCESSING

used as current sinks to deal with the ESD pulse– are not readily available. Novel PHEMTESDprotection devices are needed instead.

Manufacturing issuesTriQuint’s second-generation integrated E-/D-mode PHEMT technology uses planariz-ing low-k dielectrics to enable transmissionline, filter, balanced/unbalanced transformerand flip-chip integration (figure 1).

Improvements delivered by this second-generation technology over its predecessorinclude a 20% increase in saturation drain-source current (Idss) for the D-mode devicesand a 10% boost in the maximum current(Imax.). The E-mode current drive has beenincreased by 30%, while transconductance ofthe D- and E-mode devices is 5 and 12.5%higher, respectively (table 1).

Only small variations in device character-istic across each wafer and between processruns were seen, even though three differentepiwafer and various substrate suppliers wereused. In terms of E-mode PHEMT pinch-offvoltage (Vp), the results indicate a consistent,stable, controllable process (figure 2).

Full-wafer testing of Vp from a typical runshowed a standard deviation of 23 mV acrossa 150 mm wafer, discounting data from a5 mm edge exclusion zone.

Device optimization required extensivecross-functional collaboration between cir-cuit design, device modeling, device geome-try and layout, unit process steps and epitaxydesign. Correlation analysis between design,process, and epitaxy variants used fixed stan-dard designs that enable on-wafer measure-ments, plus “known-good-die” testing andpackaged testing. Significant effort improvedthe yield of unit process steps required to formthe transistors. In certain switch components,

a robust gate delineation process is requiredbecause the total gate width per wafer can beupwards of 1.3 km for 0.5 µm gate lengthdevices with gate–gate separations of 2.0 µm.

Wafer-level and packaged-part reliabilityqualification tests have shown the commercialviability of a high-volume, low-cost E-/D-mode PHEMT process using multiple mate-

rials suppliers. The highly integrated compo-nents that result should improve profitabilityin a market faced with tough technical chal-lenges and declining average selling prices.

Walter Wohlmuth is a senior technologyengineer at TriQuint Semiconductor. E-mail:[email protected].

Device integration on acommon substrate hasalready been demonstratedin many forms, for exampleHBT and D-mode MESFETs[1], HBTs and D-modeHEMTs (sometimes referredto as Bi-FET technology)[2–3], and E-/D-modeHEMTs [4]. The HBT andD-mode HEMT route wasused by Itakura et al., withthe collector region of theHBT forming the heavilyn-doped contact layers ofthe underlying D-modemodulation-doped FET.Usually the FET structure isgrown below the HBT, asthe reverse causes the highelectric fields of the FETstructure to penetrate theunderlying HBT and create

parasitic conductionchannels which degradeFET performance.

David Cheskis andco-workers fabricated aD-mode MESFET on top ofan HBT and observedvariations in the pinch-offvoltage with base biasvoltage, and high speedperformance degradationcaused by the heavilyp-doped base layer.

Streit and colleaguesused selective epitaxy:growing the HBT first, andthen depositing a SiNdielectric structure on top,before growing a HEMTwithin the dielectricopening. The dielectric andthe epitaxy on top of thedielectric were then etched

away. This approachpermits independent deviceoptimization of the HBT andthe HEMT. The downside isthat multiple epitaxy growthcycles reduce throughput,require strict control ofsurface cleanliness andstoichiometry, and add costthrough additional epitaxyand consumption ofvaluable wafer area.

References[1] Cheskis et al. 1992 IEDMTechnical Digest p91.[2] Itakura et al. 1989 IEDMTechnical Digest p389.[3] Streit et al. 1995 IEEETrans. on Electron Dev. 42p618.[4] Wohlmuth et al. 2004IEEE CSICS Digest p115.

On-wafer device integration

0.500.45

0.400.35

0.30

0.25

0.200.15

0.10devices from consecutive runs

pinc

h-of

f vol

tage

(V)

0.55

0.60

Fig. 2: TriQuint’s improved E-mode PHEMTmanufacturing process delivers a run-to-run mean value for pinch-off voltage of325mV with a standard deviation of 45mV.

Vp (mV) –1000 –600 –800 200 500 350Idss (mA/mm) 130 330 240 0.00001 0.01 0.0004Imax. (mA/mm) 390 640 525 220 420 320Igss–2.5 (A/mm) 0.01 10 0.7 - - 0.03Gm (mS/mm) 300 450 365 475 750 620Ron (ohm-mm) 0.5 2.5 1.4 - - 2.2Bvdgo1 (V) 15 21 15 - 21Ft (GHz) 15 40 27 20 50 33Gm/Gds 15 60 35 50 100 75Fmax. (GHz) - - > 80 - - >100MSG [2 GHz] (dB) 16 30 22.5 22 28 25Vp: pinch-off voltage; Idss: saturation drain-source current; Imax.: maximum current setting; Igss: reverse gate leakage current;

Gm: maximum transconductance; Ron: on resistance; Bvdgo: two-terminal breakdown voltage; Ft: maximum unity gain cut-off frequency;

Gm/Gds: maximum transconductance to output ratio; Fmax.: maximum oscillation frequency; MSG: maximum stable gain.

Parametric D-mode E-modemin. max. nominal min. max. nominal

Table 1

The 0.5µm transistors offer an improvement over their forerunners in terms of current driveand transconductance. They have been optimized to maximize current drive, Gm, and theratios of Fmax. to Ft and Gm to Gds. At the same time, Ron and the product of Ron to Coff havebeen minimized, while maintaining a minimum breakdown voltage of 15V.

Page 26: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Your source for High Purity Gallium4N6N7N

MBE

Recapture Metals, Inc.

Contact us regarding your Purity and Size requirementsIngotsBottlesButtons

Etc.Toll and purchase programs for the recycle

of all types of Gallium and Indium scrap

Email:[email protected]

Phone: 435-678-2734Fax: 435-678-3276

Page 27: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 25

With the average selling price ofmany compound semiconductordevices sliding by up to 20% year

after year, it is becoming ever more critical forchip makers to improve margins by maxi-mizing wafer yield, throughput and manu-facturing uptime, while reducing the amountof scrap material produced.

Investment in a new, larger tool to increasemanufacturing capacity is part of the solution,but the economics of nearly all device pro-duction requires attention to a myriad of vari-ables in cost-of-ownership calculations. Asmight be expected, process system perfor-mance provides many of the critical variables.Obtaining a favorable cost analysis for tooloperation implies systems that guarantee longuptimes and high throughput while main-taining a low level of scrap. Critical thoughthis data might be for making decisions relat-ing to production and capacity, collecting it isusually a tedious process and as a result suchinformation is often unavailable.

Several years ago, Unaxis introduced a pro-duction batch plasma-enhanced chemicalvapor deposition (PECVD) module for sili-

con nitride (SiNx) deposition. Using the samebasic technology and process regimes of ear-lier systems, a new, larger module has nowproved itself under high-volume productionconditions, and the data presented here con-firm its usefulness.

Controlling film thicknessPECVD SiNx is used in many different III-V-based devices such as MESFETs, HBTs andHEMTs in the roles of passivation and encap-sulation (figure 1). In particular, the large dielec-tric constant of SiNx makes it attractive for useas an intermetallic insulator material inmetal–insulator–metal (MIM) capacitors. Tomeet circuit and device-design criteria as acapacitor dielectric, SiNx film thickness andinsulator properties need to be tightly controlled.

A SiNx deposition process was developedfor GaAs monolithic microwave integratedcircuits (MMICs) and used in high-through-put batch PECVD production at RF MicroDevices. PECVD is well suited to the low-tem-perature constraints of GaAs-device manu-facturing, as the technique allows growth ofhigh-quality SiNx films below 400 °C with

deposition rates of approximately 100 Å/min. The PECVD system uses a conventional

parallel-plate configuration operating at13.56 MHz. Dilute silane in nitrogen andammonia are combined in a plasma to formthe SiNx film. Nitrogen is used as a carrier gas,and it is also introduced as a separate gas inthe deposition process. It is possible to con-trol the film stress over a stress range of about–300 (compressive) to 300 MPa (tensile) bymodifying the plasma chemistry [1].

Controlling film stress is critical because itstrongly influences the mechanical integrityand electrical characteristics of the manufac-tured device. It is is also useful for maintain-ing either low composite stress in device layersor for introducing a desired level of stress todefine specific film properties. A stress ofaround zero ensures that even relatively thickSiNx films will have a small absolute stress.

Film specifications for the batch PECVDproduction solution exceed the requirementsfor most MMIC applications. In general, SiNx

film specifications cover within-wafer, wafer-to-wafer and batch-to-batch film thicknesses,since a highly uniform target film thickness

FILM DEPOSITION

Maximizing yield, increasing throughput and reducing scrap is becoming increasingly critical forGaAs device manufacturers as customers demand cheaper products. David Lishan from Unaxis,Mike Fresina of RF Micro Devices and their colleagues describe how a large PECVD module hasmore than proved its worth in a high-volume production environment.

metal 1

metal 2

dielectric 3

dielectric 2

dielectric 1

Batch-deposition module cutsdowntime and material waste

Fig. 1. Silicon nitride is used for passivation (dielectric1), MIM-capacitor (dielectric 2), and glassivation (dielectric 3) applications.

The large-area batch PECVD system – used to deposit SiNx films –holds up to five 150mm-diameter GaAs wafers.

Page 29: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

27COMPOUND SEMICONDUCTOR MAY 2005

COVER STORYcompoundsemiconductor.net

FILM DEPOSITION

is essential for meeting capacitance designcriteria. Statistically speaking, the standarddeviation of a film thickness must be within2.5% of the specified target.

To establish whether these targets had beensuccessfully met, more than 1700 samples offilm thickness were collected over a more thana year using a spectroscopic reflectometer(figure 2). The data shown correspond to SiNx

films deposited on five different systems andhave been normalized to the target thick-nesses. The narrow, near-Gaussian distribu-tion levels reveal a normalized standarddeviation of 0.0097, and this confirms a tightdistribution around the target film thickness.

Similar results to this have been found withthree other film-thickness goals that spanneda range of between one and 10 times the thick-ness of the thinnest film. For proprietary rea-sons, the film thicknesses shown in figure 3

have been normalized to the thinnest film pro-duced (that is, film thicknesses were two, four,and nine times that of the thinnest film).

Wafer-to-wafer thickness reproducibilitywas also demonstrated to be within the 2.5%target window (figure 3). More important wasthat the within-wafer uniformity over themeasured production runs also met therequired level of reproducibility. All of thiswas achieved, in part, because the reactorencourages deposition uniformity owing tothe careful design of a showerhead forprocess-gas introduction and the use of aunique pumping manifold.

Reproducible film qualityBeing able to reproduce high-quality film isas important as meeting film-thickness tar-gets. In the case study presented in figure 4,the films were used as capacitor dielectrics.

Wafer-to-wafer capacitance-density repro-ducibility is presented for three different filmsand, as with the film-thickness measurements,the capacitance-density figures are normal-ized to the smallest value. Again, the repro-ducibility surpasses the 2.5% specificationgoal for both capacitance density and forwafer-to-wafer uniformity.

By achieving the desired process stability,a high yield is ensured. After device perfor-mance, yield improvement is often consideredto be the next most critical goal in a manu-facturing environment. Device-functionrequirements, along with process capabilitydetermine the level of qualified product.Reproducibility within upper and lowerbounds, as described by statistical processcontrol, is determined by many variables,including maintenance schedules and processstability (of gas flow, pressure, RF power and

stan

dard

dev

iatio

n (%

)

2.0

1× 2× 4× 9×normalized film thickness

1.5

1.0

0.5

0

wafer-to-wafer thickness within-wafer uniformity

stan

dard

dev

iatio

n (%

)

2.0

1× 2× 4×normalized capacitance density

1.5

1.0

0.5

0

capacitance density wafer-to-wafer uniformity 100

95

90

85

801 2 3 4 5 6 7 8 9 10 11 12 13

uptim

e (%

)

system 1system 2system 3system 4

time (months)

Fig. 3. Variations in the within-wafer (blue) and wafer-to-wafer filmthickness (red) were well within the 2.5% target range. Keepingwithin this range is essential to meet capacitance design criteria.

Fig. 4. Capacitance density, an important indicator for deviceperformance characteristics, showed adequate reproducibilitywithin a single wafer (blue) and between different wafers (red).

Fig. 5. As well as film uniformity and quality, uptime is crucial forGaAs-device manufacturers. Four batch PECVD systems weremonitored over 13 months, resulting in an average uptime of 98%.

180

160

140

120

100

80

60

40

20

00.95 0.96 0.97 0.98 0.99 1.00 1.01 1.02 1.03 1.04

normalized film thickness

frequ

ency

Fig. 2. A near-Gaussian distribution of the deposited SiNx filmthickness indicates sufficiently high reproducibility for the batchPECVD process with more than 1700 samples collected.

Page 30: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 200528

FILM DEPOSITION

temperature, for example).In this case, the reproducibility is attributed

to a highly robust system and routine mainten-ance procedures. The tighter the capacitancedistribution, the better the final product yieldwill be of devices with crucial characteris-tics such as high efficiency and high gain.

The batch deposition module used in thiswork was intended not only to provide SiNx

films of the required quality, but also toincrease throughput. To do this, it uses anappreciably larger chamber than is typical,and can, therefore, accommodate significantlymore wafers in each batch. The two standardbatch sizes are eight 100 mm-diameter wafersand five 150 mm-diameter wafers. Availableon a cluster tool platform, the large-areaPECVD batch system offers fully automated,cassette-to-cassette processing, and batchesare loaded from the cassettes using a rotatingindexer in the process chamber.

Equally important to the throughput figuresused in cost-of-ownership calculations is thesystem’s operational uptime. Figure 5 showsthe uptime of four different batch PECVD sys-tems recorded over a period of a year. Exceptfor a single month on system 4, where the oper-ational uptime dipped to 93%, the uptime per-formances were consistently above 95% withan average uptime of more than 98%.Scheduled maintenance time is counted asdowntime, as described in the internationalsemiconductor guidelines provided by SemiE10-0701. This preventative maintenance aver-aged approximately 2% of measured down-time per system over the reviewed period.

Interpreting these uptime figures requiresat least a rudimentary understanding of themaintenance cycle and practices involvedwhen using a batch deposition module. ThePECVD reactor includes several features tomaintain system cleanliness that all serve toenhance yield and minimize system down-time. For example, the chamber walls and theupper gas-distribution electrode of the reac-tor are heated to minimize particulate forma-tion during SiNx deposition. As might beexpected, SiNx is deposited on the wafer andalso on exposed surfaces in the chamber. Ifthis material were allowed to build up indef-initely, issues with process repeatability andparticulate control would arise.

Preventative measuresTo help maintain consistent levels of effi-ciency, the standard PECVD SiNx processincorporates both a regular in situ clean and amanual cleaning process. The in situ clean is

performed more frequently (after approxi-mately 1.5 µm of deposition) and is based ona fluorine plasma etch.

To achieve and consistently maintain thereactor in a clean, known state, an automaticplasma etch-back sequence is integrated withan optical emission spectrometer (OES). TheOES ensures that effective in situ cleaning iscompleted in the shortest possible time.Typical in situ cleaning proceeds at a rate ofapproximately 2500 Å/min and thus cleaningtakes around six minutes or about 4% of thedeposition time. As a result, manual cleaninghas to be carried out only once a year.

A number of important features are avail-able on the batch PECVD system to improvesystem maintainability and uptime. Thesewere all implemented based on experiencewith the standard PECVD system and includeclean-chamber design. Modifications weremade to minimize the number of parts of thereactor that tend to collect deposited materialand become difficult to clean. A heated topelectrode improves processing ability and sys-tem cleanliness, while port access to the mainpump manifold allows for easier manualcleaning of the main vacuum manifold.

Now proved at various customer produc-tion sites, we conclude that the batch PECVDsystem provides advanced III-V device manu-facturers with a high-throughput solution fordepositing high-quality SiNx at low processtemperatures. Extensive testing has demon-strated that these results are not only repeat-able for production with 150 mm-diametersubstrates, but they are also achieved withuptimes of approximately 98% and with neg-ligible scrap generation.

Reference[1] K D Mackenzie, B Reelfs, M Devre,R Westerman and D J Johnson 2004 Proc. CSMantech Technical Digest pp319–322 .

David Lishan, Ken Mackenzie and DaveJohnson are with Unaxis Wafer Processing inSt Petersburg, Florida. Mike Fresina, DougWend and John Erickson are with RF MicroDevices in Greensboro, North Carolina. E-mail David Lishan at [email protected] or Mike Fresina at [email protected].

Uptime performanceswere consistently above95% with an average ofgreater than 98%.

Page 32: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Differentiating between subtle optical characteristics can provide critical information on defects. A particle

under the epi layer is a very different problem than a particle on the surface. Our Optical Surface Analyzers

(OSA) are unique surface inspection systems that employ a combination of measurement technologies

to automatically detect and classify a variety of defects. Defects are binned by size into user-defined

categories, and displayed on a defect map. The OSA images remain linked to the report, for quick and

effective review.

Automatically classifies particles and scratches as “on” versus “in or under” the epi layer

User-defined defect classifications allow automated detection and reporting of unusual defect types

Crystal defects such as dislocations and polytype changes are automatically detected and counted

Manual or automated cassette-to-cassette operation

Accomodates wafer sizes from 50 to 300 mm

For more product information, go to:

Accelerating Yield®

Particle in-epi: bright scatter dark reflected smaller scatter signature

(film thicker over particle)

Particle on-epi: bright scatter dark reflected same optical size

Do you know the three W’s of epi-layerinspection? Only Candela™ finds where it is, what it is, and when it occurred.

©20

05 K

LA-T

enco

r Cor

pora

tion.

www.kla-tencor.com/candela

Page 33: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

GAN DEVELOPMENT compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 2005 31

Reliability is the central issuefor DARPA’s triple play on GaN

DARPA has adopted a triple-prongedapproach to speed up the developmentof GaN-based microelectronics. As

detailed in its original broad agency announce-ment, Track 1 relates to an X-band trans-mit/receive module; Track 2 is focused on aQ-band high-power amplifier module; andTrack 3 requires the development of a2–20 GHz high-power amplifier module.

Raytheon is the lead contractor on Track 1,which itself is worth an initial $26.9 million.Track 2 is headed up by Northrop GrummanSpace Technologies (NGST) and could even-tually be worth up to $53.4 million, whileTriQuint is taking charge of Track 3, worth aninitial $15.8 million.

“A key objective of this program will be arapid transition of the technology developedinto military systems,” said DARPAin its pro-posal document, a sentiment echoed byDARPAprogram manager Mark Rosker, whodescribed the technology as a “coming revo-lution” at the CS Mantech event in NewOrleans. DARPA also aims for a “great”improvement in understanding the physicalreasons behind device failures and the devel-opment of physical models to predict perfor-mance, as well as a reproducible device andMMIC fabrication process, and improvedthermal management and packaging.

Triple-track developmentTrack 1 is focused on the development of anX-band transmit/receive module containingboth power-amplifier and low-noise ampli-fier MMICs. If all of the program options areexercised, this contract could, ultimately, beworth a whopping $59.4 million.

For Raytheon, teaming up with Cree is allabout accelerating the development of GaNdevices. By combining the capabilities of bothcompanies, the technology should becomeavailable to the military and commercialworlds much sooner, they claim. Raytheon’spart of the project will take place at RaytheonRF Components in Andover, Massachusetts,

while Cree’s efforts will be conducted at itsDurham headquarters in North Carolina, aswell as at its Santa Barbara Technology Centerin California.

For NGST, the primary contractor on Track2, the focus is on moving its GaN research anddevelopment work into volume production –the demand from DARPA is for at least 384three-inch wafers to be delivered over thecourse of the program. Historically, NGSThasbeen a key member of DARPA’s programs todevelop III-V devices, having worked on theMIMIC GaAs-development program in theearly 1990s and also on the successful devel-opment of InP-based MMICs that are usedtoday in advanced satellite applications.

According to Dwight Streit, NGST’s vice-president of foundation technologies, theexpectation is that GaN will sit beside GaAsand InP MMICs as a complementary tech-nology. NGST’s ultimate goal is to produce aQ-band (> 40 GHz) module with a continu-ous-wave power output of 20 W (see tables).

Under the Track 3 effort, which kicked offin mid-February, device development will

take place at both TriQuint and BAE Systems,with the relevant teams from both companiesworking together on the process. TriQuint’sTony Balistreri, the firm’s research and devel-opment program manager in Hillsboro,Oregon, says that the manufacturing processwill be finalized at TriQuint.

Balistreri and Rosker highlight reliabilityas the key challenge for all three teams. Track3 is to produce a wideband high-power ampli-fier module operating at frequencies of2–20 GHz for applications such as jammingand electronic attack. Balistreri says that thiswindow takes advantage of TriQuint’sstrength in X-band power output and effi-ciency, while BAE Systems brings expertisein devices operating at higher frequencies andhigher gain to the table.

“Developing this band gives us a path tohigher frequencies,” said Balistreri. If suc-cessful, the development should lead to mod-ules being manufactured for a range ofcommercial applications such as local multi-point distribution services, two-way satel-lite links and cellular back-haul.

While it is clear that in all three tracks SiCwill be the substrate material of choice, a cru-cial part of the initial two years (denoted PhaseII) of this project will involve gaining a morethorough understanding of the effects of dif-ferent substrate types on device performance.For example, BAE Systems has vast experi-ence in making GaN-based low-noise ampli-fiers on native GaN substrates.

Nitronex, also a Track 3 partner, is focusedon GaN-on-silicon transistor development,and Balistreri says that the Raleigh, NC, com-pany is on board to help the reliability drive.The team wants to understand why GaN-on-silicon devices have superior lifetimes, andhow this knowledge can then be applied toGaN-on-SiC devices.

The TriQuint team also has two academicpartners that will take on crucial roles.Michael Shur from the Rensselaer Poly-technic Institute in Troy, NY, is one of the

The overall level of funding that DARPA is putting into GaN microelectronics under its wide-bandgapsemiconductors program may have disappointed some, but the agency is certainly fast-tracking thetechnology. Michael Hatcher takes a look at the three teams on the wide-bandgap roster.

DARPA’s wide-bandgap semiconductorprogram is aimed at improving the reliabilityof GaN microelectronic devices throughbetter epitaxial growth, and developing avolume-manufacturing process.

TR

IQU

INT

Page 34: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

GAN DEVELOPMENT compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 200532

world’s leading exponents in the physicalmodeling of devices, while Jesus del Alamoat the Massachusetts Institute of Technologywill lend his experience to the reliability drivethrough extensive physics-of-failure analysis.

Wideband operation will enable multiplefunctions in a single system that transmits andreceives a range of communications at the var-ious frequencies it employs. For TriQuint, the18 month technological goals (table 2) call fora wideband device operating at 40 V with39dBm continuous-wave output power, 12dBgain and a power-added efficiency (PAE) of60%. TriQuint’s state-of-the-art performanceis exhibited by a 40 V, 1 mm device with anoutput of 5 W/mm at 10 GHz, 11–12 dB gainand a PAE of a little under 50%.

While the performance achieved so far isfairly close to the X-band goals, significantdevelopment is required to push up to thehigher frequencies needed for the widebandMMIC. “Device performance is a challenge,but it isn’t the main one,” said Balistreri. “Thenumber-one challenge is reliability.” Toimprove reliability there are two key steps:

optimization of epitaxial structures and thedevelopment of a manufacturing process thatmaintains reliability.

“Getting to 104 and 105 [hours reliable per-formance] maybe tough,” said Balistreri “butreaching106 is the real tricky part.” All devicesdegrade under stressful conditions such ashigh-temperature operation or RF under bias,with effects such as a degradation of drain cur-rent with time manifesting.

Like NGST, TriQuint sees the developmentof a volume-capable manufacturing processas a priority, and as a recognized volume sup-plier of MMICs already, it is putting its ownmoney and resources into this aspect of theprogram: “We are a large volume producer ofIII-Vdevices, and we expect to become a largesupplier of GaN devices as well,” saidBalistreri. “At the end of the day, TriQuintexpects to have both a GaN foundry processas well as standard GaN products.”

While DARPA’s development of GaN hasled to inevitable comparisons with the GaAsMIMIC program of the early 1990s, there issome disappointment within the industry

regarding the amount of funding allocatedto the effort, which is smaller in scale than theGaAs program. In comparison, the GaN teamsare working with what might be termed a“tiger” budget.

However, it seems clear that DARPAis try-ing to fast-track GaN development in anotherway, with the agency wanting to see GaN tech-nology in live systems as soon as possible.While there is usually an intermediate stepbetween the technology-development pro-grams and system insertion, that is not the casewith the GaN projects. In the second phaseof the current efforts (denoted “Phase III”),project partners are under instructions to comeup with business plans that will identify sys-tem-insertion points at the earliest opportunity.

By taking this relatively aggressiveapproach, it is reckoned by some that three tofive years may have been taken out of theentire commercialization process. Moduledevelopment and engineering may com-mence in around five to six years, while vol-ume production should become a realitywithin a decade.

1: X-band Raytheon $26.9 million 60 W continuous wave Creetransmit/receive (up to $59.4 million)module2: Q-band high-power Northrop Grumman $16.5 million 20 W continuous wave Monolithics, Emcore, Boeing, amplifier module Space Technologies (up to $53.4 million) Sirenza Micro Devices(more than 40 GHz)3: Wideband high-power TriQuint Semiconductor $15.8 million 100 W continuous wave BAE Systems, Lockheed-Martin,amplifier module (up to $31.7 million) II-VI, Nitronex, Emcore(2–20 GHz)

Taken from DARPA’s broad agency announcement

Track/module type Prime contractor Funding (Phase II) Required module Companies also on teamoutput power

Table 1. DARPA’s three-track attack

18 months 8–12 GHz transistor with a 1.25 mm Q-band transistor with a 0.5 mm As for Track 1gate periphery operating at 40 V gate periphery operating at 25 Vwith 39 dBm continuous-wave with 39 dBm continuous-waveoutput power,12 dB gain, a PAE output power, 8 dB gain, a PAEof 60%, a wafer yield of 50% and of 35%, a wafer yield of 50%105 hours’ projected performance 105 hours’ projected performance

30 months 8–12 GHz power-amplifier MMIC Q-band MMIC operating at 28 V 2–20 GHz power-amplifier MMICoperating at 48 V with 15 W with 4 W continuous-wave operating across a decade ofcontinuous-wave output power, output power, 7.5 dB gain, a PAE bandwidth at 48 V with 15 W16 dB gain, a PAE of 55% and of 37% and a wafer yield of 50% continuous-wave output power,a wafer yield of 50% 16 dB gain, a PAE of 30%,

and a wafer yield of 50% (at least 12 three-inch wafers)

Taken from DARPA’s broad agency announcement – actual program goals have been modified slightly.

Target Track 1 Track 2 Track 3

Table 2. DARPA’s 18and 30month “go/no-go” targets

Page 36: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR MAY 200534

RAW MATERIALS

Indium price soars as demandfor displays continues to grow

Indium and InP, although closely related toeach other, are going in different direc-tions. While InP chip makers can only

dream of filling their existing manufacturingcapacity, firms are now concerned aboutwhether they will be able to get hold of enoughindium. This perceived shortage has led to aspectacular increase in the cost of the mater-ial over the last three years, with prices rock-eting 10-fold since 2003 and now standing attheir highest level since the Second World War.

The reason for this soaring price is thatsome non-InP applications of indium haverecently witnessed stellar growth. On top ofthat, the future supply of indium is unclear,with production of the raw material droppingby more than 12% last year.

Rapid growth in displaysThe use of indium in coatings, mainly indiumtin oxide (ITO), has increased steadily and itnow accounts for 70% of total indium con-sumption, up from 45% a few years ago (seeCompound Semiconductor September 2003p33). This demand is linked closely to thestrong growth that has been shown by flat-panel displays, and by LCDs in particular.

The flat-panel-display market is expectedto continue growing until at least 2008,although perhaps at a slower pace than in thepast couple of years. Because of this, most ITOproducers have also announced capacityincreases: Umicore doubled its capacity in2004; Mitsui and Nikko will follow in 2005 or2006; and Tosoh is looking at hiking its capac-ity, provided that it can secure enough indium.The use of ITO coatings in architectural glass,on the other hand, has decreased considerablybecause of the increasing price of indium.

Semiconductors and electrical componentsaccount for a stable 12% of all indium con-sumption, and demand from InPdevice mak-ers is not expected to change considerablyover the next few years. Strategy Analyticsforecasts an annual growth rate of 6% between2003 and 2008, but recent statistics from

Japan show that indium use in compoundsemiconductors stagnated between 2002 and2005 at 7 MT/year.

The use of indium in solders and alloys isthought to have dropped to just 12% of allindium consumption, mainly because differ-ent metals are now used. Other applicationsof indium that may take a more prominent rolein the future include thin-film solar cells,where a pressing shortage of solar-grade sil-icon may allow copper indium diselenidesolar cells to break into the market.

According to the US Geological Survey,total indium production dropped from370 MT/year in 2003 to 325 MT/year in 2004(figure 2). Anumber of indium mining activ-ities have closed in recent years. In France,Metaleurop ceased production entirely and inChina several mining and smelting operationswere halted because of concerns over safetyand the effect of indium extraction on the localenvironment. It does seem, however, thatChinese output in 2004 was similar to that of2003, probably because the high price ofindium made it economical to recover everyscrap of the metal that was available.

Indium is a by-product of zinc, tin and leadproduction, so increasing output is not sim-ply a case of extra mining. Rather, it involvesinvestment in the refining equipment that isable to extract increasingly small concentra-tions of indium from other material streams.This requires time and money.

Business closures and start-ups have beenannounced for the near future. Nippon Miningin Japan will close its exhausted Tohoya minein 2006. This is likely to remove up to30 MT/year from the supply chain and cutJapanese production by between one-third andone-half. In Canada, Teck Cominco will keepits 2005 output stable at 40 MT. New indium

Demand for InP-based devices may just be crawling out of an extended slump, but its main rawmaterial, indium, is highly sought after – it is currently trading at its highest price for the last 60 years.Thomas Jansseune looks at the market forces that could be problematic for InP manufacturers.

Fig. 2. Worldwide indium production fellfrom 370MT in 2003 to 325MT in 2004. It isclear from this table that the reduction wasa result of a drop in production in France.

Belgium 40 40Canada 50 50China 100 110France 65 10Germany 10 10Japan 70 70Peru 5 5other 30 30

Country 2003 2004production (MT) production (MT)

Indium production 2003–2004

Fig. 1. Demand for indium is on the rise, but production and supply remain uncertain.

UM

ICO

RE

SO

UR

CE

: US

GS

Page 37: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

35COMPOUND SEMICONDUCTOR MAY 2005

RAW MATERIALScompoundsemiconductor.net

production has been announced in China andSouth Korea, where Korea Zinc will startrecovering as much as 34 MT/year or more ofindium from its zinc concentrates.

Umicore is the only established, fully integ-rated ITO producer. Thanks to output from itsprecious-metals refining plant in Belgium, itis able to produce its own indium products.

One important point to consider is that alarge amount of secondary indium can berecovered via recycling. Application of ITO

coatings is a wasteful process and only around20–30% of the material actually ends up onthe substrate. Dowa Mining, Nippon Miningand Umicore all recycle ITO.

With indium demand remaining strong andsupply of the material uncertain, its value islikely to remain high, although its price mayalso be subject to the activity of metal traders.However, the cost of indium has created a basefor more investment in the recycling andrecovery of indium. This should,ultimately,

lead to an equilibrium between supply anddemand, but not in the short term.

The business closures and start-ups thathave been announced could trigger a shift inthe supply base. Integrated manufacturers ofindium products, which have indium pro-duction and recycling in house, will be in awinning position. For whatever application,be it InP chips or ITO coatings, control overthe supply chain will be crucial.

AcknowledgmentsThanks to Yves Simons (general manager,Umicore Indium Products) and Paul Mijle-mans (business line manager, UmicoreSubstrates) for suggestions and comments.

Further readingM W George Indium 2004 United StatesGeological Survey.Rare Metal News 1 March 2005 (Japanese).Metal Bulletin 5 Nov. 2004; 3 March 2005.

Thomas Jansseune is general manager ofUmicore Materials, Taiwan. E-mail:[email protected].

1200

1000

800

600

400

200

0J F M A M J J A S O N D J F M A M J J A S O N D J F M

time2003 2004 2005

indi

um p

rice

($/k

g)

Fig. 3. Owing to the success of the flat-panel-display industry and a drop in productionvolume of indium, the price of the material has risen 10-fold over the past two years.

SO

UR

CE

: ME

TAL

BU

LLE

TIN

Page 38: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

M&E NEWS

COMPOUND SEMICONDUCTOR MAY 200536

MOCVD equipment supplierAixtron returned to profitability in2004 after posting full-year salesof 7140 million ($180 million).

That represents a 55% increaseon the 2003 revenue figure, whichwas carried through to the bottomline as the Aachen, Germany, firmposted a profit of 77.1 million.

In 2003, a year that Aixtrondescribed as “the most difficult inits 20-year history”, the companymade a loss of 717.8 million.

The burgeoning LED marketwas largely responsible for theimprovement, with the sectoraccounting for 81% of equipmentdispatched in 2004. In 2003, thatfigure was 74%.

The outlook appears positivetoo, with orders up 40% on theprevious year, while the companyclaims to be maintaining its salesprices and taking market sharefrom its competitors. Regionally,the Asian market has beenresponsible for much of therecovery, representing 77% ofAixtron’s revenue in 2004.

However, Aixtron CEO PaulHyland warns that some more dif-ficulties may lie ahead. “As isbeing predicted by many market

watchers, we believe that thebusiness climate may remain dif-ficult for the next 12 months,” hesaid, adding that after a verystrong first half to 2004, the mar-ket appeared to weaken in thelater part of the year.

“The market confidence recov-ery we saw in late 2003 and 2004is still fragile. I believe it will beanother tough year. One in whichwe may still experience somestormy weather,” said Hyland.Since its debut on the NASDAQstock exchange in mid-March, thecompany’s share price has dippedby around 30%.

As well as being upbeat on theprospects for the newly acquiredGenus division, which special-izes in atomic-layer-depositiontools, Aixtron says that theemerging application for LEDsto backlight large displays, andGaN lasers used in next-genera-tion DVD, will benefit the com-pany in the near future.

“The manufacturers of next-generation DVD players are wait-ing in the wings, and several havealready chosen Aixtron equip-ment for the intended mass pro-duction of blue lasers.”

PRODUCT SHOWCASETo advertise your product in this section, contact Luke Neelon tel: +44 117 930 1033, or e-mail: [email protected].

Hydrogen Atom Beam SourceDr. Eberl MBE-Komponenten GmbHThe new, highly efficient gas cracker HABS was developed and characterizedat the Research Centre, Jülich. The fully UHV- and MBE-compatible source isnow manufactured and marketed by Dr. Eberl MBE-Komponenten. Anabsolutely ion-free atomic H-beam is produced in a heated W-capillary usingonly DC current (I < 15 A, U < 15V). The measured degree of dissociation for aflow of 1.5 ×10–4 mbar/ls (3.7 ×1015 mol/s) is higher than 95%. The thermalload on substrate and chamber is thereby minimized by integrated watercooling. Typical applications for the HABS are low-temperature surface clean-ing and promotion of 2D thin film growth.

Contact: Dr. Eberl MBE-Komponenten GmbHTel: +49 7033 6937 0Web: www.mbe-components.comIn the USContact: United Mineral &Chemical Corp.Tel: +1 800 777 0505E-mail: [email protected]: www.umccorp.com

‘Stormy’ market makesprofitable Aixtron wary

Sapphire SubstratesMonocrystal PLCMonocrystal is a leading supplier of C-plane sapphire substrates for GaNepitaxial deposition for blue and white LEDs. Monocrystal also offers A-,M- and R-plane epi-ready sapphire substrates up to 150 mm in diameterfor various semiconductor applications. A high level of sapphireprocessing provides precise crystal orientation, low roughness of polishedsurface and perfect geometry. High purity, low dislocation density andexcellent light conductivity make our substrates grown by the Kyropoulosmethod an ideal choice for high-tech applications.

Contact: Monocrystal PLC,4/1 Kulakov prospect, 355035,Stavropol, RussiaTel: +7 8652 956529Fax: +7 8652 956528E-mail: [email protected]: www. monocrystal.com

Epitaxy production toolsVeeco InstrumentsVeeco, the world’s largest compound semiconductor equipment supplier,offers the industry’s highest volume epitaxial production tools. TheGaNzillaTM is the preferred MOCVD system for GaN-based devices dueto its lower production costs and brighter LEDs. Veeco’s GEN2000TM

cluster tool system is the only qualified 7 ×6´´ MBE tool on the market. Itis the standard for high-volume production of RFIC devices. Veeco’s

advanced Process IntegrationCenter houses production tools forboth MBE and MOCVD for collaboration on devicedevelopment.

Contact: Tom MieheTel: +1 732 560 5300Fax: +1 732 560 5301E-mail: [email protected]: www.veeco.com

UK-based IQE shipped 140,000III-V and silicon epiwafers andIII/V substrates in 2004, a 27%year-on-year increase.

The company’s revenue for thehalf-year ending December 31,2004 was £7.3 million ($13.9 mil-lion), down £0.7 million sequen-tially, and 19% lower than that forthe equivalent half of 2003.

According to IQE, the declinein sales resulted from the combin-ation of a weak dollar, aggressivepricing to attract more business,and a weakness in the optical-components market.

Gross loss and operating loss

for the second half of 2004 were£1.9 million and £5.1 million,respectively. These losses hitIQE’s reserves and the companyexited 2004 with £9.9 million incash, compared with £21.7 millionat the end of 2003.

The IQE Group has also lostthe services of Martin Lamb,managing director of its WaferTechnology business, who hasresigned and was due to leave thecompany on April 29.

Former Qinetiq man AndyJohnson takes over as operationsdirector at Wafer Technology,based in Milton Keynes, UK.

IQE wafer shipments grow 27%

Page 40: G A IC Improving margins through component integrationdownload.iop.org/cs/cs_11_04.pdf ·  · 2006-06-29Improving margins through component integration Indium price goes ... Joseph

Solutions for a nanoscale world.™

Compound SemiconductorSolutions:

MOCVD, MBE, PVD, IBD, IBEAFM and Optical MetrologyProcess Integration Center

Thinking blue?Think Veeco MOCVD.

For blue LEDs and lasers, Veeco deliverssuperior device quality and highest throughput.

Featuring our TurboDisc® platform that enables extremely precise interface control,Veeco MOCVD tools deliver the exceptional material quality required for highperformance blue LEDs and lasers. Whether you need tools for materials researchand device development, or the highest throughput production tools with 3” or 4”wafer capabilities, no one covers the blue spectrum better than Veeco. For moreinformation, visit www.veeco.com/blue or call 1.888.24.VEECO.

Veeco’s high-volume GaNzilla™ MOCVD system