124
APPROVED: Oliver M. R. Chyan, Major Professor Justin Youngblood, Committee Member Rob Petros, Committee Member William E. Acree, Committee Member and Chair of the Department of Chemistry Mark Wardell, Dean of the Toulouse Graduate School FUNDAMENTAL STUDIES OF COPPER BIMETALLIC CORROSION IN ULTRA LARGE SCALE INTERCONNECT FABRICATION PROCESS Simon Kibet K oskey, B.Sc. Dissertation prepared for the Degree of DOCTOR OF PHILOSOPHY UNIVERSITY OF NORTH TEXAS May 2014

Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

APPROVED:

Oliver M. R. Chyan, Major Professor Justin Youngblood, Committee Member Rob Petros, Committee Member William E. Acree, Committee Member and

Chair of the Department of Chemistry Mark Wardell, Dean of the Toulouse Graduate

School

FUNDAMENTAL STUDIES OF COPPER BIMETALLIC CORROSION IN ULTRA

LARGE SCALE INTERCONNECT FABRICATION PROCESS

Simon Kibet K oskey, B.Sc.

Dissertation prepared for the Degree of

DOCTOR OF PHILOSOPHY

UNIVERSITY OF NORTH TEXAS

May 2014

Page 2: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Koskey, Simon Kibet. Fundamental Studies of Copper Bimetallic Corrosion in Ultra

Large Scale Interconnect Fabrication Process. Doctor of Philosophy (Chemistry-Analytical

Chemistry), May 2014, 113 pages, 2 tables, 55 figures, chapter references.

In this work, copper bimetallic corrosion and inhibition in ultra large scale interconnect

fabrication process is explored. Corrosion behavior of physical vapor deposited (PVD) copper on

ruthenium on acidic and alkaline solutions was investigated with and without organic inhibitors.

Bimetallic corrosion screening experiments were carried out to determine the corrosion rate.

Potentiodynamic polarization experiments yielded information on the galvanic couples and also

corrosion rates. XPS and FTIR surface analysis gave important information pertaining inhibition

mechanism of organic inhibitors. Interestingly copper in contact with ruthenium in cleaning

solution led to increased corrosion rate compared to copper in contact with tantalum. On the

other hand when cobalt was in contact with copper, cobalt corroded and copper did not. We

ascribe this phenomenon to the difference in the standard reduction potentials of the two metals

in contact and in such a case a less noble metal will be corroded.

The effects of plasma etch gases such as CF4, CF4+O2, C4F8, CH2F2 and SF6 on copper

bimetallic corrosion was investigated too in alkaline solution. It was revealed that the type of

etching gas plasma chemistry used in Cu interconnect manufacturing process creates copper

surface modification which affects corrosion behavior in alkaline solution. The learning from

copper bimetallic corrosion studies will be useful in the development of etch and clean

formulations that will results in minimum defects and therefore increase the yield and reliability

of copper interconnects.

Page 3: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Copyright 2014

by

Simon Kibet Koskey

ii

Page 4: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

ACKNOWLEDGEMENTS

First and foremost I would like to thank the Almighty God for his favor upon my life and

for making this entire journey to be possible. Secondly, I wish to express my heartfelt gratitude

to my major advisor, Professor Oliver Chyan, for he has been more than an advisor to me. He

gave me an opportunity to work with him, provided research guidance, kept looking out for my

professional career and above all offered a caring ear. His professionalism, technical expertise

and personal integrity are some of his qualities I will always strive to emulate. I am truly grateful

that I have been part of his research family. I’m also grateful to my committee members for

taking their time and being there to see me through this journey. I would also like to give thanks

to Dr. Kanwal Jit Singh of Intel for his guidance and encouragement throughout and specifically

trusting me with projects and ensuring that I get everything I needed to succeed during my

internship at Intel. Many thanks go to my present and former research colleagues Dr. Yu and Dr.

Pillai, Pofu, Sirish, Tamal, Jafar, Nick and Arindom for the encouragement, intellectual help and

support throughout these years.

Finally, I want to thank my family members for without them this would not have been

possible. I am deeply indebted to my family for their material, moral and spiritual support during

my entire time in school. To my parents, Samson and Grace, the sacrifices you made for me and

my siblings cannot be repaid and no thank you is enough, my friend and loving sister Hellen

Chelangat for always being there for me, believing in me and always encouraging me to aim

higher, my cousin Eunice Kimunai, thanks you for your love and kindness, your kindness will

forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for

your unconditional love and support. May God bless you all.

iii

Page 5: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

TABLE OF CONTENTS

ACKNOWLEDGEMENTS ........................................................................................................... iii

LIST OF FIGURES ..................................................................................................................... viii

CHAPTER 1: INTRODUCTION AND INSTRUMENTATION .................................................. 1

1.1 Introduction ........................................................................................................................... 1

1.2 Instrumentation...................................................................................................................... 5

1.2.1 Electrochemistry ............................................................................................................. 5

1.2.1.1 Electrochemistry of Corrosion ................................................................................ 5

1.2.1.2 Tafel Plots ............................................................................................................... 8

1.2.1.3 Electrochemical Impedance Spectroscopy (EIS) .................................................... 9

1.2.1.4 Rotating Disk Electrode System (RDE) ............................................................... 11

1.2.2 X-ray Photon Spectroscopy .......................................................................................... 11

1.2.3 Contact Angle ............................................................................................................... 15

1.2.4 Thin Film Deposition.................................................................................................... 16

1.2.4.1 Chemical Deposition ............................................................................................. 17

1.2.4.2 Physical Vapor Deposition (Sputtering) ............................................................... 18

1.2.5 Micropattern Corrosion Screening Technique ............................................................. 20

1.3 References ........................................................................................................................... 22

CHAPTER 2: COPPER INTERCONNECT PROCESSING ....................................................... 25

2.1 Introduction ......................................................................................................................... 25

2.2 Interconnect Integration ...................................................................................................... 26

iv

Page 6: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

2.3 Process Flow ....................................................................................................................... 27

2.3.1 Tungsten Vias Fabrication ............................................................................................ 27

2.3.2 Dual Damascene Copper Process ................................................................................. 29

2.3.3 Dielectric Patterning ..................................................................................................... 32

2.3.4 Low k Dielectrics .......................................................................................................... 34

2.3.5 Metallization ................................................................................................................. 36

2.3.5.1 Copper Diffusion Barrier Deposition.................................................................... 36

2.3.5.2 Copper Deposition ................................................................................................ 39

2.3.6 Chemical Mechanical Polishing (CMP) ....................................................................... 41

2.3.7 Cu Interconnects Reliability ......................................................................................... 44

2.3.7.1 Electromigration ................................................................................................... 44

2.3.7.2 Stress Induced Voiding ......................................................................................... 46

2.4 Summary ............................................................................................................................. 46

2.5 References ........................................................................................................................... 47

CHAPTER 3: BIMETALLIC CORROSION BEHAVIOR OF COPPER ON RUTHENIUM

AND COBALT ON COPPER THIN FILMS IN POST CMP CLEANING SOLUTIONS ........ 53

3.1 Introduction ......................................................................................................................... 53

3.2 Metal Corrosion................................................................................................................... 54

3.3 Experimental ....................................................................................................................... 58

3.3.1 Micropattern Corrosion Screening ............................................................................... 58

v

Page 7: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

3.3.2 Tafel Plots ..................................................................................................................... 60

3.3.3 Optical Profilometry ..................................................................................................... 61

3.4 Results and Discussion ........................................................................................................ 61

3.4.1 Bimetallic Corrosion of Cu on Ru in Post CMP Cleaning Solution ............................ 61

3.4.2 Bimetallic Corrosion of Co on Cu in Acidic Post CMP Cleaning Solution ................. 64

3.4.3 Activation Studies of Inhibitors 5 and 6 in Acidic Post CMP Cleaning Solution ........ 66

3.5 Summary ............................................................................................................................. 69

3.6 References ........................................................................................................................... 69

CHAPTER 4: STUDY OF PYRAZOLE AS COPPER CORROSION INHIBITOR IN MODEL

ALKALINE POST CHEMICAL MECHANICAL POLISHING CLEANING SOLUTION ..... 71

4.1 Introduction ......................................................................................................................... 71

4.2 Experimental ....................................................................................................................... 75

4.3 Results and Discussion ........................................................................................................ 77

4.3.1 Effect of Substrate on Cu Corrosion............................................................................. 77

4.3.2 Cu Micropattern Corrosion and Inhibition ................................................................... 79

4.3.3 Electrochemical Analysis ............................................................................................. 82

4.3.3.1 Tafel Plots ............................................................................................................. 82

4.3.3.2 Electrochemical Impedance Spectroscopy (EIS) .................................................. 83

4.3.4 Water Contact Angle Measurement ............................................................................. 84

4.3.5 Surface Analysis ........................................................................................................... 86

vi

Page 8: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.3.5.1 XPS Analysis ........................................................................................................ 86

4.4 Proposed Mechanism of Cu Corrosion Inhibition .............................................................. 89

4.5 Summary ............................................................................................................................. 89

4.6 References ........................................................................................................................... 90

CHAPTER 5: INTERFACIAL CHARACTERIZATION OF PLASMA TREATED COPPER

SURFACES RELATED TO ADVANCED COPPER INTERCONNECTS ............................... 94

5.1 Introduction ......................................................................................................................... 94

5.2 Experimental ....................................................................................................................... 97

5.3 Results and Discussion ...................................................................................................... 100

5.3.1 Micropattern Corrosion Study .................................................................................... 100

5.3.2 Bimetallic Contact Effect ........................................................................................... 102

5.3.3 Direct Galvanic Current Measurements ..................................................................... 103

5.3.4 Water Contact Angle Measurements .......................................................................... 104

5.3.5 XPS Analysis of Plasma Treated Cu .......................................................................... 106

5.4 Effect of Corrosion Inhibitor-Benzotriazole ..................................................................... 109

5.5 Summary ........................................................................................................................... 110

5.6 References ......................................................................................................................... 111

vii

Page 9: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

LIST OF FIGURES

Figure 1.1 Schematic of one level of transistor and two levels of interconnect ............................. 2

Figure 1.2 Schematic of via and metal line..................................................................................... 3

Figure 1.3 SEM micrographs of interconnect architecture with 6 levels of Cu lines/vias, W contacts/local interconnects and SiO2 ILD by (a) IBM [4] and (b) Motorola [5] ........................... 4

Figure 1.4 Schematic of electrochemical cell showing anodic and cathodic sites [17] .................. 6

Figure 1.5 Three electrode system electrochemical cell ................................................................. 7

Figure 1.6 Tafel plot showing Ecorr, Icorr, cathodic and anodic curves [12] ................................... 9

Figure 1.7 DC and AC currents in corroding systems (Wikipedia) .............................................. 10

Figure 1.8 Nyquist plot and equivalent circuit [21] ...................................................................... 11

Figure 1.9 Basic components of XPS system (Wikipedia) ........................................................... 12

Figure 1.10 PHI 5000Versa Probe ................................................................................................ 14

Figure 1.11 Schematic of contact angle of liquid droplet [27] ..................................................... 16

Figure 1.12 Dual magnetron sputtering system ............................................................................ 19

Figure 1.13 Schematic of principle of sputtering process [31] ..................................................... 19

Figure 1.14 Micropattern corrosion screening structure. .............................................................. 21

Figure 2.1 Device delay and interconnect delay as a function of feature size [4] ........................ 26

Figure 2.2 Typical cross section of hierarchical scaling of Cu[6] ................................................ 27

Figure 2.3 Tungsten vias fabrication process flow [9] ................................................................. 28

Figure 2.4 SEM micrograph of Cu interconnect architecture by IBM [15] .................................. 30

Figure 2.5 Single and dual Damascene processes [4] ................................................................... 31

Figure 2.6 Dual Damascene schemes for defining trenches and vias: (a) buried etch stop, (b) clustered approach (c) partial via first (d) full via first (e) line first [17-21] ................................ 33

Figure 2.7 Ideal and typical step coverage of barrier material deposited by PVD [32] ............... 38

viii

Page 10: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.8 Schematic of Cu electroplating system [42] ................................................................ 40

Figure 2.9 Schematic of chemical mechanical polishing system [45] .......................................... 43

Figure 2.10 Possible failure mechanism for Cu interconnect [55] ............................................... 45

Figure 3.1 Dual damascene process .............................................................................................. 54

Figure 3.3a Micropattern corrosion screening sequence .............................................................. 59

Figure 3.3 b Micropattern corrosion screening time lapsed images of Cu on Ru in 0.1M NH4OH pH 2 solution ................................................................................................................................. 60

Figure 3.4 Corrosion rate of Cu/Ru in alkaline post CMP cleaning solution without inhibitors [12]. ............................................................................................................................................... 62

Figure 3.5 Corrosion rate of Cu/Ru in alkaline cleaning solution with 15 potential inhibitors [12]....................................................................................................................................................... 63

Figure 3.6 Time lapsed images of Cu/Ru in acidic post CMP cleaning solution with inhibitors and graph of corrosion rate vs. inhibitors ..................................................................................... 64

Figure 3.7 Time lapsed images of Co/Cu in acidic post CMP cleaning solution ......................... 65

Figure 3.8 Corrosion rate vs. inhibitors (a) and time lapsed images of Co/Cu in acidic test solution with inhibitors 1-6 (b) ..................................................................................................... 66

Figure 3.9 Micropattern corrosion of Co/Ru in acidic post CMP cleaning solution after pretreatment with inhibitors 5 and 6 for 2, 5 and 30 minutes ....................................................... 67

Figure 3.10 Activation time and Co removal during pretreatment from optical profilometer ..... 68

Figure 4.1 Structures of (a) benzotriazole (BTA) and (b) pyrazole .............................................. 75

Figure 4.2 Micropattern corrosion screening structure ................................................................. 76

Figure 4.3 Time lapsed images of Cu microdots deposited on Ru, Ta and glass in 8 wt.% TMAH solution .......................................................................................................................................... 78

Figure 4.4 Tafel plots of Ru, Cu and Ta measured in TMAH pH 14 solution ............................. 79

Figure 4.5 Inhibitor concentration dependent etch rate of Cu in 8 wt.% TMAH ......................... 81

Figure 4.6 Time lapsed images of 50nM Cu/Ru immersed in 8 wt.% TMAH with additional 1mM pyrazole and 10mM BTA .................................................................................................... 81

ix

Page 11: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 4.7 Tafel plots of Cu in 8wt.% TMAH and with pyrazole and BTA ................................ 82

Figure 4.8 EIS data (a) Nyquist plot of Cu in TMAH (black), TMAH +BTA (red) and TMAH+pyrazole (blue) and inset equivalent circuit used to fit data. .......................................... 84

Figure 4.9 Variation in DI water contact angle of Cu in TMAH and TMAH+ inhibitor ............. 85

Figure 4.10 XPS Cu 2p spectra of; (a) bare Cu, (b) BTA modified Cu and (c) pyrazole modified Cu and Cu LMM spectra of; (d) bare Cu, (e) BTA modified Cu and (f) pyrazole modified Cu .. 87

Figure 4.11 XPS N1s spectra of: (a) bare Cu, (b) BTA modified Cu and (c) pyrazole modified Cu....................................................................................................................................................... 88

Figure 5.1 Plasma etching of dielectrics and Cu plasma exposure scheme. ................................. 96

Figure 5.2 Micropattern corrosion screening structure ................................................................. 99

Figure 5.3 Progressing corrosion with time images.................................................................... 100

Figure 5.4 Corrosion rate and time of plasma treated Cu/Ru ..................................................... 101

Figure 5.5 Comparison of Cu/Ru and Cu/Ta corrosion after plasma treatment ......................... 103

Figure 5.6 Direct current measurements of Cu Vs Ru in TMAH pH 14 solution ...................... 104

Figure 5.7 Time dependent water Contact angle measurements after progressive immersion in TMAH, pH 14 solution ............................................................................................................... 105

Figure 5.8 XPS analysis of plasma treated Cu (a) C1s peak (b) F1s peak and inset F1s peak after one minute Ar+ sputtering ........................................................................................................... 107

Figure 5.9 XPS analysis of Cu 2p peak ...................................................................................... 107

Figure 5.10 Corrosion results in TMAH and TMAH+10mM BTA (a) and image of Cu(1)BTA complex (b) ................................................................................................................................. 109

x

Page 12: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CHAPTER 1

INTRODUCTION AND INSTRUMENTATION

1.1 Introduction

The past 40 years, microelectronic industries have evolved tremendously with some of

the greatest innovations being realized. The trend will most certainly continue in the coming

years as the companies are investing greatly in research and development of novel methods and

materials. Chemistry has been playing an important role in the development of integrated circuits

(IC) and other microelectronic devices processes. Some of the greatest challenges are not in

device or design but the ability to manufacture the components which are highly reliable while at

the same time keeping the manufacturing cost low. Introduction and integration of new materials

has proved to be inevitable as the need for high performance and low energy devices by the

consumers increase. New materials bring new challenges that have to be addressed in order to

avoid reliability issues and increase yield. Some of the challenges facing the microelectronic

industry can only be addressed by applying chemical principles like chemical kinetics,

thermodynamics, quantum mechanics and surface chemistry. Metal corrosion is among the big

challenges that must be addressed in order to manufacture high performance and reliable logic

and memory devices.

Manufacture of ICs involves making transistors first and then interconnects. The process

of making transistors is termed as front end of line (FEOL) and is made in one level (one layer).

The process of making interconnects is termed as back end of line (BEOL) and is made in

several levels as the connections are very complex.

Scaling of ICs and increasing the number of transistors to nearly 1 billion has led to a

high degree of complexity in circuit design. Copper replaced aluminum as the interconnect metal

1

Page 13: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

of choice by the end of last century because of its high conductivity and electromigration

resistance [1]. The introduction of copper as the wiring material led to the adoption of Dual

Damascene process as etch back process used in aluminum interconnect was practically

impossible for copper because of lack of volatile by-products (Cu halides) at the processing

temperatures [1-2]. A simple schematic of one level of transistor (device) and two levels of

interconnect is shown in figure 1.1.

Figure 1.1 Schematic of one level of transistor and two levels of interconnect

Electrical signals from the source drain and gate of the transistor are transported to

different interconnect levels through vias. The latest Intel processor released in 2012 has nine

metal layers [3] and porous low k as the material for interlayer dielectric (ILD). The vertical

wires touching the transistor in ICs are called contacts and mostly made of tungsten while all

other vertical wires connecting the different levels are known as vias. The horizontal wires are

2

Page 14: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

called metal lines. Vias and metal lines are made of copper. Figure 1.2 is a schematic showing

via and metal

Figure 1.2 Schematic of via and metal line

IBM and Motorola in 1997 integrated copper into their CMOS logic technology (Figure

1.3) [4-5] and shortly after that the all other IC manufacturers switched to copper interconnects

because of the advantages of copper and high performance achieved in microprocessors. The

performance improvement by copper interconnects was also achieved at a lower cost [4].

(a)

3

Page 15: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

(b)

Figure 1.3 SEM micrographs of interconnect architecture with 6 levels of Cu lines/vias, W contacts/local interconnects and SiO2 ILD by (a) IBM [4] and (b) Motorola [5]

Copper metallization is achieved by dual damascene process whereby copper is deposited

into patterned trenches and vias [6]. In this process, the pattern is first made on ILD by

anisotropic plasma etching process producing vertical sidewalls. Plasma etching has the

disadvantage of forming polymer residues on the sidewalls and bottom of vias resulting from the

reaction of the gases with the etched material which can cause reliability issue. These polymer

residues must be cleaned prior to the next steps as it can cause problems with subsequent layers

such as poor adhesion and coverage, fluoride contamination and poor electrical contact [7].

Many dry chemistries have been explored [7-9] as well as wet chemistries [10-11] for cleaning

post etch residues. Each cleaning type has the potential of causing reliability issue. The next

steps are barrier deposition, copper seed layer deposition and finally electrochemical deposition

4

Page 16: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

of copper [8]. Chemical mechanical polishing (CMP) process is incorporated in the

manufacturing step to remove excess copper deposited. CMP process can lead to Cu corrosion as

the CMP slurry and chemicals come in contact with Cu which can cause reliability issue and

must be addressed. Chapters 3, 4 and 5 in this thesis address Cu corrosion in CMP and post CMP

environments.

1.2 Instrumentation

Electrochemical corrosion techniques as well as thin film deposition tools and several

surface characterization tools were utilized in this work. The working principles of the

instruments/techniques used will be discussed separately in following subsections.

1.2.1 Electrochemistry

Electrochemistry is the study of chemical reactions that take place in a solution at the

interface of an electrode and an electrolyte. Electrochemical reactions involve transfer of

electrons between the electrode and the electrolyte. The anode loses electrons and becomes

oxidized while the species in the electrolyte gains the electrons and become reduced. Oxidation

and reduction occur simultaneously in electrochemical reaction and is either driven by an

externally applied voltage as in electrolysis or the reaction creates a voltage as in batteries [12].

1.2.1.1 Electrochemistry of Corrosion

Corrosion is the gradual destruction of a material (metal or ceramic) by the reaction with

the environment [13]. Metal corrosion in aqueous solution involves the transfer of electrons from

a metal surface to the aqueous electrolyte solution hence corrosion is an electrochemical

5

Page 17: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

reaction. Metal corrosion occurs because of the high tendency of the metals to react

electrochemically with oxygen, water and other substances in the aqueous solution [14-15].

Corrosion of metals takes place as a result of exposure to the aqueous solution. The exposed

metal surface usually possesses oxidation sites that produce electrons in the metal (anodic

reaction) and a reduction site that consumes the electrons (cathodic reaction). The anodic

reaction results in dissolution of metal which could be soluble ionic products or metal oxide.

Several possibilities of cathodic reactions can occur depending on the reducible species present

in the solution which could be reduction of dissolved oxygen or hydrogen evolution. Anodic and

cathodic reactions occur simultaneously on a metal surface thereby creating an electrochemical

cell as shown in figure 1.4. [16-17]

Figure 1.4 Schematic of electrochemical cell showing anodic and cathodic sites [17]

Anodic and cathodic sites are not necessarily in a fixed location, they could be adjacent

or far apart. If two metals are in contact, one metal can be the anode and the other the cathode

depending on the nobility of the metals i.e. the less noble metal becomes that anode. This could

result in galvanic corrosion of the less noble metal hence corrosion at the anode. Since corrosion

6

Page 18: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

involves transfer of electrons, the electrons flow from the anode to the cathode and form the

corrosion current. Corrosion current is determined by the rate of production of electrons by the

anode reaction and their consumption by the cathodic reactions. This is then used to determine

the corrosion rate of the metal.

For electrons to flow, a driving force is needed. In an electrochemical cell, the driving

force is the difference in potential between the anodic and cathodic sites. The difference in

potential exists because every oxidation and reduction reactions have specific potential

associated with the tendency of the reaction to take place spontaneously [18]. Figure 1.5 shows

schematic representation of three electrode system electrochemical cell

Figure 1.5 Three electrode system electrochemical cell

All the electrochemical analyses in this thesis were accomplished in a three electrode

system. In this system, a reference electrode, a working electrode and a counter electrode are

used. The use of three electrode system has an advantage of offering a precise potential control

during the measurement over a two electrode system and is used widely in electrochemistry.

7

Page 19: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

1.2.1.2 Tafel Plots

Tafel plot is an electroanalytical technique that gives information relating to the corrosion

of a metal in an electrolyte. It utilizes Tafel equation which relates the rate of an electrochemical

reaction to overpotential (η). Tafel plot is the graph of the logarithm of the current density (i)

against overpotential. A polarized electrode regularly produces a relationship between current

and potential in a region which can be approached by [12]:

η = ±B log (I/I0)

Where η is applied overpotential with respect to the open circuit potential, I is the measured

current density, B and I0 are constants, I0 is defined as the equilibrium current density, and B is

defined as the Tafel slope [12]. A typical Tafel plot is show in figure 1.5. When collecting Tafel

plot data, the initial potential is set close to the open circuit potential (OCP) of metal in the

electrolyte and potential is scanned from -250mV to +250mV with respect to OCP.

Extrapolation of the cathodic and anodic curve gives Ecorr and Icorr at OCP. The potential and

current information extracted from the Tafel plot is used to calculate corrosion rate and reaction

kinetics of the corrosion or passivation of metal [12].

8

Page 20: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 1.6 Tafel plot showing Ecorr, Icorr, cathodic and anodic curves [12]

1.2.1.3 Electrochemical Impedance Spectroscopy (EIS)

Electrochemical impedance spectroscopy (EIS) is a method of evaluating corrosion

process based on measurement of alternating current (ac) impedance over a range of applied

frequencies. In EIS, a sinusoidal voltage is applied at varying frequency which could be as high

as 100 KHz and as low as 1 mHz to an electrode system under test. The corrosion process

usually forces the measured current to be out of phase (denoted by the phase angle) with the

input voltage [12]. Dividing the input voltage by the output current furnishes the impedance. The

variation in impedance is used for interpretation. Figure 1.6 illustrates the relationship of direct

current and alternating current in terms of corrosion.

9

Page 21: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 1.7 DC and AC currents in corroding systems (Wikipedia)

The response is analyzed in terms of the resultant current amplitude and phase. EIS data

is typically represented in Nyquist or Bode plots. The impedance spectrum reflects oxidation-

reduction reactions and migrations across the electrochemical cell. These are determined by the

electrical and chemical properties of the corrosive medium and electrode material [19-20]. An

EIS spectrum is then modeled using an equivalent circuit to describe the electrochemical system.

The information with regard to electrochemical corrosion can then be extracted through

appropriate interpretation of the variables to predict the corrosion rate of the material under

investigation in the specific environment [21]. Figure 1.7 is a Nyquist plot and a corresponding

equivalent circuit. Nyquist plot is plotted with x axis being the real impedance (ZRe) and y axis

negative imaginary impedance (-ZIm). From the data, the solution resistance (Rs) can be found by

reading the real axis value at high frequency (next to the origin). The real value on the low

frequency region is the sum of solution resistance and charge transfer resistance (Rct). The

diameter of the semicircle is the polarization resistance and this can be used to determine the

corrosion rate of a metal.

10

Page 22: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 1.8 Nyquist plot and equivalent circuit [21]

1.2.1.4 Rotating Disk Electrode System (RDE)

A rotating disk electrode (RDE) can be used as a double hydrodynamic working

electrode in a three electrode system [22]. The working electrode rotates during experiments

creating a flux of electrolyte flow to the electrode. The continuous conversion of reactant to

product requires the steady supply of reactant to the electrode surface and the removal of

product. The RDE working electrodes are usually used in electrochemical studies when

investigating reaction mechanisms related to redox chemistry that involve the transfer of

electrons across the interface between a solid and an adjacent solution phase.

1.2.2 X-ray Photon Spectroscopy

X-ray photon spectroscopy (XPS) is a sensitive surface analysis technique that is used in

measuring the surface composition, chemical state and electronic state of the elements that exist

11

Page 23: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

on the surface of material [23]. To obtain XPS spectra, a material is irradiated with a beam of x-

rays and simultaneously measuring the kinetic energy and number of electrons that are ejected

from the top 10nm of the surface being analyzed. This requires ultra-high vacuum (UHV)

conditions so as to avoid collisions of electrons and loss of energy. Figure 1.8 illustrates the basic

components of XPS system. XPS is applied in analysis of surface chemistry of as is materials as

well as after some treatment. XPS can detect all elements with the exception of H and He

because the binding energy of electrons in these elements is so small compared to the excitation

energy of the x-ray photon therefore the absorption efficiency is very small [24]. XPS has found

wide range of application including microelectronic area for the evaluation of surface cleaning,

corrosion inhibition mechanisms as well as composition and bonding structures of dielectric and

barrier films. In this work, XPS is utilized as surface analysis technique in Cu corrosion

inhibition and also study of Cu after plasma treatment to evaluate the formation of fluorocarbon

residues on Cu during plasma etching.

Figure 1.9 Basic components of XPS system (Wikipedia)

12

Page 24: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

XPS data is acquired through a plot of number of electrons (intensity) versus the binding

energy of the electrons detected. Binding energy is a measure of the attractive forces between the

electron and the nucleus. The magnitude of this attractive force depends on the charge of the

nucleus therefore each atom has a characteristic binding energy and XPS utilizes this principle to

identify atoms. In a typical XPS experiment, each element produces a set of XPS peaks at a

characteristic binding energy values that directly identifies the elements present on the surface of

the material under analysis. The intensity of each of the characteristic peaks can be directly

related to the amount of element within the area irradiated. Atomic percentages are generated

through correction of raw XPS data. This is accomplished by dividing the signal intensity by a

relative sensitivity factor (RSF) and normalizing over all elements detected. [24- 25]

To minimize loss of kinetic energy of electrons, the analysis is done in UHV to avoid

collisions of electrons with other electrons and with residual gas molecules since the detectors in

XPS instruments is approximately one meter away from the material irradiated with x-rays. An

electron analyzer collects the photoelectrons and measures their kinetic energy while the detector

counts the number of photoelectrons emitted. Binding energy of electrons is related to kinetic

energy by the following expression

EB = hν -Ek

Where EB is the binding energy of the electron needed to escape the vacuum energy level where

the atom cannot exert influence on the electron, hv is the x-ray photon energy and Ek is the

kinetic energy of the emitted electron. Since the X-ray photon energy (hν) and Ek are known, EB

can be calculated [26]. Because of the low kinetic energy of the photoelectrons, the electrons

attenuation lengths are very small ~ 3nm which make XPS a highly surface sensitive. The only

13

Page 25: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

electrons reaching the detector are those emitted within 3-5 times the attenuation length hence

the top ~10nm of the surface is probed.

The binding energy also provides important information about the bonding characteristics

of the environment. A chemical shift towards lower binding energy would be seen if a chemical

element was to be in an electron-donating environment whereas the higher binding energy would

be observed when an element was in oxidation state. If an atom is bonded to a more

electronegative atom, the outer shell electrons are pulled toward electronegative atom leading to

a slight positive charge on the nucleus [25]. This results in the core electrons being held strongly

by the nucleus and the binding energy of the core electrons shifts to higher energy. The shift in

binding energy can give the bonding information of the material being analyzed.

Figure 1.10 PHI 5000Versa Probe

14

Page 26: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

All XPS measurements in this thesis were accomplished using a PHI 5000Versa Probe

Scanning XPS shown in figure 1.9. It is equipped with a standard Al-Kα X-ray source at 280

watts and electrostatic analysis in constant pass energy mode of 114.7eV for survey scans and

23.5 eV for detail scans.

The Versa Probe scanning XPS provides a highly focused monochromatic X-ray beam,

(10µm to 100µm) which can precisely focus on the area under study. A 100V to 5kV

differentially pumped Ar ion gun with regulated leak valve is available for specimen cleaning

and sputter depth profiling with monolayer resolution. Also, the Ar ion gun was used to

neutralize the insulating materials to prevent the electronic field from emitting photoelectron on

local area during the X-ray irradiation. Data collection was done using PHI Explorer software

(Physical Electronics, v 3.4) and analyzed using Multipak software (Physical electronics v5.0A).

C1s peak was used as a reference (284.8 eV) so as to maximize the photoelectron count by

adjusting the position of the sample relative to the source and the detector.

1.2.3 Contact Angle

Contact angle is the angle between the tangent to the droplet of liquid placed on a flat

surface and the surface. Figure 1.10 is a schematic of contact angle of a liquid droplet. It

quantifies the wettability of a solid surface by a liquid. The contact angle of a small drop on the

surface is a function of surface free energy that is defined by Young-Dupree equation [27].

Where θ is the angle contact, γ is the interfacial free energy, and SG, SL and LG refer to solid-

gas, solid-liquid and liquid-gas interfaces respectively

15

Page 27: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 1.11 Schematic of contact angle of liquid droplet [27]

The angle of a liquid drop on the solid surface forms as a result of balance between the

cohesive forces in the liquid and the adhesive forces between the solid and the liquid. When

there is no interaction between the solid and the liquid, the contact angle will be 180o. As the

interaction increases, the liquid spreads until the angle becomes near 0o.

Hydrophobic and hydrophilic nature of the surface can be determined using water to

measure contact angle. In this thesis contact angle measurements were utilized in the study of

copper corrosion inhibitors to determine the hydrophobicity of the surface after treatment with

inhibitors and fluorocarbon plasma etch gases.

1.2.4 Thin Film Deposition

A thin film is a layer of material with a thickness ranging a fraction of a nanometer

(monolayer) to several micrometers. Microelectronic devices are generally manufactured by

layers of thin films. Thin film deposition generally refers to the action of applying thin film to

the surface. There are several deposition techniques and each of them is customized so as to

16

Page 28: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

control the layer thickness. Two techniques, chemical deposition and physical vapor deposition

are discussed.

1.2.4.1 Chemical Deposition

Chemical deposition is a technique in which a fluid (gas or liquid) precursor undergoes a

chemical change at the solid surface. The fluid surrounds the solid object and therefore

deposition takes place on every surface with little regard to direction. Thin films from chemical

deposition tend to be conformal rather than directional. Chemical deposition is divided into

several categories:-

• Plating - This technique relies on liquid precursors, most of the time a salt of the metal to

be deposited. The most common is electroplating. Electroplating is a plating process

where metal ions in aqueous solution are deposited on conductive substrate by an electric

field. Electroplating has been done for hundreds of years, but recently it has gained

popularity in terms of use in the semiconductor industry for metal deposition to high

aspect ratio features.

• Spin coating - It is also known as spin casting and uses a liquid precursor of a sol-gel

precursor deposited onto a smooth flat substrate that is subsequently spun at high velocity

to centrifugally spread the solution over the substrate. The spinning speed of the solution

and the viscosity of the sol determine the final thickness of the deposited film. Deposition

can be repeated as needed to increase the thickness of the film. In most cases thermal

treatment is carried out in order to crystallize the amorphous spin coated film [28].

• Chemical vapor deposition (CVD) - This technique generally uses a gas precursor mostly

a halide or hydride of the element to be deposited. Deposition occurs by the reaction or

17

Page 29: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

decomposition of the precursor on the substrate surface. The gas phase by products that

are produced are removed by gas flow though the reaction chamber.

• Atomic layer deposition (ALD) – This is similar to CVD and uses gaseous precursor to

deposit conformal thin films with an advantage of depositing one layer at a time. The

process is split into two half reactions that are run in sequence and repeated for each layer

to ensure complete layer saturation before depositing the next layer. By exposing the

precursors to the surface repeatedly, atomic layer control of film growth rate can be

obtained as fine as ~0.1 Å (10 pm) per monolayer. Recently, there has been a rapidly

growing interest in ALD of materials used in microfabrication processes, especially in

integrated circuits (ICs) [29-30].

1.2.4.2 Physical Vapor Deposition (Sputtering)

Physical vapor deposition (sputtering) utilizes a plasma, (usually noble gas like Ar) to

knock material off from a target a few atoms at a time. The ejection of atoms from the target is as

a result of energetic particles [31] and only occurs when the kinetic energy of the incoming

particles is much higher than the conventional thermal energies. The following principle pertains

to dual magnetron sputtering system shown in figure 1.10 that was utilized in all thin film

deposition in this thesis.

In dual magnetron sputtering system (figure 1.11), a substrate (the item to be coated) is

placed in a vacuum chamber opposite a target (made of the coating material being sputtered).

The chamber is evacuated and then backfilled with a process gas (Argon). The gas is ionized

with a positive charge, which creates plasma. Resulting ions are strongly attracted to the target,

which carries a negative charge. As the relatively large argon ions knock the target,

18

Page 30: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

atoms/molecules of target material are physically removed from the target. Due to its close

proximity, a majority of sputtered atoms/molecules land on the substrate. The intent is for this

material to arrive at the substrate with enough energy to form a thin, strongly attached film, one

monolayer at a time as illustrated in figure 1.12.

Figure 1.12 Dual magnetron sputtering system

Figure 1.13 Schematic of principle of sputtering process [31]

19

Page 31: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

The use of an inert gas has the advantage of not decomposing in the plasma glow

discharge. Argon, having a relatively high atomic weight, provides a suitable source of ions for

effective bombardment of the target material. The effectiveness is dependent on the "mean free

path" (m.f.p.) which is inversely proportional to pressure. If the m.f.p. is too short, insufficient

energy will be gained for effective bombardment and will inhibit movement of sputtered material

from the target. If the m.f.p. is too long, insufficient collisions occur and, in addition, the flow of

sputtered material may change from diffusion in the gas to free molecular flow with a reduction

in the effectiveness of omni-directional deposition [31].

1.2.5 Micropattern Corrosion Screening Technique

Micropattern corrosion screening technique is a method that is used to study bimetallic

corrosion as a result of two different metals being in contact. It employs the use of microdots of

~130 microns diameter and varied thickness depending on experimental needs that are deposited

on various substrates through a contact mask using standard magnetron sputtering machine. The

microdots deposited form a micropattern on the substrate of choice to form a bimetallic contact

that can be studied. The samples are then immersed in a corrosive solution and in situ

investigation of corrosion behavior is done by visual inspection using a metallurgical

microscope. Figure 1.13 illustrates micropattern corrosion screening structure.

20

Page 32: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 1.14 Micropattern corrosion screening structure.

Micropattern corrosion screening provides an efficient and rapid method for studying

bimetallic corrosion. Different combinations of metals can be fabricated easily into bimetallic

micropattern for corrosion study. Several parameters like thickness of microdots can be

controlled so as to study a wide range of corrosion rates within a reasonable experimental time.

The data from this method can be used to get the relative corrosion rate of a galvanic couple as

well as the actual visual inspection of the actual corrosion process in real time. The direct

imaging of micropattern is useful in identifying surface chemistry that might me taking place

during the corrosion process. This method is also used to identify effective corrosion inhibitors

that will find application in Cu interconnect processing [32-33].

21

Page 33: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

1.3 References

1. K. W. Chen, Y. L. Wang, L. Chang, S. C. Chang, F. Y. Li, and S. H. Lin, Electrochem.

Solid-St. Lett., 7, G238 (2004).

2. A. Jindal and S. V. Babu, J. Electrochem. Soc., 151, G709 (2004).

3. D. Ingerly et al., Interconnect Tech. Conf. (IITC), 2012 IEEE International, 1 (2012).

4. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.

McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su,

S. Luce, and J. Slattery, International Electron Device Meeting Technical Digest, 773

(1997).

5. S. Venketesan, A. V. Gelatos, V. Misra, B. Smith, R. Islam, J. Cope, B. Wilson, D.

Tuttle, R. Cardwell, S. Anderson, M. Angyal, R. Bajaj, C. Capasso, P. Crabtree, S. Das,

J. Farkas, S. Filipiak, B. Fiordalice, M. Freeman, P. V. Gilbert, M. Herrick, A. Jain, H.

Kawasaki, C. King, J. Klein, T. Lii, K. Reid, T. Saaranen, C. Simpson, T. Sparks, P. Tsui,

R. Venkatraman, D. Watts, E. J. Weitzman, R. Woodruff, I. Yang, N. Bhat, G. Hamilton,

and Y. Yu, International Electron Device Meeting Technical Digest, 769 (1997).

6. T. Licata, E. G. Colgan, J. M. Harper, and S. E. Luce, IBM J. Res. Develop., 39, 419

(1995).

7. Q. Han, B. White, I. L. Berry, C. Waldfried, and O. Escorcia, Solid State Phenomena.,

103, 341 (2005).

8. A. Somashekhar, H. Ying, P. B. Smith, D. B. Aldrich, and R. J. Nemanich, J.

Electrochem. Soc., 146, 2318 (1999).

9. G. S. Oehrlein and Y. H. Lee, J. Vac. Sci. Technol. A, 4, 1585 (1987).

22

Page 34: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

10. M. Shikida, K. Sato, K. Tokoro, and D. Uchikawa, J. Micromech. Microeng., 10, 522,

(2000).

11. M. Kohler, Etching in Microsystem Technology, John Wiley & Sons, Chichester (1999).

12. L. R. Faulkner, J. Chem. Ed,. 60, 262, (1983).

13. D. A. Jones, Principles and Prevention of Corrosion, Prentice Hall, Upper Saddle River

(1996).

14. L. L. Shreir, R. A. Jarman, and G. T. Burstein, Corrosion, Butterworth-Heinemann,

Oxford, (1994).

15. S. N. Popova, B. N. Popov, and R .E. White, Corrosion, 46, 1007 (1990).

16. T. Tsuru and S. Haruyama, Boshoku Gijustsu, 27, 573 (1978).

17. D. A. Jones, Corros. Sci., 8,19 (1968).

18. D. D. MacDonald, Corrosion, 45, 30 (1989).

19. D. D. MacDonald, Electrochim. Acta, 51, 1376 (2006).

20. National Information Services Corp. Feb 08 2004. (www.nisc.com)

21. Princeton Applied Research, Basics of corrosion measurement-Application note 2004

22. A. J. Bard and L. R. Faulkner, Electrochemical Methods: Fundamentals and

Applications, John Wiley & Sons, Chichester (2000).

23. D. Briggs, and M.P. Seah, Practical Surface Analysis: Auger and X-Ray Photoelectron

spectroscopy, John Wiley & Sons, Chichester (1996).

24. J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray

Photoelectron Spectroscopy, Physical Electronics Inc., Eden Prairie (1995).

25. G. Beamson and D. Briggs, High Resolution XPS of Organic Polymers. The Scienta

ESCA 300 Database, Wiley, Chichester (1992).

23

Page 35: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

26. M. F. Ebel, J. Electron. Spectrosc. Relat. Phenom., 8, 213 (1976).

27. T. S. Chow, J. Phys.: Condens. Matter, 10, L445 (1998).

28. D. Hanaor, G. Triani, and C. C. Sorrell, Surf. Coat. Tech., 205, 3658 (2011).

29. T. Suntola, Handbook of Crystal Growth, Elsevier Science B. V., Amsterdam (1994).

30. M. Leskela and M. Ritala, Thin Solid Films, 409, 138 (2002).

31. R. Behrisch, Sputtering by Particle bombardment, Springer, Berlin (1981).

32. K. K. Yu, K. S. M. Pillai, P. R. Nalla, and O. Chyan, J. Appl. Electrochem., 40 (2010).

33. P. R. Nalla, K. S. M. Pillai, K. K. Yu, S. Venkataraman, and O. Chyan, Proceeding of

2009 Advanced Metallization Conference, 83 (2009).

24

Page 36: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CHAPTER 2

COPPER INTERCONNECT PROCESSING

2.1 Introduction

Manufacture of integrated circuits (ICs) has developed tremendously in the last 50 years

with the minimum feature size going from 10 microns to 22 nm by 2012. The manufacturing

cost per transistor has greatly dropped while the maximum number of transistors per chip has

exceeded 1 billion [1]. The progress in ICs has been fueled by the expected improvements in

density (Moore’s law-The number of transistors in ICs will double approximately every two

years) and performance. Both increase in density and performance were achieved through device

scaling and/or increase in chip size [2]. Transistors’ performance improves as gate length,

junction depth and gate dielectric thickness is reduced in size [3]. On the other hand, chip wiring

(interconnects) on shrinking the size suffers from increased resistance because of decrease in

conductor cross-sectional area and could also result in increased capacitance if metal spacing and

height are not decreased simultaneously [4]. Therefore resistance capacitance (RC) delay has a

huge impact on the overall chip performance as the size scales down and has been reported by

ITRS [5] as one of the chief concerns in the performance of future technology nodes as shown in

figure 2.1 [4].

Many materials and processes have been introduced over the past decade in the back end

of line (BEOL) process in order to achieve improved density and performance of ICs. Some of

them include low k dielectric materials as interlayer dielectrics (ILD), novel dielectric and metal

planarization techniques using chemical mechanical polishing (CMP), chemical vapor deposition

25

Page 37: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

(CVD), physical vapor deposition (PVD) and electrochemical deposition (ECD) techniques for

metals.

Figure 2.1 Device delay and interconnect delay as a function of feature size [4]

2.2 Interconnect Integration

Interconnects in ICs are incorporated after the front end of line process. As the number of

transistors increase, the relay of signals form one device to another, from one circuit block to

another and so on up the hierarchy becomes a challenge. The interconnections needed are

becoming increasingly more complex. Microprocessor designs utilize hierarchical metallization

schemes in which larger wires are used in upper levels of interconnects in order to minimize RC

delay and voltage drop as illustrated in figure 2.2 [6]. The lower level (metal 1) is thinner and is

used for local routing while intermediate layers are of medium thickness used for semi-global

routing and finally the top layers are wide and ‘fat’ wires used for global routing. ITRS [7]

predicted acceleration in the need for new materials in order to meet the ever increasing need for

higher performance devices. These include the need for increased current handling capability,

26

Page 38: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

lower permittivity dielectrics and a reduction in metal barrier thickness and these new materials

will need new processes and designs in order to fully integrate then in ICs.

Figure 2.2 Typical cross section of hierarchical scaling of Cu [6]

2.3 Process Flow

2.3.1 Tungsten Vias Fabrication

Fabrication of tungsten via is accomplished by first depositing a thick layer of SiO2 on a

planer surface basically by plasma enhanced chemical vapor deposition (PECVD) with a

tetraethylorthosilicate (TEOS) precursor at about 350-400oC to form the oxide ILD. The next

step is oxide patterning that is accomplished by photoresist followed by etching to expose the

underlying metal layer. Photoresist stripping is then done and via opening is cleaned followed by

deposition of a thin layer of Ti by physical vapor deposition (PVD). The Ti film serves as an

27

Page 39: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

adhesion layer and also decreases contact resistance to underlying conductors by reducing

interfacial oxides. A layer of Titanium nitride is subsequently deposited in situ, either by PVD or

by CVD followed by conformally filling the hole void-free with CVD tungsten by SiH4

reduction of WF6. The excess W, TiN, and Ti in the field regions are finally removed by

chemical-mechanical polishing (CMP).

Development of tungsten via technology has greatly improved to the extent where void-

free and untapered vias with aggressive aspect ratios exceeding 3:1 are easily formed, thus

enabling increases in wiring density and reducing parasitic capacitance from under- and

overlying wires.

Figure 2.3 Tungsten vias fabrication process flow [9]

Advances in lithography alignment have also enabled borderless vias to be formed,

thereby permitting even further improvements in wiring density. Damascene tungsten has been

28

Page 40: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

adapted as planar local interconnects for strapping source/drain and gate contacts [8]. Tungsten

vias fabrication flow process is shown in figure 2.3 [9] One major drawback of tungsten via

technology is the high cost involved. Tungsten vias processing also introduces particles and

defects on the wafer which can compromise yield and reliability. Development of methods of

removing these particles and defects is therefore essential.

2.3.2 Dual Damascene Copper Process

Copper integration into ICs as the wiring metal of choice introduced more challenges in

the manufacturing process. The conventional subtractive etch that was used with aluminum

metallization became impractical because of low volatility of Cu halides (chlorides and

fluorides) that form during plasma etching at low temperatures [10-11] making etching very

slow. At the same time photoresist cannot withstand temperatures required for practical Cu etch

rates (>200oC). Figure 2.4 [12] demonstrates a cross section of Cu interconnect technology. For

this particular example, W contacts are fabricated using the damascene process described in

section 2.3.1. It has six levels of Cu wiring integrated with Cu vias between successive metal

layers. The ILD used for both via- and wire-level dielectric is SiO2.

Cu is well known to be a fast diffuser in silicon where it can act as deep level acceptor in

the silicon bandgap [13]. Deep level states degrade minority carrier lifetime leading to high

junction leakage in transistors and short term retention time in DRAMs and therefore introducing

the need for faster refreshing which in turn leads to higher power use. Under electrical bias, Cu

also diffuses through SiO2 [14]. These are some of the facts that raised many concerns about

device contamination if Cu was used as wiring material. The success of Cu interconnects

implementation therefore depended on the prevention of any trace amounts of Cu from migrating

29

Page 41: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

to silicon substrate. This not only involved added process complexity but also influenced tools

designs and wafer handling.

Figure 2.4 SEM micrograph of Cu interconnect architecture by IBM [15]

The challenges involving Cu integration were overcome by deposition of diffusion

barriers before Cu deposition in dual damascene process developed by IBM [15]. Dual

damascene process is a modification of single damascene where by trenches and via holes are

first patterned prior to deposition of metal barrier/ Cu seed/Cu. Therefore, only one metal fill

and one Cu CMP step are needed for each level of interconnect resulting in lower cost of

processing as compared to single damascene [4]. Figure 2.5 compares single and dual damascene

processes

30

Page 42: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.5 Single and dual Damascene processes [4]

In addition to reducing manufacturing cost, dual damascene process also provides lower

via resistance and improved reliability. This is achieved in two ways, one is by reducing the

number of interfaces in the via that is one bottom via for dual damascene and two (top and

bottom) for single damascene with W plugs and secondly by providing full wire via overlap at

the top of the via [4]. Many dual damascene schemes have been demonstrated but generally all

approaches fall into either via first or trench first depending on which pattern is etched first. The

individual steps in the dual damascene process are discussed in the following subsections.

31

Page 43: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

2.3.3 Dielectric Patterning

Dual damascene has generally been adopted for Cu interconnects technology because of

its lower cost and improved reliability advantages as compared to single damascene process.

Several approaches and schemes have been proposed for the formation of vias and trenches in

dual damascene process [16]. Five different approaches have been summarized in figure 2.6 and

their advantages and disadvantages are listed in table 2.1 [17-21]. The adoption of a specific

approach for manufacturing process differs from company to company depending on their

specific technological needs, capabilities and ILD materials.

32

Page 44: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.6 Dual Damascene schemes for defining trenches and vias: (a) buried etch stop, (b) clustered approach (c) partial via first (d) full via first (e) line first [17-21]

33

Page 45: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Process Flow Advantages Disadvantages

Buried Etch stop Topography minimized Etch process selectivity and

control are critical

Clustered Process types grouped Poor resist adhesion and

pattern transfer

Partial via first Cleaner Structure, less critical etching Lithography process difficulty

increased

Full via first Lithography and etch process slightly

easier; stacked via trivial

Lithography rework and resist

cleaning process difficult

Line first Easier etch process, less topography for

lithography

Resist cleaning process critical

Table 2.1 Advantages and disadvantages of dielectric patterning schemes

2.3.4 Low k Dielectrics

Resistive-capacitive (RC) delay is one of the major obstacles in downscaling of ICs.

Shrinking the device increases the resistance due to the decrease of conductor cross-section and

increase of wiring length while at the same time inter-line capacitance increases because of

reduction in interline spacing. The need to decrease the RC delay has led to the introduction of

new materials to the BEOL processes. The conventional SiO2 (k = 4.2) has been replaced with

lower dielectric constant k materials (k ~ 2-3) in order to decrease capacitance. These materials

are known as ‘low k’ dielectrics [22-23]. Generally, there are two approaches that are used to

lower the k-value of interconnect dielectrics: reduce the polarizability by use of low-polar bonds

34

Page 46: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

like C-C, C-H, Si-CH3, etc. and/or making it porous to reduce their density. Many low k

materials (organic or inorganic) spanning a wide range of dielectric constants for example k=1

(air) to k~3.6 (fluorinated oxides) have been studied for use in interconnect systems and are

listed in table 2.2 [4]

Table 2.2 List of low k dielectric materials [4]

Integration and reliability issues have impeded the implementation of low k dielectric

materials into the ICs. Some of these issues include thermal and mechanical induced cracking or

adhesion loss, poor mechanical strength, moisture absorption, chemical interactions (that may

occur during lithography, etch/clean and metal deposition) and poor thermal conductivity [4].

Low k dielectric patterning faces major challenges like etch rate uniformity across the wafer or

profile control. Photoresist stripping following dry etching is one of the most harmful and

35

Page 47: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

challenging patterning steps for low k materials. This is because strip chemistries target to

remove organic polymers (hydrocarbons) and low k dielectric materials utilize hydrocarbon

groups for hydrophobicity. This result in removal of photoresist by plasma chemistry as well as

hydrophobic groups (-CH3) from low k materials, therefore making them hydrophilic. A

hydrophilic surface can absorb moisture causing an increase in dielectric constant which can be

detrimental. Several processes have been optimized to minimize damages from resist stripping

like changing resist strip chemistry [24] and use of silylation to repair damage [25].

2.3.5 Metallization

Copper metallization process sequence is more complex than that for aluminum

metallization. This is because in addition to using electroplating to fill high aspect ratio vias and

trenches, Cu must be surrounded by a diffusion barrier to prevent Cu diffusion into the dielectric

[26-27]. Nevertheless, electroplating of both vias and trenches is done with the same

metallization step resulting in lower cost and small high aspect-ratio features can be filled void

free resulting in high reliability.

2.3.5.1 Copper Diffusion Barrier Deposition

Diffusion barrier in Cu interconnects is needed to ensure that no trace of Cu diffuses

through the dielectric material into silicon substrate. Immediately after dielectric etch and

cleaning to remove post etch residues, a conductive barrier material must be deposited on the

sides and bottom of trenches and vias. Barrier materials are generally more resistive compared to

Cu and therefore their thickness must be kept to the minimum in order to maintain the effective

high conductivity of Cu over Al. Barrier materials must also demonstrate low contact resistance

36

Page 48: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

to Cu and this is achieved by an effective clean of the vias following dielectric etch.

Furthermore, barrier materials should exhibit low stress and good adhesion to the low k

dielectric.

Via etch exposes underlying Cu wires and deposit polymer residues; therefore a cleaning

step is required in order to achieve low contact resistance of the barrier to Cu. The cleaning step

must be optimized so that it does not cause copper corrosion which in turn can redeposit Cu onto

the ILD surface and via sidewalls [28]. Cu corrosion and corrosion inhibition is the focus of the

strategies developed in this thesis, chapters 3, 4 and 5.

Many studies have focused much interest on refractory metals like Ti, W, Ta and their

nitrides as barrier materials based on the general requirements discussed [29]. A layer of TaN/Ta

has been adopted for Cu integration [30]. TaN and Ta are both very good diffusion barriers for

Cu [29]. TaN provides good adhesion to the dielectric material while Ta provides a surface with

good wettability for the Cu seed layer. A good wetting of Cu seed layer on the barrier is essential

in achieving a smooth continuous Cu seed layer which is required for void free Cu plating [31].

Deposition of barrier layer is preferentially done by PVD because it can produce high purity

films which are necessary for good wetting of Cu and also at relatively low cost [31]. The main

challenge for barrier deposition is ensuring adequate conformity in high aspect ratio vias and

trenches. Non-conformal coverage of barrier materials can lead to failure in areas where the

barrier is thinnest, usually at the lower corners and sidewalls of the via. Figure 2.7 demonstrates

ideal and typical step coverage of a barrier material deposited by PVD [32].

37

Page 49: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.7 Ideal and typical step coverage of barrier material deposited by PVD [32]

Sputter deposited films of metal barrier with good step coverage is possible with the use

of ionized PVD [33-34] and it involves a two-step process. Step one uses magnetron sputtering

whereby the sputtered metal is ionized and directionally deposited onto the substrate. This results

in thicker film deposition at the bottom of the trenches and vias than the sidewalls. The second

step utilizes Ar plasma to resputter some of the barrier material from the bottom of the features

on to the sidewalls. Good barrier coverage has been demonstrated by using ionized PVD for

35nm wide trenches with approximately 5:1 aspect ratio [35].

Other materials have been proposed as alternatives to Ta based barriers and include Ti and Ru.

Ti maybe used instead of Ta to reduce cost [35] but a multilayer of Ti/TiN/Ti is required. Cu

wetting on TiN is poor and so a thin layer of Ti is needed on top of TiN for good wetting of Cu

[36]. Ru has been of great interest as a replacement of Ta because of its lower resistivity than Ta

and Cu can de plated directly onto Ru which can eliminate the need for Cu seed layer [37-38].

38

Page 50: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

However, Ru is not a good diffusion barrier for Cu and therefore a bilayer structure is still

needed such as TiN/Ru [39]. Successful Cu integration in ICs is therefore dependent on the

development of the processes in metal barrier technologies. The implementation and specific

details of metal barrier technologies remains undisclosed and proprietary to the companies

involved.

2.3.5.2 Copper Deposition

Trenches and vias are filled with Cu following deposition of Cu barrier. High aspect ratio

features filling without voids has been a challenge to the industry. Many technologies have been

explored in order to identify a cost effective solution. Technologies like PVD, CVD, electroless

plating and electrochemical deposition (electroplating) have been extensively studied. Among

them all, electroplating of Cu has been identified to be capable of providing a void free fill in

high aspect ratio features with low resistivity and high reliability [40-41].

In electroplating, a thin layer of Cu seed is deposited on top of barrier material typically

by PVD and then the wafer is immersed in a solution containing Cu2+, sulfuric acid and trace

organic additives [41]. Electrical contact is made to the seed layer and current is passed which

drives the reaction shown below at the surface of the wafer.

As the Cu2+ is reduced out of the solution onto the wafer, the Cu anode simultaneously

undergoes oxidation to replenish the supply of Cu2+ in the solution. Figure 2.8 shows a schematic

of Cu electroplating system [42].

39

Page 51: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.8 Schematic of Cu electroplating system [42]

Cu plating bath consists of organic additives (suppressors, accelerators) which each play

a role in the successful void free filling of Cu into the trenches and vias. Suppressors reduce the

plating rate at the top of the features while accelerators enhance the plating rate at the bottom of

the features. Bottom up void free filling of the trenches and vias commonly known as

‘superfilling’ is achieved as a result of correct combination of the additives. Dimercaptopropane

sulfonic acid (SPS), an accelerator contains a sulfide and a thiol-like functional group which

strongly adsorb on Cu surfaces. The presence of SPS on Cu surface may act as a charge transfer

site for the reduction of Cu2+ to Cu+ resulting in enhancement of Cu deposition [41].

Furthermore, SPS has high solubility in the plating bath therefore it continues to accelerate the

40

Page 52: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

reaction at the bottom of the trenches and vias rather than be incorporated in the growing film.

Suppressors are polymers such as polyethylene glycol that slow down the plating reaction.

Slowing down mechanism could be as a result of blocking of growth sites on the surface of Cu

and slower diffusion of Cu ions to the surface.

Shrinking of device dimensions has also led to the thinning of the Cu seed layer in order

to avoid pinching off the top of the trenches and vias. Thinning of Cu seed layer makes Cu

plating very difficult. One reason for this is that plated Cu uniformity across wafer is harder to

achieve with thinner seed layer. This is as a result of reduction of plating current (and hence

deposition rate) in the center of the wafer if the seed layer resistance is comparable to the bath

resistance [40]. One method of avoiding this problem is to increase the resistivity of the plating

bath by reducing the acid concentration or adding a second cathode around the perimeter of the

wafer to draw current away from the very edge of the wafer [41]. The other problem with

thinning of Cu seed is that it is difficult to ensure continuity of the seed layer and if there are pin

holes in the seed layer there will be delayed plating in these areas and voids may be trapped in

the structure leading to reliability issues. In order to avoid this problem approaches like

increasing the concentration of the acid in the plating bath has been proposed [43] and also

applying plating current as soon as the wafer is immersed in the plating bath to avoid dissolving

the seed layer in the bath [41].

2.3.6 Chemical Mechanical Polishing (CMP)

Chemical mechanical polishing (CMP) is used to remove excess Cu and barrier layer

after metallization of the dual damascene structure. CMP is the key enabling technology in Cu

damascene integration [44]. As the name suggests, CMP involves both chemical action and

41

Page 53: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

mechanical abrasion in the selective removal of the film from the wafer surface. The chemicals

in the slurry react with the film surface and form an oxidized layer. The layer is removed by the

mechanical action because of the fine particles in the slurry and the downward pressure of the

polishing pad. This results in the wafer surface becoming progressively planar with time.

To achieve optimum planarization, a good balance must exist between chemical and

mechanical components. If the mechanical action dominates, surface scratches and

nonuniformity may result. On the other hand, if the chemical component is dominating,

overpolishing can occur, which can lead to severe surface topography due to selectivity of the

slurry chemistry against dielectric removal. Mechanical abrasion generally depends on the size

and concentration of the slurry particles, hardness and surface roughness of the pad, pad pressure

and the rotational speed of the wafer and pad. The chemical action on the other hand is

controlled by the chemistry concentration and pH of the slurry. Optimization of CMP process is

needed in order to minimize pattern density and feature size effects hence avoiding dielectric

erosion and metal dishing.

During CMP process, the wafers are placed face down on a rotating pad on which the

slurry is dispensed as illustrated in figure 2.9 [45]. Cu CMP is done in two steps, the first step is

Cu removal stopping at the barrier and the second step is the barrier removal stopping at the

dielectric [46]. In order to ensure that all metals are removed from the field regions in all parts of

the wafer, overpolishing is required. Overpolishing can lead to thinning of regions with high Cu

pattern density and could lead to variation in wire resistance which can be minimized by design

rules that restrict local Cu pattern density [47]. Furthermore, low downward processes are

required to minimize Cu corrosion during the overpolish step [48].

42

Page 54: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 2.9 Schematic of chemical mechanical polishing system [45]

CMP faces a number of challenges especially with the integration of porous low k

materials. These includes Cu dishing and insulator erosion, cracking and adhesion loss in the

dielectric stack and scratching or contamination of the low k material by slurry or reaction by

products [49-50]. CMP therefore has a big impact in the continued complexity of the IC

technology. Polishing has enabled multilevel metallization, use of optical lithography, copper

damascene technology and great improvement in the yield and reliability over the last decade. As

researchers progress to develop new materials for low k and Cu diffusion barrier, new CMP

slurries will be needed that will be compatible with all the materials in use.

43

Page 55: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

2.3.7 Cu Interconnects Reliability

Performance of ICs is affected by the delays arising from the relay of signal across the

circuit. Traditionally, this was associated with transistor switching. The reduction in transistor

geometries, specifically gate lengths lead to great improvement [51]. The switch from Al to Cu

wiring has enabled great advances in the miniaturization of interconnects as well as stacking of

several layers of metal.

Despite the great advantages of Cu interconnects, several challenges causing yield and

reliability issues associated with the manufacturing and integration of dual damascene structures

have been encountered. A major challenge with the shrinking of devices is the reduction of

electromigration lifetime of Cu and stress induced voiding [51]. In addition, integration of low k

materials in Cu interconnects causes problem in terms packaging reliability due to low

mechanical strength and brittleness of these materials [52].

2.3.7.1 Electromigration

Electromigration is the movement of metal atoms in a conductor due to electrical current

[53]. The electrons moving towards anode causes movement of atoms in the lattice toward the

anode. At higher current density which is typical in ICs, the electron movement towards the

anode impacts enough momentum to the atoms of Cu causing a net diffusion towards the anode.

The movement of atoms changes the atomic density along the Cu interconnects and leads to

build up of stress. Diffusion barrier layer at the bottom of the trenches and via act as blocking

boundaries and therefore during electromigration stress, Cu atoms will be depleted at the cathode

side of the wire and eventually voids will form. If the voids grow large enough covering the wire

or via, the resistance will increase and can lead to circuit failure. At the anode end of the wire,

44

Page 56: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Cu will accumulate resulting in hydrostatic stress [54]. Hydrostatic stress causes a back flux of

atoms that is counter to the direction of electromigration, hence for short wires, the back flux of

atoms prevents formation of killer voids and the wires are immortal [54]. Figure 2.10 illustrate

possible failure mechanism for Cu interconnects in low k dielectrics [55].

Figure 2.10 Possible failure mechanism for Cu interconnect [55]

The problem of electromigration is further enhanced by use of low k dielectrics. Low k

materials have lower thermal conductivity compared to traditional SiO2 therefore there will be

more joule heating in low k materials per current density [56]. This results in higher temperature

for the wire and therefore a faster rate of electromigration. Several approaches have been

proposed to improve electromigration lifetime of Cu and one of them is doping of Cu with

impurities such as Mn [57]. The disadvantage of this approach is that the impurity will increase

the resistivity of Cu.

45

Page 57: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

2.3.7.2 Stress Induced Voiding

Manufacturing processes of Cu interconnects (ILD deposition and cap) is done at higher

temperatures and can cause voids due to tensile stress in the metal. Voids in Cu will form if the

tensile stress is above the critical stress [58]. This could happen as a result of thermal expansion

mismatch between the metal and the dielectrics or due to grain growth in the metal [58-59].

Voids formed can grow very larger causing increase in resistance that can lead to circuit failure.

At the same time, if there is a weak adhesion between the barrier metal and the underlying Cu at

the bottom of via, void formation will be more favorable because of the reduction in critical

stress. After a void forms, the stress field surrounding the void becomes less tensile which the

favors vacancy diffusion towards the void resulting in further growth. Voids tend to grow in vias

because the tensile stress in vias and narrow lines is lower than tensile stress in wide lines and

vacancies tend to move to the region of low tensile stress [59]. Stress induced voids can be a

cause a huge reliability issue and must be addressed especially with the dimensions of Cu lines

becoming smaller and smaller.

2.4 Summary

This chapter is a review of process integration of dual damascene Cu interconnects. The

various steps in involved in Cu interconnect processing were described at the same time

highlighting the key issues as pertaining to integration and manufacturing. It establishes the

context for understanding damascene integration of Cu and other new materials like low k

dielectrics and diffusion barriers.

46

Page 58: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

2.5 References

1. S. E. Thompson, and S. Parthasarathy, Mater. Today, 9, 20 (2006).

2. M. T. Bohr, Interconnect Scaling - the real limiter to high performance VLSI, Tech. Dig.

IEDM, 241 (1995).

3. R. H. Dennard, F. H. Gaensslen, H.-N. Yu, V. L. Rideout, E. Bassous, and A. R.

LeBlanc, IEEE J. Solid-State Circuits, SC-9, 256 (1974).

4. R. H. Havemann and J. A. Hutchby, Proc. IEEE, 586 (2001).

5. “Interconnect,” in Int. Tech. Roadmap for Semiconductors: International Sematech, 2000,

ch. 7.

6. I. Ames, F. M. d’Heurle, R. E. Horstmann, IBM J. Res. Dev., 14, 461 (1970).

7. Overall roadmap technology characteristics,” in Int. Tech. Roadmap for Semiconductors:

International Sematech, 2000, ch. 1.

8. R. W. Mann, L. A. Clavenger, P. D. Agnello, and F. R. White, IBM J. Res. Dev., 39, 403

(1995).

9. M. Bohr, S. S. Ahmed, S. U. Ahmed, M. Bost, T. Ghani, J. Greason, R. Hainsey, C. Jan,

P. Packan, S. Sivakumar, S. Thompson, J. Tsai, and S. Yang, International Electron

Device Meeting Technical Digest, 847 (1996).

10. M. Quirk and J. Serda, Semiconductor Manufacturing Technology, Prentice-Hall, Upper

Saddle River (2001).

11. J. D. Plummer, M. D. Deal, and P. B. Griffin, Silicon VLSI Technology, Prentice Hall,

Upper Saddle River (2000).

12. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.

McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su,

47

Page 59: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

S. Luce, and J. Slattery, International Electron Device Meeting Technical Digest, 773

(1997).

13. R. S. Muller and T. I. Kamins, Device Electronics for Integrated Circuits, John Wiley &

Sons, Chichester (1986).

14. J. D. McBrayer, R. M. Swanson, and T. W. Sigmon, J. Electrochem. Soc., 133, 1242

(1986).

15. J. G. Ryan, R. M. Geffken, N. R. Poulin, and J. R. Paraszczak, IBM J. Res. Dev., 39, 371

(1995).

16. J. G. Ryan, J. E. Heidenreich, W. J. Cote, R. M. Geffken, and T. N. Theis, Proc. In

Advanced Metallization and Interconnect Systems for ULSI Applications, 399 (1997).

17. M. M. Chow, J. E. Cronin, W. L. Guthrie, C. W. Kaanta, B. Luther, W. J. Patrick, K. A.

Perry, and C. L. Standley, US Patent 4789648 (1988).

18. S. Lakshminarayanan, “Multilevel dual Damascene copper interconnections,” Ph.D.

Thesis, Rensselaer Polytechnic Institute, (1997).

19. C. W. Kaanta, S. G. Bombardier, W. J. Cote, W. R. Hill, G. Kerszykowski, H. S. Landis,

D. J. Poindexter, C. W. Pollard, G. H. Ross, J. G. Ryan, S. Wolff, and J. E. Cronin, Proc.

of the VLSI Multilevel Interconnection Conference, 144 (1991).

20. B. Vollmer, T. Licata, D. Restaino, and J. G. Ryan, Thin Solid Films, 247, 104 (1994).

21. T. Licata, M. Okazaki, M. Ronay, S. Nguyen, H. Aochi, W. Landers, R. Filippi, D.

Restaino, D. Knorr, and J. G. Ryan, Proc. of the VLSI Multilevel Interconnection

Conference, 596 (1995).

22. T. Tatsumi, Appl. Surf. Sci., 253, 6716 (2007).

48

Page 60: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

23. K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, and Z. S.

Yanovitskaya, J. Appl. Phys., 93, 8793 (2003).

24. H. Shi, H. Huang, J. Im, P. S. Ho, Y. Zhou, J. T. Pender, M. Armacost, and D. Kyser,

Proc. in IEEE International Interconnect Technology, 8 (2010).

25. S. M. Gates, A. Grill, C. Dimitrakopoulos, V. Patel, S. T. Chen, T. Spooner, E. T. Ryan,

S. A. Cohen, E. Simonyi, and E. Liniger, Proc. of Advanced Metallization Conference,

531 (2009).

26. M. Quirk and J. Serda, Semiconductor Manufacturing Technology, Prentice-Hall, Upper

Saddle River (2001).

27. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.

McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz , L. Su,

S. Lucet, and J. Slattery, IEEE International Electron Device Meeting Proceedings, 773

(1997).

28. R. L. Jackson, E. Broadbent, T. Cacouris, A. Harrus, M. Biberger, E. Patton, and T.

Walsh, Solid State Technol., 41, 49 (1998).

29. S. Q. Wang, MRS Bulletin, 19, 30 (1994).

30. D. Edelstein, C. Uzoh, C. Cabral, Jr., P. DeHaven, P. Buchwalter, A. Simon, E. Cooney,

S. Malhotra, D. Klaus, H. Rathode, B. Agarwala, and D. Nguyen, Proc. of Advanced

Metallization Conference, 541 (2002).

31. J. Reid, S. Mayer, E. Broadbent, E. Klawuhn, K. Ashtiani, Solid State Technol., 43, 86

(2000).

32. R. Doering and Y. Nishi, Handbook of Semiconductor Manufacturing Technology, CRC

Press, NY (2008).

49

Page 61: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

33. J. Forster, P. Gopalraja, T. J. Gung, A. Sundarrajan, X. Fu, N. Hammond, J. Fu, U.

Kelkar, and A. Bhatnagar, Microelectron. Eng., 82, 594 (2005).

34. N. Kumar, K. Moraes, M. Narasimhan, and P. Gopalraja, Semicond. Int., 31, 26 (2008).

35. W. Wu, H. J. Wu, G. Dixit, R. Shaviv, M. Gao, T. Mountsier, G. Harm, A. Dulkin,

N. Fuchigami, S. K. Kailasam, E. Klawuhn, R. H. Havemann, Proc. of IEEE

International Interconnect Technology Conference, 202 (2008).

36. T. N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M. J. Kim, K. H. Chen, C. T. Wu,

and L. C. Chen, Appl. Phys. Lett., 86, 083104 (2005).

37. J. Rullan, T. Ishizaka, F. Cerio, S. Mizuno, Y. Mizusawa, T. Ponnuswamy, J. Reid, A.

McKerrow and C.-C. Yang, Proc. of IEEE Interconnect Technology Conference, 8

(2010).

38. C.-C. Yang, S. Cohen, T. Shaw, P.-C. Wang, T. Nogami, and D. Edelstein, IEEE

Electron. Device Lett., 31, 722 (2010).

39. J. Reid, A. McKerrow, S. Varadarajan, and G. Kozlowski, Solid State Technol., 53, 14

(2010).

40. J. Reid, Damascene copper electroplating, in: R. Doering, Y. Nishi (Eds.), Handbook of

Semiconductor Manufacturing Technology, second ed., CRC Press, NY (2008)

41. P. Singer, Semiconductor Int., 21, 90 (1998).

42. S. Dasilva, T. Mourier, P. H. Haumesser, M. Cordeau, K. Haxaire, G. Passemard, and E.

Chainet, Proc. of Advanced Metallization Conference, 513 (2006).

43. K. A. Perry, Symposium on VLSI Technology Technical Digest, 25 (1998).

44. H. B. Bakoglu and J. D. Meindl, IEEE Transactions on Electron Devices, ED-32, 903

(1985).

50

Page 62: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

45. Y. Kamigata, Y. Kurata, K. Masuda, J. Amanokura, M. Yoshida, and M. Hanazono,

Mater. Res. Soc. Proc., 671 (2001).

46. H. S. Landis and J.-T. Sucharitaves, Proc. of Advanced Metallization Conference, 535

(2007).

47. T. Kanki, T. Shirasu, S. Takesako, M. Sakamoto, A.A. Asneil, N. Idani, T. Kimura,

T. Nakamura, and M. Miyajima, Proc. of IEEE International Interconnect Technology

Conference, 79 (2008).

48. L.L. Chapelon, H. Chaabouni, G. Imbert, P. Brun, M. Mellier, K. Hamioud, M. Vilmay,

A. Farcy, and J. Torres, Microelectron. Eng., 85, 2098 (2008).

49. M. Ueki, T. Onodera, A. Ishikawa, S. Hoshino, and Y. Hayashi, Jpn. J. Appl. Phys., 49,

04C029-1 (2010).

50. R. H. Dennard, F. H. Gaensslen, H.-N. Yu, V. L. Rideout, E. Bassous, and A. R.

LeBlanc, IEEE Journal of Solid-State Circuits, SC-9, 256 (1974).

51. H. B. Bakoglu and J. D. Meindl, IEEE Transactions on Electron Devices, ED-32, 903

(1985).

52. M. Ohring, Reliability and Failure Analysis of Electronic Materials and Device,

Academic Press, NY (1998).

53. I. A. Blech and C. Herring, Appl. Phys. Lett., 29, 131 (1976).

54. J. Gambino, F. Chen, and J. He, Proc. of IEEE Custom Integrated Circuits Conference,

141 (2009).

55. K. Mosig, and V. Blaschke, Proc. of Advanced Metallization Conference, 427 (2002).

51

Page 63: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

56. Y. Ohoka, Y. Ohba, A. Isobayashi, T. Hayashi, N. Komai, S. Arakawa, R. Kanamura,

and S. Kadomura, Proc. of IEEE International Interconnect Technology Conference, 67

(2007).

57. T. D. Sullivan, Annu. Rev. Mater. Sci., 26, 333 (1996).

58. J.-M. Paik, I.-M. Park, Y.-C. Joo, and K.-C. Park, J. Appl. Phys., 99, 024509 (2006).

52

Page 64: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CHAPTER 3

BIMETALLIC CORROSION BEHAVIOR OF COPPER ON RUTHENIUM AND COBALT

ON COPPER THIN FILMS IN POST CMP CLEANING SOLUTIONS

3.1 Introduction

In modern interconnect systems, copper is the metal of choice for wiring because of its

higher electrical conductivity and improved electromigration resistance [1-2]. Cu interconnects

are fabricated using dual Damascene technique as Cu cannot be etched by conventional reactive

ion etching process due to lack of volatile by-products (Cu halides) at processing temperatures.

Dual Damascene process involves first creating trenches and vias in the interlayer dielectric

(ILD) followed by cleaning and diffusion barrier/Cu seed deposition. Subsequently, Cu is

electroplated and finally removal of Cu overburden is achieved by chemical mechanical

polishing (CMP) [3-4]. CMP exposes Cu and the underlying barrier to the corrosive chemicals

which could lead to bimetallic corrosion as illustrated in figure 3.1. This has brought attention to

the phenomena of thin film corrosion that must be addressed in order to ensure the maximum

device performance, reliability and longevity. It has also accelerated research and developments

of wet etch and clean chemistries that will not erode Cu while still performing the intended

purpose.

As devices are scaled to sub 22nm domains, the threat of corrosion related reliability is

increasing. In addition, ruthenium (Ru) has been studied and proposed as potential liner material

for Cu as it is more conductive and Cu can be directly plated to Ru thereby eliminating the need

for Cu seed layer [5-7]. The increased noble character of materials like Ru when integrated in Cu

interconnects can further intensify Cu bimetallic corrosion in CMP chemical environment.

53

Page 65: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.1 illustrate dual Damascene process and specific areas where corrosion concerns are

shown.

Figure 3.1 Dual damascene process

Copper corrosion is a big problem not only to microelectronic industry but also to other

industries as copper has many applications. This chapter will review the basic concepts of

corrosion and bimetallic corrosion of Cu on Ru denoted as Cu/Ru and Co on Cu denoted as

Co/Cu in commercial cleaning solutions using micropattern corrosion screening and

electrochemical techniques.

3.2 Metal Corrosion

Corrosion is the destructive degradation of a metal as a result of a chemical reaction

between a metal and the environment. Corrosion is estimated to cost about 4.9% of the GNP of

54

Page 66: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

the industrialized nations [8]. Corrosion also depletes natural resources as it is estimated that

40% of the Cu in production is used to replace copper lost to corrosion [9]. Corrosion can be

classified based on the environment in which corrosion takes place such as electrochemical

corrosion, low temperature and high temperature corrosion. Generally, corrosion is categorized

into localized corrosion and general corrosion. General corrosion is the most common type of

corrosion which results in greater destruction of materials by weight loss. It occurs due to

chemical and electrochemical reactions that proceed uniformly over the entire exposed area of

the material. Localized corrosion on the other hand limits its destruction to specific area. Several

forms of corrosion are discussed below [10].

• Galvanic corrosion – when two dissimilar metals are coupled in the presence of a

corrosive electrolyte, one of them is preferentially corroded than the other. The driving

force for galvanic corrosion is the potential difference between the two metals.

• Crevice corrosion – corrosion rate of a metal is often greater in the small volume of the

crevice created by contact with another material. It is believed that crevice corrosion is

driven by concentration differences in metal ions or dissolved oxygen between the

crevice interior and its surroundings.

• Pitting corrosion – localized attack on an otherwise resistant surface produces pitting

corrosion. The pits may be deep, shallow or undercut. Pitting corrosion usually is

initiated by the breakdown of a protective native film on the metal.

• Intergranular corrosion – grain boundary or adjacent regions are often less corrosion

resistant and preferential corrosion at the grain boundary may be severe enough to drop

grains out of the surface due to reactive impurities segregating out or passivating

elements such as chromium may be depleted at the grain boundaries.

55

Page 67: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

• Dealloying (selective corrosion) – an alloying element that is active (negative

electrochemically) to the major solvent element is likely to be preferentially corroded.

Several corrosion prevention technologies have been proposed and include material

selection, alteration of application environment, design, cathodic protection and use of corrosion

inhibitors [11]. Use of corrosion inhibitors is generally the preferred choice for microelectronic

applications [11].

Corrosion inhibitor is a substance that is added to the environment and acts to reduce the

corrosion rate. Since corrosion involves both anodic and cathodic reactions, inhibitors are

classified by their mechanism of corrosion inhibition. Those that reduce corrosion by retarding

anodic reaction are anodic inhibitors and those that retard cathodic reaction are cathodic

inhibitors while those that retard both anodic and cathodic reaction are mixed inhibitors.

Inhibitors can also be classified based on their chemical nature i.e organic, inorganic etc.

Inhibitors must interact strongly with the metal surface in order to moderate the reactivity of

metal in corrosion reactions. The metal is protected by several mechanisms, including changes in

the electric double layer, the formation of surface barrier layers, the passivation of the metal

surface and the intervention in the partial reactions of corrosion.

For applications in Cu interconnect systems, it is important to understand the mechanism

of copper corrosion in order to be able to design, select and use corrosion inhibitors that are

effective in inhibiting corrosion. In aqueous solution, Cu corrosion occurs as a result of both

anodic and cathodic reactions. These reactions are dependent on the pH and this is illustrated by

pourbaix (E-pH) diagram for Cu-water system (Figure 3.2) [11]. Anodic reaction during Cu

corrosion occurs by the following equation;

56

Page 68: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Cu Cu+ + e-

Cu+ Cu2+ +e-

Figure 3.2 Pourbaix diagram of Cu-water system [11]

The cathodic reaction involves the reduction of oxygen as hydrogen evolution is not part

of the dissolution process based on the pourbaix diagram. Thermodynamics can also be used to

predict the likelihood of corrosion by comparing the standard potentials for Cu reduction for

example

57

Page 69: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Many studies in corrosion and corrosion inhibition have been done but up to date there is

still insufficient knowledge to predict how a specific metal or alloy will behave in a given

environment. The chemical properties of a given metal are dependent on the environmental

condition. The importance of chemical properties of the metal will also depend on the specific

application and the desired results for example in Cu interconnects electrical conductivity,

adhesion, electromigration resistance and corrosion resistance are of major importance.

3.3 Experimental

3.3.1 Micropattern Corrosion Screening

Micropattern Corrosion Study was done by depositing circular micro dots of Cu and Co

(ca. 50nm thick, 130 µm in diameter) on selected substrates through a contact mask using a

standard DC magnetron sputter (Desktop Pro, Denton Vacuum). Figure 3.3 depicts the

fabrication sequence of the micropattern corrosion screening. The Ru and Cu metal substrates

were sputter-deposited on a silicon substrate pre-cleaned by standard organic clean and HF etch

[12]. In-situ corrosion processes was investigated visually using a metallurgical microscope

(Nikon, Eclipse ME600) by immersing Cu micropattern and Co micropattern structures in

testing solutions. This visual inspection approach requires an optical clear solution being used.

58

Page 70: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.3a Micropattern corrosion screening sequence

Micropattern corrosion screening technique provides Cu corrosion trend influenced by

different bimetallic contacts (Cu/Ru. Cu/Ta, Co/Cu e.t.c). Hence, the effect of bimetallic contact

can be determined readily under different chemical environement conditions. The micropattern

screening not only provides semi-quantitative trend of relative corrosion rate, but also affords a

direct visual inspection of actual corrosion processes in real time. The direct imaging of the

micropattern is useful in identifying different metastable surface transformations involved in the

corrosion process. It is also a fast corrosion screening technique as Cu microdots were designed

to be thin enough to permit screening many corrosion inhibitors within a short period of time.

Figure 3.3b show example of time lapsed images of Cu on Ru corrosion in 0.1M NH4OH pH 2

solution.

59

Page 71: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.3b Micropattern corrosion screening time lapsed images of Cu on Ru in 0.1M NH4OH pH 2 solution

Two different commercial cleaning solutions (Acidic and Alkaline solution) from ATMI

were used. The samples with the microdots were submerged in testing solution and the time-

lapsed images of the Cu and Co micropattern were recorded by a computer-controlled digital

camera connected to microscope. The time it took to completely corrode the microdots was used

to determine the corrosion rate.

3.3.2 Tafel Plots

A potentiostat (CH Instruments, USA) was employed to acquire the open circuit

potentials and Tafel plots. Both sputtered Cu, Ru and Co metal substrates and solid metal shots

were used as electrodes for all electrochemical data. The metal electrodes (d = 5mm) were

polished down to 0.5 micron mirror polishing and sonicated in 18.2 MΩ de-ionized water.

Three-electrode system with Pt counter and Ag/AgCl as reference electrodes were employed in a

60

Page 72: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

glass cell to obtain Tafel plots data (IV curves) in corresponding solutions used in micropattern

corrosion screening.

3.3.3 Optical Profilometry

Optical profilometry is a technique that allows rapid and accurate three dimensional

measurements of microscopic features. After chemical exposure and galvanic etching, the exact

volume change of the metal dots was analyzed using a Zygo NewView 7000 series optical

profiler. Wafer coupons are imaged with a 2.5x objective using a 2x zoom as well as with the

10x objective. The resulting images are then processed to exclude the background and partial

dots allowing for a volume per dot measurement. Volume changes that were not visible via

standard optical microscope become evident and enabled collection of precise corrosion rate

data. By applying this technique to determine bimetallic corrosion, large numbers of formulation

components in varied combinations may be screened quickly enhancing the development of

cutting edge wet cleans products.

3.4 Results and Discussion

3.4.1 Bimetallic Corrosion of Cu on Ru in Post CMP Cleaning Solution

Cu corrosion in post CMP cleaning solution was studied using micropattern corrosion

screening technique. Kyle et al. [12] studied bimetallic corrosion of Cu in alkaline post CMP

cleaning solution. Figure 3.4 shows corrosion rates of Cu in alkaline solution as a function of pH

without inhibitors [12].

61

Page 73: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.4 Corrosion rate of Cu/Ru in alkaline post CMP cleaning solution without inhibitors [12].

The results illustrated that increase in pH of the solution leads to enhanced corrosion of

Cu at room temperature. This observation is particularly very crucial with the continued scaling

of Cu interconnect beyond 22 nm since any small Cu loss could be detrimental. Micropattern

corrosion screening was also used to screen corrosion inhibitors in alkaline post CMP cleaning

solution [12-13]. Corrosion screening was done on 15 different potential corrosion inhibitors.

From the results shown in figure 3.5, 9 compounds were found to reduce the corrosion rate. The

solution used as background had a corrosion rate of 12.5 Å/min (pH 11.8) [12].

62

Page 74: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.5 Corrosion rate of Cu/Ru in alkaline cleaning solution with 15 potential inhibitors [12]

Cu corrosion in acidic cleaning solution (pH =3) was also investigated using micropattern

corrosion screening using five inhibitors that were very effective for Cu corrosion in alkaline

solution. The investigation was done at 50oC in order to mimic industrial processing condition.

The corrosion rate of Cu/Ru at this condition was extremely high (>500 Å/min) as the microdots

were completely eroded within the first 10 second of immersion. Addition of corrosion inhibitors

resulted in decrease in corrosion rate but development of better corrosion inhibitors is needed for

this solution as the rate is extremely higher than the industrial standard of < 1Å/min . Figure 3.6

shows time lapsed images of Cu/Ru samples immersed in the test solution with five different

inhibitors.

63

Page 75: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.6 Time lapsed images of Cu/Ru in acidic post CMP cleaning solution with inhibitors and graph of corrosion rate vs. inhibitors

3.4.2 Bimetallic Corrosion of Co on Cu in Acidic Post CMP Cleaning Solution

Cobalt corrosion was investigated as it is one of the metals used in Cu interconnects as a

capping layer. Cobalt contact with Cu could result in galvanic corrosion. Cobalt microdots

corrosion on Cu was studied in acidic solution at 50oC. This condition was also used in order to

mimic industrial processing conditions. Background corrosion rate of Co/Cu in acidic solution

was found to be very high (150 Å/min) based on micropattern corrosion screening. The time

lapsed images and corrosion rate are shown in figure 3.7.

64

Page 76: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.7 Time lapsed images of Co/Cu in acidic post CMP cleaning solution

Several inhibitors (1-6) were investigated for Co corrosion inhibition using micropattern

techniques and among then two were identified as potential corrosion inhibitors for Co. Figure

3.8 shows graph of corrosion rate vs. inhibitors and time lapsed images of Co/Cu in acidic post

CMP cleaning solution with 6 different inhibitors

(a)

(b)

65

Page 77: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 3.8 Corrosion rate vs. inhibitors (a) and time lapsed images of Co/Cu in acidic test solution with inhibitors 1-6 (b)

The inhibitors studied were azole compounds (10mM concentration in test solution) with

similar molecular structure so that the difference in inhibition efficiency is due to the difference

in electronic structure. From the data, it can be concluded that two compounds 1 and 2 are

potential inhibitors of cobalt. The samples were immersed in the test solution and after 22 hours

there was no observable change. Therefore the compounds are very effective corrosion inhibitors

of cobalt.

3.4.3 Activation Studies of Inhibitors 5 and 6 in Acidic Post CMP Cleaning Solution

Activation studies on inhibitors 5 and 6 were carried out after they were identified as

efficient corrosion inhibitors for Co/Cu through micropattern corrosion screening. The objective

of this study was to find out how long it takes for the inhibition of Co corrosion to start. The

66

Page 78: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

study was needed since the size of Cu interconnects are becoming smaller and smaller and any

corrosion however small might lead to serious reliability issue.

Figure 3.9 Micropattern corrosion of Co/Ru in acidic post CMP cleaning solution after pretreatment with inhibitors 5 and 6 for 2, 5 and 30 minutes

This study was accomplished by pretreating the Co/Cu microdots in the test solution

containing 10mM of each of the two effective inhibitors for 2, 5 and 30 minutes. Micropattern

corrosion screening was subsequently used to determine how long it takes to completely corrode

the pretreated microdots in the test solution without inhibitors. From the results shown in figure

3.9, it can be concluded that the activation time for both the inhibitors is less than 2 minutes.

This is because the corrosion rate was the same irrespective of the pretreatment time. Optical

profilometry was used to determine the thickness lost during pretreatment before the action of

the inhibitor fully kicked in. This was accomplished by pretreating the samples with test

67

Page 79: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

solutions containing inhibitors 5 and 6 and subsequent analysis of Co microdots thickness

remaining. Figure 3.10 shows Co microdots thickness and top down images after 30 min

pretreatment with acidic solution containing inhibitor 6.

Figure 3.10 Activation time and Co removal during pretreatment from optical profilometer

The results indicate that for inhibitor 5 the thickness lost is about 15nm while for

inhibitor 6 the thickness lost is about 5nm. This shows clearly that the activation time for

inhibitor F is less than the activation time for inhibitor 5 and therefore Inhibitor 6 is more

effective than 5.

68

Page 80: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

3.5 Summary

In summary, bimetallic corrosion of Cu/Ru and Co/Cu was studied using bimetallic

corrosion screening technique. This method proved to be very useful in determining the

corrosion rates and also finding effective corrosion inhibitors. It is also fast and effective in

studying several corrosion conditions like presence of oxygen, pH and temperature can be

monitored. Corrosion of metals is dependent on the solution chemistry and experimental

condition. For Cu interconnects, device scaling makes corrosion a big problem because the

amount of metal that can be corroded to cause a circuit failure is also becoming very small.

Therefore efficient inhibitors with fast activation time need to be developed in order to avoid

reliability issues and increase device yield.

3.6 References

1. T. J. Spencer, T. Osborn, and P. A. Kohl, Science, 320, 756 (2008).

2. T. Osborn, A. He, N. Galiba, P. A. Kohl, J. Electrochem. Soc.,155, 308 (2008).

3. G. Banerjee, and R. L. Rhoades, ECS Trans., 13, 1 (2008).

4. S. Armini, C. M.Whelan, M. Moinpour, and K. Maex, J. Electrochem. Soc., 156, 18

(2009).

5. T. N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M. J. Kim, K. H. Chen, C. T. Wu,

and L. C. Chen, Appl. Phys. Lett., 86, 083104 (2005).

6. O. Chyan, T. N. Arunagiri, and T. Ponnuswamy T, J. Electrochem. Soc., 150, 347 (2003).

7. R. Chan, T. N. Arunagiri, Y. Zhang, O. Chyan, R. M. Wallace, M. J. Kim, and T. Hurd,

Electrochem. Solid State Lett., 7, 154 (2004).

69

Page 81: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

8. J. T. N. Atkinson and H. Vandrofflear, Corrosion and its control, NACE, Houston

(1985).

9. H. H. Uhlig and R.W. Revie, Corrosion and Corrosion control, John Wiley & Sons,

Chichester (1985).

10. D. A. Jones, Principles and Prevention of Corrosion, Prentice-Hall, London (1996).

11. T. Desmond, J. Electrochem. Soc., 145, 3 (1998).

12. K. K. Yu, K. S. M. Pillai, P. R. Nalla, and O. Chyan, J. Appl. Electrochem., 40 (2010).

13. P. R. Nalla, K. S.M. Pillai, K. K. Yu, S. Venkataraman, and O. Chyan, Proc. of

Advanced Metallization Conference, 83 (2009).

70

Page 82: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CHAPTER 4

STUDY OF PYRAZOLE AS COPPER CORROSION INHIBITOR IN MODEL ALKALINE

POST CHEMICAL MECHANICAL POLISHING CLEANING SOLUTION

4.1 Introduction

Recently, in the microelectronic fabrication process, copper has replaced aluminum as the

metal of choice for wiring due to its high electromigration resistance and low electrical resistance

[1-2]. Despite substantial reduction in resistance-capacitance (RC) delay, several challenges were

encountered in the integration of copper as wiring metal. One of the major challenges is the lack

of volatile copper compounds at temperatures less than 1000C making it difficult to directly

pattern copper lines, rendering reactive ion etching (RIE) process impractical [3]. A more

pragmatic approach to the Cu integration process involves deposition of a diffusion barrier (Ru,

Ta, TaN) and Cu metal into interlayer dielectrics (ILD), known as Damascene process, followed

by removal of overburden metals by chemical mechanical polishing (CMP) technique [4-5].

CMP process and post CMP cleaning exposes Cu interconnect and barrier to the corrosive

chemicals relevant to these processes. This results in bimetallic corrosion that can be detrimental

to the yield and reliability of integrated circuits devices. Furthermore, CMP process leaves Cu

residues, organic residues, abrasive particles and other contaminants on the surface that can

degrade the electrical properties of ILDs, lower the conductivity of Cu and lead to poor adhesion

of the subsequent layers [6].

To avoid reliability issues, an effective post CMP cleaning step is therefore required to

remove the residues and contaminants. Both acidic and alkaline cleaning solutions have been

developed in recent years that effectively remove Cu residues and contaminants. Recent study

has shown alkaline post CMP cleaning solution to be more effective to achieve a good cleaning

71

Page 83: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

performance and low surface roughness [7]. One of the advantages of alkaline cleaning

chemistry is the selective dissolution of CuO at high pH, leaving Cu2O passivating layer on Cu

surface. Also at high pH conditions, negative charge on the slurry particles supposedly increases

to result more efficient particle removal [8]. Alkaline post CMP cleaning solution containing

TMAH, a strong base, has been used in proprietary chemical agents for effective inhibiting and

preventing re-adhesion of particles that are removed during the cleaning process [9]. Although

the TMAH based solution is efficient in removing the residues and contaminants, it has been

documented to be corrosive towards Cu and could therefore cause serious reliability issues [9].

This is a significant drawback as the Cu/diffusion barrier contacts are inevitably exposed to the

corrosive chemicals used during the cleaning process. Ruthenium (Ru) has been explored as

alternative barrier/liner materials to replace tantalum/tantalum nitride (Ta/TaN) bilayer stack

because of the scaling difficulty [10-12]. However, Cu/Ru bimetallic corrosion could be of

concern since Ru belongs to the platinum group metals that is more noble than Cu. Previously,

we reported a novel bimetallic corrosion testing technique, which is an effective methodology for

evaluating bimetallic corrosion of Cu interconnects when exposed to CMP and post CMP

cleaning conditions and showed that Cu/Ru exhibit enhanced corrosion compared to Cu/Ta [13].

Micropattern corrosion testing technique has the advantage of allowing actual monitoring of

corrosion of Cu when in contact with a barrier metal unlike other methods hence the bimetallic

effect can be evaluated.

Reliable Cu metallization process is achieved when metal loss is minimized during post

CMP cleaning and is achieved by addition of a corrosion inhibitor into the cleaning solution.

Significant progress had been made in the study of copper corrosion inhibitors and nitrogen

heterocycles have been found to be effective metal corrosion inhibitors. This is because of their

72

Page 84: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

chelating action and formation of physical barrier on the surface of the metal that prevent

corrosion [14]. Among them, benzotriazole (BTA) has been extensively investigated and used as

Cu corrosion inhibitor in both acidic and alkaline post CMP cleaning solutions [15-18]. Neutral

BTA exists in two tautomeric forms in equilibrium – 1 H-benzotriazole and 2 H-benzotriazole,

where the former is the predominant species (99.9%) in both solution and gas phases. Due to the

presence of multiple electronegative nitrogen atoms in the ring, BTA has appreciable NH

acidicity (pKa ~8.2) and at high pH conditions, mostly exists in its highly resonance stabilized

conjugate base form, benzotriazolyl anion. BTA has been widely used as metal corrosion

inhibitor due to its strong metal-chelating capability and presence of hydrophobic benzene ring

(water solubility is 1.8-2.5 wt%). BTA physisorption at the metal interface, formation of BTA-

metal complexation monolayer and subsequent molecular self assembly via strong π-π

interaction between BTA aromatic rings combine to result a hydrophobic protective film, ([Cu+

BTA-]n), on the metal surface rendering it inaccessible for any attack from corroding chemicals

[19-21]. Inhibition efficiency of BTA for Cu corrosion is a function of the temperature,

concentration of BTA, immersion time, oxidation states on Cu surface and the pH. BTA

adsorption is much faster on Cu2O layer than on CuO or pristine Cu surface and therefore

thickness of Cu2O underlayer determines thickness of the Cu-BTA protective layer. The

adsorption of BTA on an oxide-free Cu surface, which is the case in very high pH conditions, is

suggested to be improbable or minimal [22]. According to Pourbaix diagram, at higher pH, Cu

surface comprises less oxide layer and mostly exists in Cu0 state and at pH approaching 14, Cu

directly transforms into CuO22- ion [23]. Moreover, due to its poor aqueous solubility and

inherent hydrophobicity, BTA contributes to increased organic residue defects on water surface

and therefore not efficient when used as Cu corrosion inhibitor in aqueous alkaline post CMP

73

Page 85: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

cleaning solution. Therefore, BTA needs to be replaced by an efficient corrosion inhibitor that is

effective in highly alkaline (> pH 12) post CMP cleaning formulations and the treated surface is

more hydrophilic in nature.

One of the potential substitutes is pyrazole which is readily water soluble unlike BTA.

Owing to annular tautomerism, unsubstituted NH-pyrazoles can exist in two equally contributing

tautomeric forms. It is a weaker NH acid (pKa ~14.21) than BTA partly due to dimer formation

via intermolecular hydrogen bonding. The conjugate base, pyrazolyl anion, is stabilized by two

equally contributing resonance forms [24]. The inhibition effect of pyrazole and pyrazole

derivatives on the corrosion of Cu has been studied in hydrochloric acid using impedance

spectroscopy and polarization methods [25-26]. It was found that inhibitors adsorbed on Cu

surface to form pyrazole-Cu (II) complex protective layer without changing Cu dissolution

mechanism. Research of pyrazole as Cu corrosion inhibitor has largely been done in acidic

solutions and very little in alkaline solutions [27] using electrochemical methods. Figure 1 shows

molecular structures of pyrazole and BTA.

In this study, we report the effectiveness of pyrazole in the inhibition of Cu corrosion in 8

wt.% TMAH (pH 14) solution and compare it to BTA under the same conditions. The

investigation was carried out in-situ using the micropattern corrosion screening technique.

Corrosion potentials and currents measured by electrochemical technique, and XPS analyses

complemented well with micropattern corrosion screening results to demonstrate efficiency of

pyrazole in Cu corrosion inhibition in TMAH based alkaline post CMP cleaning solution.

74

Page 86: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 4.1 Structures of (a) benzotriazole (BTA) and (b) pyrazole

4.2 Experimental

Corrosion measurement in this study was done by micropattern corrosion testing

technique described elsewhere [13]. As demonstrated in figure 2, copper microdots (ca. 50 nm

thick, 130 µm in diameter pattern transferred via contact mask on to Ru, Ta and glass substrates)

as well as Ru and Ta substrates on Si were deposited using magnetron sputtering (Desktop Pro,

Denton Vacuum). The actual corrosion process of micropattern array is recorded using an optical

microscope (Nikon, Eclipse ME600). The corrosion rate (Å/min) can be estimated by the time of

complete disappearance of Cu dots after immersion in the probing chemical solution. Bimetallic

corrosion screening technique has the advantage of allowing the direct observation of corrosion

of a metal in direct contact with another metal hence the effect of bimetallic contact can be

determined instantly under different conditions. It is also a fast corrosion screening technique as

Cu microdots were designed to be thin enough to permit screening many corrosion inhibitors

within a short period of time.

75

Page 87: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 4.2 Micropattern corrosion screening structure

Pyrazole (98% Sigma-Aldrich), BTA (97% Sigma-Aldrich), and TMAH (25 wt.%

Sigma-Aldrich) were used as received. Model aqueous cleaning solution containing 8 wt.%

TMAH (pH 14) in water, as a representative of mainstream proprietary alkaline cleaning

solution was prepared using pre-purified water (>18.2 MΩ, Millipore integral 3) [6,28]. All

electrochemical measurements were done using CHI 760D (CH Instruments) potentiostat. Cu

shot, 5mm in diameter was used as the working electrode. The metal electrode was polished

down to 0.5 micron mirror polishing and sonicated in de-ionized water. A three-electrode system

with Pt as the counter electrode and Ag/AgCl as reference electrode was used in an

electrochemical cell. Electrochemical impedance spectroscopy (EIS) measurements were

completed by superimposing an ac signal with amplitude of 5 mV peak to peak and frequency

range from 100 kHz to 50 mHz. The EIS results were analyzed using ZSimpWin software.

XPS samples were prepared by sputter depositing Cu on Ru substrate (1cm2) and immersing

them in 8 wt.% TMAH solution containing 1mM pyrazole and 10mM BTA for 20 minutes. The

samples were rinsed with de-ionized water and blow-dried with dry nitrogen purge. XPS

76

Page 88: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

analyses were conducted ex-situ using a PHI 5000 VersaProbe, a multi-technique surface

analyses instrument equipped with Al Kα (1486.7 eV) radiation and dual-gun charge

compensation system for analysis of all sample types.

4.3 Results and Discussion

4.3.1 Effect of Substrate on Cu Corrosion

The nature of bimetallic contact was studied to determine the effect on the rate of Cu

corrosion. Figure 3a shows the time-lapsed images of Cu micropatterns on three different

substrates; Ru, Ta and glass submerged in the alkaline TMAH (8 wt.%, pH=14). Ta is currently

used as part of the diffusion barrier for Cu interconnects in integrated circuit devices [29-30]

while Ru is a new promising candidate for liner metal because Cu can be directly plated onto Ru

without Cu seed [31-32]. Glass was chosen as a non-conductive dielectric substrate. As shown in

Figure 3, Cu microdots on Ta substrate required over 4X the amount of time as compared to Cu

microdots on Ru substrate to corrode completely in TMAH pH=14 solution. Based on the

micropattern screening, the Cu corrosion trend follows Cu/Ru (27 min) > Cu/Glass (56 min) >

Cu/Ta (120 min). Tantalum has a strong tendency to be oxidized and form tantalum oxide

(Ta2O5) especially when exposed to aqueous medium, Eq. 1.

2Ta + 5H2O = Ta2O5 + 10H+ + 10e- ------ (1)

Ta exhibits a thermodynamically favorable oxidation reaction that donates electrons

through the Cu/Ta bimetallic contact. This results in cathodic protection of Cu microdots. On the

other hand, Ru is nobler than Cu therefore Cu oxidation is facilitated through Cu/Ru bimetallic

77

Page 89: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

couple. Cu microdots on glass was used to represent Cu only corrosion case and as expected it

exhibited a corrosion rate that is in between Cu/Ru and Cu/Ta [13].

Figure 4.3 Time lapsed images of Cu microdots deposited on Ru, Ta and glass in 8 wt.% TMAH solution

To confirm the trend of galvanic corrosion, Tafel plots (figure 4.4) were recorded for Cu,

Ru and Ta in TMAH pH 14 solution. The corrosion potentials mostly followed a general trend of

Ecorr, Ru > Ecorr, Cu > Ecorr, Ta which correlated well with the expected metal nobility trend.

78

Page 90: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 4.4 Tafel plots of Ru, Cu and Ta measured in TMAH pH 14 solution

4.3.2 Cu Micropattern Corrosion and Inhibition

Chemical composition of post CMP cleaning solution has a direct effect on bimetallic Cu

corrosion process. The continued shrinking of Cu interconnects features in order to satisfy

Moore's law has led to increased need to minimize Cu corrosion during post CMP cleaning to an

industrially accepted rate of <1Å /min. The Pourbaix potential-pH diagram indicates that

alkaline solution condition could facilitate Cu to corrode more readily. Cu bimetallic contact

with Ru leads to accelerated corrosion which was confirmed using micropattern corrosion

screening technique. The nobility of Ru in TMAH solution and with addition of pyrazole and

BTA was determined using Tafel plots. The icorr and Ecorr were found to have negligible

difference for the three plots therefore confirming that Ru in TMAH is not affected by the

presence of corrosion inhibitors .The proposed mechanism of Cu/Ru corrosion is shown below.

79

Page 91: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Ru cathode

)(442 22 ORROHeOHO Slow −− →++ Oxygen reduction reaction

Cu anode

22

22

2

22

)(

222

2222

OHCuOHCueOHCuHOCu

eHOCuOHCu

Slow→+

++→+

++→+

−+

−++

−+

Figure 4.5 shows corrosion rate of Cu microdots on Ru in 8 wt.% TMAH with respect to

increasing concentrations of pyrazole and BTA. Figure 4.6 displays the time lapsed images of Cu

microdots after immersion in the same TMAH solution and with 10mM BTA and 1mM

pyrazole. The time required to completely erode 50nm Cu dots can be used to gauge the relative

rate of corrosion. The corrosion rate can therefore be estimated to be inversely proportional to

corrosion time. The relative Cu corrosion rate of Cu/Ru micropattern in 8 wt.% TMAH (27Å

/min) only partially diminished after addition of up to 10mM BTA (8Å /min). Comparatively, Cu

corrosion rate of Cu/Ru micropattern was significantly reduced (<1Å /min) after addition of

1mM pyrazole in 8 wt.% TMAH solution. Further increase in pyrazole concentration did not

result any substantial corrosion rate decrease. From the results, we conclude that 1mM pyrazole

is needed to achieve the industry acceptable Cu corrosion rate of <1Å /min. Furthermore, it is

desirable to keep the inhibitor concentration very low because the excess inhibitor on Cu surface

becomes the source of organic contamination that can affect the conductivity and interlayer

adhesion of the subsequent layers. This demonstrates that pyrazole is a better candidate for Cu

corrosion inhibition in highly alkaline TMAH solution as compared to the industrial standard,

BTA. All subsequent experiments in this paper were carried out using 1mM pyrazole and 10 mM

BTA.

80

Page 92: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 4.5 Inhibitor concentration dependent etch rate of Cu in 8 wt.% TMAH

Figure 4.6 Time lapsed images of 50nM Cu/Ru immersed in 8 wt.% TMAH with additional 1mM pyrazole and 10mM BTA

81

Page 93: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.3.3 Electrochemical Analysis

4.3.3.1 Tafel Plots

The trend of Cu corrosion was also monitored using Tafel plots. The rate of corrosion can

be theoretically calculated from corrosion current which is obtained from extrapolation of anodic

and cathodic curves. As shown in Figure 4.7, the corrosion current follow the trend of icorr, no

inhibitor> icorr, 10mM BTA > icorr. 1mM pyrazole which correlated well with the results from micropattern

corrosion screening while the Ecorr remain relatively the same. The disadvantage of Tafel plot

method is that the corrosion rate is obtained from fresh electrode/solution and the study is limited

to short term study only. Furthermore, corrosion study by Tafel plots is based on a single metal

electrode and cannot be directly applied to bimetallic corrosion which are both addressed by

micropattern screening technique.

Figure 4.7 Tafel plots of Cu in 8wt.% TMAH and with pyrazole and BTA

82

Page 94: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.3.3.2 Electrochemical Impedance Spectroscopy (EIS)

EIS is an effective technique that is used in the analysis of various steps involved in an

electrochemical reaction by measuring the response of impedance system to a small ac potential

in a wide frequency range [33]. It provides a method for measuring the resistance against the

transfer of ionic species to the metal surface and has been used to evaluate the barrier properties

of corrosion inhibitors [34-35]. Corrosion of Cu in 8 wt.% TMAH solution in the presence of

BTA and pyrazole inhibitors was investigated by EIS to substantiate the aforementioned

effectiveness of pyrazole as Cu corrosion inhibitor. The results of the successive impedance

scans are shown in figure 4.8 in the form of Nyquist plots. All the impedance data were fitted

using an equivalent circuit shown in figure 4.8 (inset) where Rs represents the solution resistance,

Rdl is the charge transfer resistance, Qdl is double layer constant phase element (CPE), Rf is layer

resistance and Qf (CPE) represent the protective properties of the film on Cu. This equivalent

circuit has been used to fit impedance data in studies of corrosion inhibition by organic coatings

[34,36-37].

As seen in the Nyquist plot (figure 4.8), addition of BTA and pyrazole increases the

charge transfer resistance of the Cu electrode in TMAH solution. This is arrived at by evaluating

the diameter of the semicircular Nyquist plots which clearly increases with the addition of BTA

and pyrazole to TMAH. The increase in charge transfer resistance could be due to the adsorption

of inhibitor molecules on the Cu surface which modifies the surface by decreasing the electrical

capacity because of displacement of water molecules and other ions originally adsorbed on the

surface. It is particularly important to note that the charge transfer resistance with addition of

pyrazole is ~ 10X higher than that of the TMAH solution contains BTA. This confirms the

formation of a denser protective layer on the surface of Cu by pyrazole compared to the one

83

Page 95: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

formed by pyrazole which explains why pyrazole exhibited effective corrosion inhibition shown

by Micropattern corrosion screening.

Figure 4.8 EIS data (a) Nyquist plot of Cu in TMAH (black), TMAH +BTA (red) and TMAH+pyrazole (blue) and inset equivalent circuit used to fit data.

4.3.4 Water Contact Angle Measurement

Surface tension or wettability of Cu surface is an important aspect in post CMP cleaning.

It has direct impact on the cleaning results as a hydrophilic surface enables relatively easy

flushing away contaminants and minimizes watermarks [38]. Cu samples were submerged in

TMAH solution and TMAH with 1mM pyrazole and different concentrations of BTA for 20

minutes followed by DI water rinse and air-dry and subsequent contact angle measurement on

84

Page 96: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

the treated surfaces. As shown in Figure 4.9, significant differences of wettability of Cu were

observed among the test solutions. The TMAH solution containing BTA produced hydrophobic

surface and hydrophobicity increased with concentration. Surface hydrophibicity of

BTA/TMAH-treated Cu surface is commonly attributed to the specific orientation of BTA

molecules relative to the Cu surface where hydrophobic benzene ring is facing away from Cu

surface and therefore forming a protective hydrophobic barrier [39]. The TMAH solution

containing pyrazole produced a relatively hydrophilic Cu surface after immersion compared to

the ones treated with BTA/TMAH solution. This is likely due to relatively smaller size of

pyrazole molecule owing to the absence of large hyrdrophobic benzene moiety. As a result, a

thin hydrophilic Cu-Pyrazole complex is adsorbed Cu surface.

Figure 4.9 Variation in DI water contact angle of Cu in TMAH and TMAH+ inhibitor

85

Page 97: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.3.5 Surface Analysis

4.3.5.1 XPS Analysis

XPS analysis was done on three substrates; bare Cu, Cu immersed for 20 minutes in

TMAH with 10mM BTA and TMAH with 1mM pyrazole. Figure 4.10 present the spectra of Cu

2p and auger spectra (Cu LMM). From the results, it is clear the chemical surface states are

different for the three substrates. Bare Cu contains CuO and CuOH which is indicated by Cu

2p3/2 at 933.8 eV and Cu LMM at 569.4 eV (Figure 4.10a and b).The intense shake up satellites

around 938-946 eV indicates the presence of Cu2+ which is evoked by the availability of unfilled

d-orbitals (d9) [40-42]. The peak at 932.5 eV is attributed to either Cu2O or metallic Cu. The

difference in energy between Cu2O and Cu is about 0.1eV [43] and it was difficult to distinguish

the two with the resolution of our instrument. CuLMM spectra on the other hand has a difference

of about 2.6 eV between Cu2O (570.5 eV) and Cu (567.8 eV). This is as a result of the relaxation

energy difference between the materials [44]. In bare Cu analysis, Cu2O is not present because of

the absence of the characteristic CuLMM peak at 570.5 eV.

The Cu 2p3/2 component of Cu immersed in TMAH solution with 10mM BTA (Figure

4.10c) shows a sharp peak at 932.5 eV which is attributed to Cu2O or metallic Cu. This is

confirmed by the CuLMM peak at 570.5 eV (Figure 4.10d) which is typical of Cu2O. This

indicates that a protective film is present on Cu surface, but protection is not effective based on

micropattern corrosion screening results. Cu substrate immersed in TMAH solution containing

1mM pyrazole showed a sharp peak on Cu 2p spectra at 932.5 eV (Figure 4.10e). The actual

identification was confirmed by CuLMM spectra with 2 peaks, the main peak at 567.8 eV (Figure

4.10f) that is typical metallic Cu and another peak at 570.5 eV that is Cu2O [45-46]. This reveals

the absence of Cu2+ on the substrate treated with pyrazole and could be as a result of formation

86

Page 98: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

of Cu(1)-pyrazole complex on Cu surface. The presence of the Cu2O on the surface after

treatment with pyrazole may suggest the adsorption process involves oxidation of Cu atoms to

Cu+ followed by chemisorption of the inhibitors.

Figure 4.10 XPS Cu 2p spectra of; (a) bare Cu, (b) BTA modified Cu and (c) pyrazole modified Cu and Cu LMM spectra of; (d) bare Cu, (e) BTA modified Cu and (f) pyrazole modified Cu

Figure 4.11 is the N1s spectra of three Cu substrates. As expected, bare Cu (Figure

4.11a) depicts absence of N1s peak. The substrate containing BTA in TMAH (Figure 4.11b) has

a single and significantly narrower peak located at 400.2 eV. The narrower N1s peak indicates

that the charge is evenly distributed by the conjugated π structure delocalized over the two N

87

Page 99: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

atoms and both N1 and N3 are equivalent [47-48]. This could signify absence of Cu-N bonding

and explanation of ineffective corrosion inhibition as depicted by micropattern corrosion

screening. The sample treated with pyrazole (Figure 4.11c) has two peaks; one at 400.2 eV and

the other one at 399.6 eV. This shows that the two N atoms are not equivalent which may

indicate that one of the N atoms in pyrazole molecule is bonded to Cu(1) or Cu as bonding

changes the electron environment of one N atom compared to the second one [47]. This therefore

demonstrates that the effectiveness of pyrazole in inhibition of Cu corrosion is through formation

of Cu-pyrazole complex to form the inhibition film.

Figure 4.11 XPS N1s spectra of: (a) bare Cu, (b) BTA modified Cu and (c) pyrazole modified Cu

88

Page 100: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.4 Proposed Mechanism of Cu Corrosion Inhibition

Based on the data obtained we propose a mechanism of Cu corrosion in TMAH solution

by pyrazole. This involves first an irreversible ionization of pyrazole (Pz) in solution as shown in

the following equation

Pz- then reacts with Cu+ from the anode reaction to form Cu(1)-Pz

The dissolution of Cu in 8 wt.% TMAH is therefore controlled by the electrochemical

reaction on the surface of Cu since ionization of pyrazole is an irreversible reaction. The Cu(1)-

Pz complex formed on the surface creates a physical barrier that prevents further contact of Cu

with TMAH and hence prevents Cu corrosion.

4.5 Summary

In this study, pyrazole was demonstrated using various experimental methods to be an

effective Cu corrosion inhibitor compared to BTA in alkaline post CMP cleaning solution

containing TMAH. 1mM of pyrazole showed effective inhibition of Cu corrosion while BTA up

to 10mM resulted in Cu Corrosion. From the XPS and ATR-IR analysis it was depicted that

pyrazole inhibit Cu corrosion in TMAH by the formation of Cu-pyrazole complex. The

reproducible micropattern corrosion screening confirmed that pyrazole is more effective

corrosion inhibitor that BTA in TMAH solution.

89

Page 101: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

4.6 References

1. A. Sethuraman, J.-F. Wang, and L. M. Cook, J. Electron. Mater., 25, 1617 (1996).

2. T. J. Licata, E. G. Colgan, J. M. E. Harper, and S. E. Luce, IBM J. Res. Dev., 39, 419

(1995).

3. J. Steigerwald, M. Zirpoli, S. P. Murarka, D. Price, and R. J. Gutmann, J. Electrochem.

Soc., 141, 2842, (1994).

4. K. W. Chen, Y. L. Wang, L. Chang, S. C. Chang, F. Y. Li, and S. H. Lin, Electrochem.

Solid-State Lett., 7, G238 (2004).

5. A. Jindal and S. V. Babu, J. Electrochem. Soc., 151, G709 (2004).

6. L. Zhang, S. Raghavan, and M. Weling, J. Vac. Sci. Technol. B, 17, 2248 (1999).

7. A. Ouerd , H. Dulphy , V. Lelievre, L. D. Cioccio, and M. Rivoire, International

Conference on Planarization/CMP Technology, 15 (2012).

8. R. Prasanna Venkatesh, T.-Y. Kwon, Y. Nagendra Prasad, S. Ramanathan, and J.-G.

Park, Microelectron. Eng., 102, 74 (2013).

9. M. Fischer, US20070225186 A1 (2006).

10. T. N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M. J. Kim, K. H. Chen, C. T. Wu,

and L. C. Chen, Appl. Phys. Lett., 86, 083104 (2005).

11. K. Mahadevaiyer, J. W. Nalaskowski, and L. M. Cook, Chem. Rev., 110, 178 (2010).

12. S.-C. Seo, C.-C. Yang, C.-K. Hu, A. Kreber, S. Fan, D. Horak, D. Canaperi, S. Papa Rao,

B. Haran, and B. Doris, Electrochem. Solid-State Lett., 14, H187 (2011).

13. K. K. Yu, K. S. M. Pillai, P. R. Nalla, and O. Chyan, J. Appl. Electrochem., 40, 143

(2010).

14. Y. I. Kuznetsov, Int. J. Corros. Scale Inhib., 1, 3 (2012).

90

Page 102: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

15. Q. Luo, S. Ramarajan, and S. V. Babu, Thin Solid Films, 335, 160 (1998).

16. S. L. Cohen, V. A. Brusic, F. B. Kaufman, G. S. Frankel, S. Motakef, and B. Rush, J.

Vac. Sci. Technol. A, 8, 2417 (1990).

17. L. Tommesani, G. Brunoro, A. Frignani, C. Monticelli, and M. Dal Colle, Corros. Sci.,

39, 1221 (1997).

18. R. Thomas, V. Brusic, and M. Rush, J. Electrochem. Soc., 139, 678 (1992).

19. G. W. Poling, Corros. Sci., 10, 359 (1970).

20. M. J. Armstrong and R. H. Muller, J. Electrochem. Soc., 138, 2303 (1991).

21. R. Babic and M. Metikos-Hukovic, Thin Solid Films, 359, 88 (2000).

22. T. Hashemi and C. A. Hogarth, Electrochim. Acta, 33, 1133 (1988).

23. M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, NACE

International, Houston (1974).

24. J. Alvarez-Builla, J. J. Vaquero, and J. Barluenga, Modern Heterocyclic Chemistry, vol.

4, Wiley-VCH, Weinheim, Germeny (2011).

25. A. G. Gad-Allah, M. W. Badawy, and H. H. Rehan, J. Appl. Electrochem., 19, 982

(1989).

26. E. Geler and D. S. Azambuja, Corros. Sci., 42, 631 (2000).

27. H. Cang, W. Shi, J. Shao, and Q. Xu, Int. J. Electrochem. Sci., 7, 5626 (2012).

28. E. L. Walker, J. A. Barnes, S. Naghshineh, and K. P. Yanders,. US7365045 B2 (2008).

29. C. W. Chen, J. S. Chen, and J. S. Jeng, J. Electrochem. Soc., 155, 1003 (2008).

30. J. C. Tsao, C. P. Liu, Y. L. Wang, and K. W. Chen, J. Nanosci. Nanotechnol., 8, 2582

(2008).

31. O. Chyan, T. N. Arunagiri, and T. Ponnuswamy, J. Electrochem. Soc., 150, 347 (2003).

91

Page 103: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

32. R. Chan, T. N. Arunagiri, Y. Zhang, O. Chyan, R. M. Wallace, M. J. Kim, and T. Hurd

Electrochem. Solid-State Lett., 7, 154 (2004).

33. T. Du, D. Tamboli, V. Desai, V. S. Chathapuram, and K. B. Sundaram, J. Mater. Sci.

Mater. Electron., 15, 87 (2004).

34. H. Ma, S. Chen, L. Niu, S. Zhao, S. Li, and D. Li, J. Appl. Electrochem., 32, 65 (2002).

35. E.-S. M. Sherif, J. H. Potgieter, J. D. Comins, L. Cornish, P. A. Olubambi, and C. N.

Machio, Corrosion Science, 51, 1364 (2009).

36. F. Deflorian, L. Fedrizzi, A. Locaspi, P. L. Bonora, Electrochim. Acta, 38, 1945 (1993).

37. K. M. Ismail, Electrochim. Acta, 52, 7811 (2007).

38. M. A. Migahed and I. F. Nassar, Electrochim. Acta, 53, 2877 (2008).

39. D. W. Peters, Mater. Res. Soc. Symp. Proc., 991, 215 (2007).

40. J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X Ray

Photoelectron Spectroscopy, Physical Electronics Inc., Eden Prairie (1995).

41. G. Beamson and D. Briggs, High Resolution XPS of Organic Polymers: The Scienta

ESCA 300 Database, Wiley, Chichester (1992).

42. F. M. Pan, S. R. Horng, T. D. Yang, and V. Tang, J. Vac. Sci. Technol. A, 8, 4074 (1990).

43. D. Q. Zhang, Z. X. An, Q. Y. Pan, L. X. Gao, and G. D. Zhou, Appl. Surf. Sci., 253,

1343 (2006).

44. J. P. Tobin, W. Hirshwald, and J. Cunningham, Appl. Surf. Sci., 16, 44 (1983).

45. Y. S. Tan, M. P. Srinivasan, S. O. Pehkonen, and S. Y. M. Chooi, Corros. Sci., 48, 840

(2006).

46. Y. Q. Feng, W. K. Teo, K. S. Siow, Z. Q. Gao, K. L. Tan, and A. K. Hsieh, J.

Electrochem. Soc., 144, 55 (1997).

92

Page 104: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

47. M. Finšgar, Corros. Sci., 72, 90 (2013).

93

Page 105: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CHAPTER 5

INTERFACIAL CHARACTERIZATION OF PLASMA TREATED COPPER SURFACES

RELATED TO ADVANCED COPPER INTERCONNECTS

5.1 Introduction

As the size of functional elements in integrated circuits (IC) decreases to follow Moore’s

law [1], reduction in the dimensions of components has been successful only to a certain extent

beyond which several problems arose. For example, decrease in the thickness of gate dielectrics

resulted in more leakage current through thin oxide layer. Also decrease in dimension of

metallization lead to increase in the resistance (R) and capacitance (C) of interconnections and

thereby increasing the RC delay [2-3]. To solve these problems, high conductivity metal wiring

and lower capacitance insulating materials have been developed. Copper was found to be the

best choice for wiring metal and replaced conventional aluminum because of its low bulk

resistivity and high reliability against electromigration [4]. Furthermore low-k interlayer

dielectrics (ILDs) were preferred over silicon dioxide because of low capacitance [5].

Plasma processes based both on reactive species and intense ion bombardment are widely

used in the semiconductor manufacturing [6]. The reactive species plasma is used for deposition

of dielectrics and photoresist removal whereas the ion-induced processes find wide use in

precision patterning processes. The main advantage of using plasma etching to make patterns on

dielectrics as opposed to wet etching is its high anisotropy i.e. the ability to provide vertical

etching in the direction normal to substrate and practically no lateral etching in the direction

parallel to the substrate. Though Cu is more conductive and highly favorable than Aluminum, it

has significant drawback with respect to patterning ability. Copper halides are non-volatile and

hence it is difficult to pattern copper by plasma etching [7]. Several approaches have been

94

Page 106: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

investigated to improve the volatility of copper halides, such as wafer heating [8] and exposure

to ultraviolet (UV) radiation [9]; however, none of these methods led to a controllable

manufacturing process. Thus, an alternative method known as ‘Damascene’ processing is used

instead, to pattern copper [7]. In this method, the dielectric is first patterned, thereby forming

holes or vias to the underlying conductor layer; copper is then deposited into the vias to form

interconnect between conductor (metal) layers. In the ‘dual Damascene’ method, both via and

the metal line are patterned at the same time to reduce the number of processing steps. Copper

deposition is performed by electroplating, which effectively fills the vias after they are defined in

the dielectric. Excess copper is then removed by chemical mechanical polishing (CMP) [7].

Intermediate layers such as etch stop layers and hard mask layers are used to assist in obtaining

precise end or stop points (during plasma etching) and planar surfaces.

Generally fluorocarbon gas plasmas such as CF4, C2F6, CHF3, and C4F8 are used for the

etching of dielectrics [10]. Some of the undesired results of this plasma patterning process are the

formation of fluorinated layer [11], diffusion of ions into dielectrics etc. All of these undesired

processes results in the increase in dielectric constant. When CF4 gas is used, it results in

formation of fluorocarbon polymer deposits on the dielectrics sidewall. Oxygen is used along

with CF4 so that the O atoms decompose CF polymer on the porous silica surface during plasma

etching, thereby increasing etch rate. The amount of F radicals generated in CF4 plasma depends

on the amount of O2 addition because the density of F radicals reaches its maximum value at a

certain amount of O2 [12].

After the low-k dielectric has been etched away for creating vias and trenches, SiN or SiC

which is a stopper material to suppress Cu diffusion into the low-k materials was etched by

fluorocarbon plasma. During this over etching process, the surface of Cu is also exposed to

95

Page 107: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

plasma. Although the plasma will not etch the Cu surface but these fluorocarbon radicals and

ions interact with Cu and change the Cu surface chemistry. Since the Cu surface is very reactive,

the F ions induce degradation of Cu and results in reliability problems when packaging the

electronic components. Figure 5.1 shows plasma etching scheme of dielectrics and Cu exposure

to plasma.

Figure 5.1 Plasma etching of dielectrics and Cu plasma exposure scheme.

After the plasma etching of dielectrics, the photoresist and other plasma residue removal

is accomplished by oxygen- and nitrogen- containing plasma ashes but the problem with ash

process is that the surface becomes carbon depleted and becomes more hydrophilic [13-14]. An

alternative process for post-etch cleaning is done by organic solvents which shows a complete

removal of photoresist without carbon depletion and low-k increase [14-16]. It has been shown

that the presence of additives in organic solvent has a large impact in photoresist stripping

efficiency [17-21]. In order to improve removal efficiency, chemical additives containing

96

Page 108: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

fluorine and ammonium hydroxide were considered. Dilute HF and ammonium fluoride (NH4F)

were used due to their different degree of dissociation (in aqueous solution). Tetra methyl

ammonium hydroxide (TMAH) was chosen as a source of OH-. During this cleaning, the

underneath Cu surface which has been modified by the interaction of plasma etch gases, is

exposed to TMAH, a most commonly used chemical. To our knowledge, the plasma

modified Cu surface chemistry in post-etch cleaning solutions has not been extensively

documented in the literature. In order to help creating highly reliable interconnects, knowledge

on the behavior of this plasma modified Cu in post-etch cleaning solution is required.

In order to help create highly reliable interconnects, knowledge of the behavior of plasma

modified Cu in post-etch cleaning solution is essential. In this study, we evaluate the corrosion

behavior of copper in TMAH after five different plasma treatments i.e CF4, CF4+O2, CH2F2,

C4F8 & SF6 and analyze copper surface state after fluorocarbon plasma treatment using

micropattern corrosion screening, direct current measurement, water contact angle measurements

and XPS. In addition we evaluate the effect of benzotriazole (BTA ca. 10mM) addition to

TMAH on the corrosion behavior of copper.

5.2 Experimental

In this work, the galvanic effect between Cu and Ru in TMAH solution was investigated

using micropattern corrosion screening technique. Copper microdots of approximately 50 nm

thick and 130 μm diameter were sputter deposited on ruthenium substrate (denoted as Cu/Ru)

though a contact mask using a standard RF magnetron sputter (Desktop Pro, Denton Vacuum).

Ruthenium substrate (ca 100 nm thick) was sputter deposited on silicon having a 5nm thick

titanium adhesion layer deposited on it. Figure 5.2 illustrate micropattern corrosion screening

97

Page 109: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

structure.The Cu/Ru and Cu/Ta micropattern coupons are treated with CF4, CF4+O2, CH2F2,

C4F8 and SF6 Plasma etch gas. The plasma treatments of these samples were done in Intel lab

unit using an OXFORD PLASMALAB 100 system. It is an ICP system where the top and

bottom plate bias can be controlled independently. The plasma treatment time was 30 sec for all

samples. The pressure maintained was 5 mTorr and temperature was 20 oC with 400W top and

50 W RF bias applied. The etch gas at each run are maintained at following flow rate (sccm): 20

C4F8/30 Ar, 50 CF4, 50 CF4/5 O2, 10 CH2F2/30 Ar, 20 SF6/30 Ar. In-situ corrosion investigation

of corrosion was done visually using a metallurgical microscope (Nikon, Eclipse ME600) by

immersing Cu micropattern samples in testing solution (8%TMAH, pH 14, Aldrich). Figure 2

shows the steps in Micropattern corrosion screening. Based on the time it took to corrode the Cu

microdots completely, the rate of corrosion was determined for each of the samples.

Corrosion trend was verified by direct galvanic current. This was done by connecting two

electrodes i.e. plasma-Cu sample and Ru shot to the two terminals in Keithley source meter and

immersing them in TMAH solution at the same time. The state of Ru surface was kept the same

by freshly polishing before measuring the current for each plasma treated Cu samples. Water

contact angle measurements to determine the surface wettability of the plasma treated samples

was done using water droplets. A droplet of DI water was slowly let sit on the surface of plasma

treated Cu.

98

Page 110: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 5.2 Micropattern corrosion screening structure

XPS analysis were conducted ex-situ using a PHI 5000 VersaProbe, a multi-technique

surface analysis instrument equipped with hemispherical analyzer, multi-channel detector and

sputter etching and electrons flood gun capabilities which are useful in studying charge shifts.

The x-ray source used in this study was an Al Kα 1486.7 eV excitation source in a high vacuum

system with a base pressure under 1×10−7 Pa. High resolution spectra was collected for each

sample with a pass energy of 23.4 eV, energy step of 0.1eV and data was collected at a take-off

angle of 45o.

99

Page 111: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

5.3 Results and Discussion

5.3.1 Micropattern Corrosion Study

The Cu surface chemistry change due to the plasma processing has been a great issue in

terms of their behavior in corrosion. To our knowledge, there is no literature published on how

these plasma radicals/ions modified Cu behaves especially in post etch cleaning solutions. For

the first time we studied the corrosion properties of these plasma treated Cu samples in 8%

TMAH solution at a pH of 14, using micropattern corrosion technique. Figure 5.3 shows

progressing corrosion images with time of Cu microdots deposited on Ru substrate and

immersed in 8 wt.% TMAH solution pH 14.

Figure 5.3 Progressing corrosion with time images

The time it took to corrode 50nm of Cu microdots was used to estimate the corrosion rate

in Å/min. The relative Cu corrosion rates observed was different for all plasma treatments and

100

Page 112: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

followed the trend CF4+O2 (13.9 Å/min) < CH2F2 (15.6Å/min) < CF4 (17.9Å/min) < C4F8

(20.0Å/min) < SF6 (27.7Å/min). Figure 5.4 shows corrosion rate and time of plasma treated

Cu/Ru

Figure 5.4 Corrosion rate and time of plasma treated Cu/Ru

The results from corrosion screening indicate that SF6 plasma treatment induced fastest

corrosion of Cu in TMAH compared to the other four plasma treatments. This could be because

of the high fluorine concentration in the plasma that led to formation of CuF2 that easily

hydrolyze in TMAH solution. CF4+O2 plasma treatment was found to have the lowest corrosion

rate among all the plasma treatment even though the fluorine content is relatively high. This

could be because of the presence of oxygen plasma which could bombard fluorine radicals

lowering the amount of fluorine left to react with Cu surface hence decreasing formation of

101

Page 113: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

CuF2. Another reason for the lower corrosion rate could be formation of a passivating native

oxide layer due to the presence of oxygen plasma which formed a barrier on Cu surface and

prevented corrosion. Different plasma treatment tends to form different surface oxides on Cu

which act as a barrier between Cu and corrosive TMAH solution hence the different corrosion

rates. Figure 5.4 is shows corrosion rate and time of plasma treated Cu in TMAH solution.

5.3.2 Bimetallic Contact Effect

The nature of bimetallic contact was also investigated after plasma treatment and found

to have a great effect the corrosion on Cu. Ta which is currently used as diffusion barrier for Cu

interconnects [22-23] was used as a substrate for Cu microdots and corrosion rate after plasma

treatment in TMAH solution was compared to that of Cu on Ru. Figure 5.5 shows the corrosion

rate of Cu/Ta and Cu/Ru. Corrosion rate of Cu on Ta substrate was approximately 3-4 times

lower than that of Cu on Ru substrate in TMAH solution which could be due to substrate

inducing effect. Since Ru is nobler that Cu, then bimetallic contact between them enhances

galvanic corrosion. On the other hand, Ta has a strong and thermodynamically favorable

tendency to be oxidized to tantalum oxide in aqueous solution by donation of electrons through

bimetallic contact of Cu and Ta providing cathodic protection to Cu microdots [24]. It was

noticed that in both substrates (Ru and Ta), high fluorine content plasma etch gases (C4F8 & SF6)

interaction with Cu surface led faster corrosion in TMAH solution.

102

Page 114: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 5.5 Comparison of Cu/Ru and Cu/Ta corrosion after plasma treatment

5.3.3 Direct Galvanic Current Measurements

In order to verify the corrosion trend from micropattern testing, actual galvanic corrosion

current was measured from each of the plasma modified Cu samples coupled with Ru.

Comparable current values were obtained by trend of galvanic corrosion by maintain the area of

the plasma-Cu electrodes exposed to solution the same for all samples. The measurements were

taken as soon as the electrodes are immersed in the solution to record the initial corrosion

current. The results showed that the initial corrosion current varied for different plasma treated

Cu samples. Figure 5.6 shows the galvanic corrosion current over the time of immersion in

TMAH against Ru shot electrode. From the results, CF4+O2 treated Cu sample had the least

corrosion current and followed the trend CF4+O2 < CH2F2 < CF4 < C4F8 < SF6.This supported

micropattern corrosion data since the rate of corrosion is directly proportional to the corrosion

current.

103

Page 115: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 5.6 Direct current measurements of Cu Vs Ru in TMAH pH 14 solution

5.3.4 Water Contact Angle Measurements

Water contact angle is a simple technique used to quickly identify the nature of any

surfaces. A droplet of deionized water was slowly introduced on to the surface of plasma treated

Cu samples in order to characterize its hydrophilic and hydrophobic nature by contact angle.

Figure 5.7 shows the trend of water contact angle on the plasma modified Cu samples over

different immersion time in TMAH solution and totally for 15 minutes. The contact angle

measured was only on Cu surface and there was no exposure of Cu/Ru interface.

Prior to TMAH treatment, the contact angle for all plasma treated samples was ~75o-85o.

After 2 minutes immersion in TMAH solution, Cu samples exposed to CF4+O2, CH2F2, CF4 and

104

Page 116: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

SF6 plasma treatments became hydrophilic. This could be an indication that these plasma

treatments deposited less CFx polymer residues on Cu surface and any residues on the Cu surface

is easily removed by alkaline TMAH solution. It was also observed that the contact angle of C4F8

stayed high relative to the other plasma treatments even after treating the sample for 15 minutes

in TMAH solutions. This could indicate the presence of CFx polymer residues on the surface that

is a lot and cannot be easily removed by TMAH. The presence of polymer layer coating did not

provide corrosion protection as shown by micropattern corrosion testing and direct galvanic

current measurements.

Figure 5.7 Time dependent water Contact angle measurements after progressive immersion in TMAH, pH 14 solution

105

Page 117: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

5.3.5 XPS Analysis of Plasma Treated Cu

XPS was used to identify the chemical bonding structure on the plasma treated Cu

surfaces. High resolution C 1s, F 1s and Cu 2p is shown in figure 5.8a and b. In the analysis of

oxidation states and chemical bonding on Cu surface after plasma treatments, all peaks were

aligned using C 1s peak at a binding energy of 284.8 eV. In figure 5.8a, a peak at 284.8 eV that

corresponds to carbon that is not bonded to fluorine of CFX group is present in all the five plasma

treatments. This peak originates from two sources: ubiquitous carbon found on all surfaces and

fluorocarbon gas used for plasma treatment. It was also noted that all the plasma treatment

resulted in a peak at 288.5 eV which correspond both CF and C=O. CH2F2 plasma treatment

contains only C=O at 288.5 eV and this is supported by the absence of any fluorine peak on F1s

shown in Figure 5.8b while all the other four plasma treatments contain both CF and C=O.

Among all the different plasma etch gas treatments, C4F8 treated sample distinctively showed

multiple carbon peaks at higher binding energies that corresponds to C-CF/C-O (286.6 eV),

CF/C=O (288.5 eV), CF2 (291.3 eV) and CF3 (293.4 eV). The absolute binding energies stated in

this work for fall within the range (CF3-292.6 to 295, CF2-290.3 to 292.8, CF/C=O-288 to 290,

C-CF/C-O-285.5-287 and C-C/C-H-284 to 285.5) of published literature values [25- 28]. The

high electronegativity of fluorine causes a large shift in the binding energy of carbon. This is

probably due to the high amount of fluorine contained in C4F8 gas. The Cu samples treated by

other plasma etch gases mostly contained carbon peaks at 284.8 eV that belongs to C-C or C-H

bonding and 288.5 eV which belongs to C-F/C=O bonding.

106

Page 118: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Figure 5.8 XPS analysis of plasma treated Cu (a) C1s peak (b) F1s peak and inset F1s peak after one minute Ar+ sputtering

Figure 5.9 XPS analysis of Cu 2p peak

107

Page 119: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

In figure 5.9, analysis of Cu 2p region, all the samples showed a Cu peak at 932.5 eV

which is in good agreement with the reported values in literature [29-31]. This peak location is

also associated with Cu(I) oxidation state of Cu with a very small shift of about 0.1eV [32].

Moving to higher binding energies, the samples treated with CF4, CF4+O2, and SF6 revealed

peaks at 934.7 eV and 935.8 eV that are assigned to Cu(OH)2 and CuF2 respectively. These are

also in agreement with the literature values reported [29, 33]. Samples treated with CH2F2 and

C4F8 only reveal presence of Cu(OH)2 depicted by the peak at 934.7 eV. The low intensity of Cu

2p peaks and absence of CuF2 peak after C4F8 plasma treatment confirms the presence of

fluorocarbon polymer layer on Copper surface. This is also in observed and confirmed on F 1s

(fig 8a) spectra with a peak at 688 eV for C-F bonding and absence of peak at 684.5 for Cu-F

bonding. This suggests that the fluorocarbon residue is an overlayer and not a chemical reaction

with Cu. Similarly CH2F2 plasma treatment on Cu did not show CuF2 peak at 935.8 eV meaning

no fluorination of Cu took place. This is also verified by the absence of peak on F1s region

which further suggested absence of fluorocarbon polymer coating on copper surface. This could

be attributed to the low fluorine concentration in the plasma gas and presence of hydrogen. The

peaks related to Cu2+ (934.8 eV and shake up satellite peak) could be as a result of storage and

transportation to ex-situ XPS spectrometer and both disappear after one minute of sputter

cleaning leaving Cu2O peak at 932.5 eV. Samples treated with CF4, CF4+O2, and SF6 further had

visible shakeup satellite associated with Cu (II) oxidation state which is an indication of the

presence of Cu2+ and also Cu-F bonding as shown in Fig 8a indicating fluorination of Cu by

these plasma treatment. It was noted that CF4, CF4+O2 and SF6 plasma treated samples after one

minute of Ar+ sputtering contained mixture of CuO, Cu2O and Cu(OH)2 while C4F8 and CH2F2

are primarily made of Cu2O.

108

Page 120: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

5.4 Effect of Corrosion Inhibitor-Benzotriazole

Minimization of metal loss during chemical mechanical polishing (CMP) is an important

procedure in achieving successful Cu metallization. This is accomplished by use of a corrosion

inhibitor. One of the commonly used inhibitor in Cu CMP formulations is benzotriazole (BTA)

[34-35] which protects the recessed Cu lines from corrosion while Cu overburden is removed by

mechanical polishing in order to achieve the overall planarization. Corrosion inhibition is made

possible by formation of a protective layer through chemical reaction of BTA and Cu (+1) [36-

37]. Figure 5.10 shows corrosion results in TMAH and TMAH + 10mM BTA.

Figure 5.10 Corrosion results in TMAH and TMAH+10mM BTA (a) and image of Cu(1)BTA complex (b)

109

Page 121: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

Micropattern corrosion method was used to determine the effect of BTA on corrosion of

plasma treated Cu in TMAH. It was observed that there was an overall drop in the corrosion rate

in all the plasma treatment. A significant decrease was noticed on CH2F2 and C4F8 plasma

treatment and this was attributed to the fact that it was primarily composed of Cu2O based on

XPS results which favored the formation of Cu (1) BTA complex.

5.5 Summary

New insights have been provided on the behavior of Cu corrosion treated with different

plasma etch gases in TMAH alkaline solution. The corrosion rate of plasma gas treated Cu on Ru

and Ta substrates was observed using micropattern corrosion screening method. It shows that the

corrosion rate of all the fluorocarbon gas plasma treated Cu samples is higher than that of non-

treated blank Cu. Though the samples were treated by etch gas plasma, the corrosion rate is

found to be affected by Cu/Ru and Cu/Ta interface. Enhanced corrosion was observed for Cu/Ru

than Cu/Ta which was attributed to higher nobility of Ru. XPS results confirmed the presence of

Cu oxides (Cu+ & Cu2+) and Cu fluoride in Cu samples treated with CF4+O2, CF4 and SF6. Cu

samples treated with CH2F2 plasma was found to contain mainly Cu2O and without fluorocarbon

polymers or Cu fluorides. Compared to other gas plasma treatments, C4F8 resulted in deposition

of a large amount of fluorocarbon residues on the surface. This is attributed to the higher amount

of C and F in C4F8 compared to other gases. From the contact angle measurements, it was

evident that C4F8 treated Cu showed greater resistance to cleaning with TMAH solution while

other plasma treatments like CF4, CF4+O2 CH2F2and SF6 on Cu can be cleaned within 2 minutes.

110

Page 122: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

5.6 References

1. G. Moore, Electronics, 38 (1965).

2. M. T. Bohr, Interconnect Scaling - the real limiter to high performance VLSI, Tech. Dig.

IEDM, 241 (1995).

3. R. Dang and N. Shigyo, IEEE Electron Device Letters, EDL-2, 196 (1981).

4. M. T. Bohr, Solid State Technol., 39, 105 (1996).

5. T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, J. Vac.

Sci. Technol. A, 17, 741 (1999).

6. D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, J. Vac. Sci. Technol.

B, 20 (2002).

7. C. K. Hu, and J. M. E. Harper, Materials Chemistry and Physics, 52, 5 (1998).

8. H. Miyazaki, K. Takeda, N. Sakuma, S. Kondo, Y. Homma, and K. Hinode, J. Vac. Sci.

Technol., B15, 237 (1997).

9. K. Choi, and C. Han, Jpn. J. Appl. Phys., 37, 5945 (1998).

10. L. F. Thompson, C. G. Willson, and M. J. Bowden, Introduction to Microlithography,

American Chemical Society, Washington DC (1994).

11. M. R. Baklanov, M. Van Hove, G. Mannaert, S. Vanhaelemeersch, H. Bender, T. Conard,

and K. Maex, J. Vac. Sci. Technol., B18, 1281 (2000).

12. C. J. Mogab, A. C. Adams and D. L. Flamm: J. Appl. Phys., 49, 3796 (1978).

13. K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, and Z. S.

Yanovitskaya, J. Appl. Phys., 93, 8793 (2003).

14. Q. T. Le, J. Keldermans, N. Chiodarelli, E. Kesters, M. Lux, M. Claes, G. Vereecke, Jpn.

J. Appl. Phys,. 47, 6870 (2008).

111

Page 123: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

15. M. Claes, Q. T. Le, E. Kesters, M. Lux, A. Urionabarrenetxea, G. Vereecke, and P. W.

Mertens, ECS Trans., 11, 177 (2007).

16. Q. T. Le, N. Chiodarelli, I. Blum, E. Kesters, M. Lux, M. Claes, G. Vereecke, and P. W.

Mertens, Sematech Surface Preparation and Cleaning Conference, (2007).

17. S. Ojima, T. Jizaimaru, S. Omae, T. Ohmi, J. Electrochem. Soc., 144, 4005, (1997).

18. G. Levitin, C. Timmons, and D. W. Hess, J. Electrochem. Soc., 153, G712 (2006).

19. Y.-S. Lim, D.-C. Bae, H.-J. Kim, Y.-N. Kim, Y.-H. Kim, and T.-S. Kim, Mater. Res. Soc.

Symp. Proc., 990, B07 (2007).

20. T. Maruyama, H. Abe, T. Karita, and T. Aoyama, US 6440326B1 (2002).

21. D. Danielson, T.-L. Huang, D.L. Scovell, and K. Willis, US 7087996B2 (2006).

22. C. W. Chen, J. S. Chen, and J. S. Jeng, J. Electrochem. Soc., 155, 1003 (2008).

23. J. C. Tsao, C. P. Liu, Y. L. Wang, and K. W. Chen, J. Nanosci. Nanotechnol., 8, 2582

(2008).

24. K. K. Yu, K. S. M. Pillai, P. R. Nalla, and O. Chyan, J. Appl. Electrochem., 40 (2010).

25. M. A. Butler, R. J. Buss, and A. Galuska, J. Appl. Phys., 70, 2326 (1991).

26. K. Endo and T. Tatsumi, J. Appl. Phys., 78, 1370 (1995).

27. A. M. Hynes, M. J. Shenton, and J. P. S. Badyal, Macromolecules, 29, 4220 (1996).

28. Y. Ma, H. Yang, J. Guo, C. Sathe, A. Agui, and J. Nordgren, Appl. Phys. Lett., 72, 3353

(1998).

29. J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray

Photoelectron Spectroscopy, Physical Electronics Inc., Eden Prairie (1995).

30. J. Bloch, D. J. Bottomley, S. Janz, H. M. Driel, and R. S. Timsit, J. Chem. Phys., 98,

9167 (1993).

112

Page 124: Fundamental Studies of Copper Bimetallic …/67531/metadc499992/...forever be in my heart. To my siblings, John, Mary, Julius, Ben and Mark, thank you so much for your unconditional

31. F. M. Pan, S. R. Horng, T. D. Yang, and V. Tang, J. Vac. Sci. Technol. A, 8, 4074 (1990).

32. P. J. Matsuo, T. E. F. M. Standaert, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, J. Vac.

Sci. Technol. B, 17, 1435 (1999).

33. T. L. Barr, J. Phys. Chem., 82, 1801 (1978).

34. I. K. Kim, Y. J. Kang, Y. K. Hong, and J. G. Park, Mater. Res. Soc. Symp. Proc., 3

(2005).

35. S. Deshpande, S. C. Kuiry, M. Klimov, and S. Seal, Electrochem. Solid-State Lett., 8, 98

(2005).

36. G. W. Poling, Corrosion Sci., 10, 359 (1970).

37. D. Thomas, J. Electrochem. Soc., 145, 42 (1998).

113