28
ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference Manual Version 1.0 December 2009 Website: www.plxtech.com Support: www.plxtech.com/support Phone: 800 759-3735 408 774-9060 Fax: 408 774-2169 Copyright © 2009 by PLX Technology, Inc. All Rights Reserved – Version 1.0 December 2009

ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

ExpressLane PEX 8649-16U16D AIC RDK

Hardware Reference Manual

Version 1.0

December 2009

Website: www.plxtech.com Support: www.plxtech.com/support

Phone: 800 759-3735 408 774-9060

Fax: 408 774-2169

Copyright © 2009 by PLX Technology, Inc. All Rights Reserved – Version 1.0 December 2009

Page 2: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

© 2009 PLX Technology, Inc. All rights reserved.

PLX Technology, Inc. retains the right to make changes to this product at any time, without notice. Products may have minor variations to this publication, known as errata. PLX assumes no liability whatsoever, including infringement of any patent or copyright, for sale and use of PLX products.

PLX Technology and the PLX logo are registered trademarks of PLX Technology, Inc.

Other brands and names are the property of their respective owners.

Order Number: PEX8649-RDK-HRM- 0.6

Printed in the USA, July 2009

Page 3: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0 i

PREFACE

NOTICE This document contains PLX Confidential and Proprietary information. The contents of this document may not be copied nor duplicated in any form, in whole or in part, without prior written consent from PLX Technology, Inc.

PLX provides the information and data included in this document for your benefit, but it is not possible to entirely verify and test all the information, in all circumstances, particularly information relating to non-PLX manufactured products. PLX makes neither warranty nor representation relating to the quality, content, or adequacy of this information. The information in this document is subject to change without notice. Although every effort has been made to ensure the accuracy of this manual, PLX shall not be liable for any errors, incidental, or consequential damages in connection with the furnishing, performance, or use of this manual or examples herein. PLX assumes no responsibility for damage or loss resulting from the use of this manual, for loss or claims by third parties, which may arise through the use of the RDK, or for any damage or loss caused by deletion of data as a result of malfunction or repair.

ABOUT THIS MANUAL This document describes the PLX PEX 8649-16U16D AIC RDK, a Rapid Development Kit, from a hardware perspective. It contains a description of all major functional circuit blocks on the board and also is a reference for the creation of software for this product. This manual also includes complete schematics and bill of materials.

REVISION HISTORY

Date Version Comments

July 2009 0.6 Preliminary Release

December 2009 1.0 Added schematics.

Page 4: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port
Page 5: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0 iii

CONTENTS NOTICE ......................................................................................................................................................... i ABOUT THIS MANUAL .................................................................................................................................. i 

REVISION HISTORY ................................................................................................................................... i 1.  General Information .............................................................................................................................1 

1.1  PEX 8649 Features ....................................................................................................................... 2 1.2  PEX 8649-16U16D AIC RDK Features ...................................................................................... 2 

2.  PEX 8549RDK Hardware Architecture ...............................................................................................3 2.1  PCI Express Gen 2 Connections .................................................................................................. 3 

2.1.1  PEX 8649 PCI Express Gen 2 Switch .................................................................................. 4 2.1.2  PCI Express Card Edge P1 ................................................................................................... 5 2.1.3  PCI Express Slot Connectors ................................................................................................ 5 

2.1.3.1  PCI Express Connector SLOT 1 .................................................................................... 5 2.1.3.2  PCI Express Connector SLOT 2 .................................................................................... 5 2.1.3.3  SMA Connector Footprints ........................................................................................... 5 

2.2  Reference Clock Circuitry ............................................................................................................ 5 2.3  Reset Circuitry .............................................................................................................................. 6 2.4  Serial EEPROM ........................................................................................................................... 6 2.5  I2C/SMBus Interface .................................................................................................................... 6 2.6  Power Distribution ....................................................................................................................... 7 2.7  LED Indicators ............................................................................................................................. 7 

2.7.1  Port Link Status Indication (D10 – D12) .............................................................................. 8 2.7.2  Fatal Error Indications (D13, D6, D7 and D15) ................................................................... 8 2.7.3  PEX_INTA Interrupt Indication (D14) ................................................................................. 8 2.7.4  PEX 8649 Voltage Level Monitoring (D8 – D9) .................................................................. 9 

2.8  GPIO Pins ..................................................................................................................................... 9 2.9  STRAP Pins.................................................................................................................................. 9 2.10  Virtual Switch Fundamental Resets ....................................................................................... 10 

3.  On-Board Connectors, Switches, and Jumpers ..................................................................................10 3.1  DIP Switches .............................................................................................................................. 10 

3.1.1  I2C Address Selection (SW2) .............................................................................................. 10 3.1.2  NT Upstream Port Selection (SW4) ................................................................................... 11 3.1.3  VS Mode and Additional Function Selection (SW6) ......................................................... 11 

3.2  Push-Button Switches ................................................................................................................ 12 3.2.1  Manual Reset# (S1)............................................................................................................. 12 

3.3  2.5V Header (JP7) ...................................................................................................................... 12 3.4  JTAG Header (JP8) .................................................................................................................... 12 3.5  I2C Port JP9 ............................................................................................................................... 13 3.6  ATX HD Power Connector (J2 – J3) ......................................................................................... 13 3.7  PLX Use Only J4 ........................................................................................................................ 13 3.8  Virtual Switch PERST# Input Header (J5 – J8) ........................................................................ 13 

4.  PEX 8649-16U16D AIC RDK Bill of Materials and Schematics .....................................................14 

Page 6: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual iv Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5

FIGURES Figure 1-1. PEX 8649RDK Component Side View ................................................................................... 1 Figure 2-1. PEX 8649RDK Hardware Architecture ................................................................................... 3 Figure 2-2. PCI Express Gen 2 Connections .............................................................................................. 4 Figure 2-3. PEX 8518RDK Reference Clock Circuit ................................................................................. 6 Figure 2-4. PEX 8649 RDK Reset Circuit .................................................................................................. 6 Figure 2-5. PEX 8649 RDK Power Subsystem .......................................................................................... 7 Figure 3-1. Switch SW2 Default Settings ................................................................................................. 10 Figure 3-2. Switch SW4 Default Settings ................................................................................................. 11 Figure 3-3. Switch SW6 Default Settings ................................................................................................. 12

TABLES Table 2-1. PEX 8649 RDK LED Indicator descriptions ................................................................. 7 Table 3-1. Switch SW2 Description ......................................................................................................... 10 Table 3-2. Switch SW4 Description ........................................................................................................ 11 Table 3-3. Switch SW6 Description ......................................................................................................... 12 Table 3-4. Pin assignment of JP8 .............................................................................................................. 13 Table 3-5. Pin assignment of JP9 and JP10 .............................................................................................. 13 Table 3-6. Pin assignment of J1 ................................................................................................................ 13 Table 3-7. Pin assignment of J4 ................................................................................................................ 13 Table 3-8. Pin assignment of J5-J8 ........................................................................................................... 13

Page 7: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port PCI Express Gen2 Multi-Root switch. The PEX 8649-16U16D AIC RDK provides a complete hardware and software development platform to facilitate getting designs up and running quickly, lowering risk and reducing time-to-market. This RDK allows the upstream port of the PEX 8649 to be directly plugged into a system board’s x16 PCI Express connector, or plugged into an x8, x4, or x1 PCI Express connector by using card edge adapters.

P1

ManualReset

PORT 20– X16

PORT 16 – X16

SLOT 1

SLOT 2

Power provided by J2 and J3

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0 1

PEX8647

JTAG

PORT 0– X16

U6

PEX 8649(U1)

U2

U31

U41

Port 0

Link Status LED

1

2

JP8

U7

U8

U5

U9

SW3

SW1

SW2

12V

5V

GND

GND

J2

D9 D8

I2C_ADD0I2C_ADD1I2C_ADD2RSV_17#

1

1

1

JP7

PEX8649 RDK

1V pwr_gd

2.5V pwr_gd

S1D112V_A

5V_A D3

D53.3V_A

D2 12VCC

D4 3.3VCC

D13Fatal Error

D14

PEX_IntA

USPT_SEL0USPT_SEL1USPT_SEL2USPT_SEL3

STN0_PCFG0STN0_PCFG1STN4_PCFG0STN4_PCFG1STN5_PCFG0STN5_PCFG1

D10

A1

GND

GND

GND

GND

SW4

1NT_SEL0NT_SEL1NT_SEL2_SP1NT_SEL4NT_EN#RSV_SW4

SW5

1

TMODE0TMODE1TMODE2TMODE3

SW6

1

VS_MODE0VS_MODE1I2C_CFG_EN#NT_P2P_EN#SMBUS_EN#G1_COMP#

D6D7

D15

VS1 F_ER

VS2 F_ER

VS3 F_ER

JK2JK1

CKi+ Cki-

JK7

JK10

JK8

JK9

Tp47Tn47

Rp47 Rn47

JK6

JK5JK3

JK4

Tp31

Tn31

Rp31

Rn31

Port 20D12Port 16D11

12V

5V

GND

GND

J3

Logic 0

J51

J61

J71

J81

Fatal Error LEDs

U11

1

12

J4

1

I2CJP9

U12

1

U10

VS0 PERST#

VS1 PERST#

VS2 PERST#

VS3 PERST#

JP1

ON

ON

ON

Figure 1-1. PEX 8649-16U16D AIC RDK Component Side View

Page 8: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual 2 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

1.1 PEX 8649 Features • 48-lane, 12-port PCI Express Gen 2 Multi-Root switch • 480 GT/s aggregate bandwidth • Up to four Upstream ports supported (Four Root/Host ports) • Multicast with support for 64 multicast groups • Low power SerDes (under 90mW per lane) • Fully non-blocking switch architecture • PCI Express Base Specification version r2.0, PCI Express Card Electromechanical(CEM) specification

r2.0 and PCI Bus Power Management Interface Specification revision 1.2 compliance • Out of band I2C communication interface • Maximum packet payload size of 2,048 bytes • Support x1, x2, x4, x8 or x16 lanes per port • Allows any port to be designated as NT upstream port • Upstream port configuration with strapping pins, EEPROM, and I2C interface • Lane reversal and polarity reversal support • Quality of Service (QoS) With one Virtual Channels (VC0) and Eight Traffic classes (TC) • JTAG AC/DC boundary scan • 12 port link status and 8 GPIO pins

1.2 PEX 8649-16U16D AIC RDK Features • PLX PEX 8649 PCI Express switch in a 676-ball Flip-Chip Plastic BGA package • Form factor based on PCI Express Card Electromechanical (CEM) Specification 2.0 • Two downstream PCI Express Slot connectors that are spaced to accommodate SLI and cross-fire cables • On-board PCI Express RefClk buffer which support Spread Spectrum Clocking • Socketable Serial EEPROM (2.5V) • One standard 2x2 headers provides the I2C interface to an I2C master • Three DIP switches for I2C address settings, NT upstream port and VS mode selects and enable various

function modes • Manual push-button PERST# capability • Three Lane Status indicator LEDs for visual inspection of link speed and status • Four Red LEDs for Fatal Error indications up to four virtual switches • Four two pin headers for accepting PERST# inputs from up to four virtual switches • Two ATX Hard disk Power connectors for supporting maximum150 watt to two downstream PCI Express

adapters • Voltage level monitoring circuit for 1.0V and 2.5V power to the PEX 8649

Page 9: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

2. PEX 8549RDK Hardware Architecture

Figure 2-1. PEX 8649-16U16D AIC RDK Hardware Architecture

2.1 PCI Express Gen 2 Connections The PEX 8649 RDK is designed around the PEX 8649, a 12-port 48 lanes gen2 Multi-Root switch and is based on the form factor specified in the PCI Express CEM 2.0 specification. The RDK contains one upstream port and two downstream ports. See Figure 2-2 for details.

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0 3

Page 10: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

Port 16 - x16

SLOT1

Port 20 -x16

x16

SLOT2x16 PCI Express Edge Card Connector

Lane 0-15

x16 Lane 16-31 x16 Lane32-47

Lane0-15

Lane

16-2

3

Lane

32-3

9

Lane24-31 Lane47-40

PEX 8649

Port 0 - x16

x16 PCI Express Edge Card Connector

Downstream Ports

Upstream Port

P1

X16 PCI Express Card Edge Connector

A1

SMAs for Lane 47

SMAs for Lane 31

Figure 2-2. PCI Express Gen 2 Connections

2.1.1 PEX 8649 PCI Express Gen 2 Switch The PEX 8649 is a 48-lane, 12-port PCI Express Gen 2 Muti-Root switch. As shown in Figure 2-2, port 0 contains lane 0 to lane 15, port 20 contains lane 16 to lane 31 and port 16 contains lane 32 to lane 47.

PEX 8649-16U16D AIC RDK Hardware Reference Manual 4 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Page 11: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0 5

2.1.2 PCI Express Card Edge P1 The PCIe card edge (P1) can be directly plugged into a x16 PCI Express edge card connector. Sixteen lanes, lane 0 to lane 15, from the PEX 8649’s Port 0 are connected to the PCI Express Card Edge connector. The port 0 is designated as the upstream port on the RDK. The card edge provides the main source of +12V and +3.3V power, along with PERST# and REFCLK_P/N.

2.1.3 PCI Express Slot Connectors The PEX 8649-16U16D AIC RDK contains two PCI Express slot connectors, which connect to the downstream ports of the PEX 8649. Both connectors are x16 sized connectors for x16 downstream port 4 and port 8. Also, they are physically spaced 40.64mm apart in order to allow two dual slot-wide x16 link high power and high performance PCI Express graphic cards with SLI or Cross Fire cable. If the lane numbers are different between the PEX 8649 port and the plug-in card’s port, they will auto-negotiate to the highest common link width. For example, if an x8 card plugs into SLOT1 (a x16 slot), the negotiated link width will be x8. If an x2 card plugs into SLOT2, the negotiated link width will be x2.

2.1.3.1 PCI Express Connector SLOT 1

Connector SLOT 1 is a vertical-mount (through-hole), x16 PCI Express connector. Cards connected to this slot will be perpendicular to the RDK. Lanes 16 to 31 from PEX 8649 are connected to the PCI Express connector SLOT 1. Power is provided to connector SLOT 1 from the ATX hard disk connector J2 and J3.

2.1.3.2 PCI Express Connector SLOT 2

Connector J2 is a vertical-mount (through-hole) x16 PCI Express connector. Cards plugging into this slot will be perpendicular to the RDK. Lanes 32 to 47 from PEX 8649 are connected to the PCI Express connector SLOT 2. Power is provided to connector SLOT 2 from the ATX hard disk connector J2 and J3.

2.1.3.3 SMA Connector Footprints

Eight SMA connector footprints are provided on the RDK for signal integrity analysis. The TX and RX pair signals of lane 31 and late 47 are connected to the SMA connector footprints. When using this capability, populate with SMA female connectors at locations JK3 – JK10 with Rosenberger SMA (part # 32K141-40ML5). Additionally, change resistors R100-R103, capacitors C33-C34 and C67-C68 to their pad1 and pad 2 locations respectively.

2.2 Reference Clock Circuitry The PEX 8649-16U16D AIC RDK reference clock circuit consists of a one-to-four differential clock fan out buffer (U2). The clock fan out buffer supports both 100 MHz and spread spectrum PCI Express reference clocks. When the RDK is plugged into a PC x16 PCI Express slot, the differential reference clock is taken from the PCIe card-edge, and distributed to the PEX_REFCLKP/N input and two downstream slot connectors. An external clock signal to the PEX_REFCLKP/N signals (including SLOT 1 and SLOT 2) can be applied through SMA connectors JK1 and JK2. Resistors R42 and R43 need to be switched to their pad 1 and 2, respectively. (See Figure 2-2 for details)

Page 12: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

Figure 2-3. PEX 8649-16U16D AIC RDK Reference Clock Circuit

2.3 Reset Circuitry The PEX 8649-16U16D AIC RDK reset circuitry includes a MAX6420 adjustable reset timer (U4) and a manual reset push-button switch (S1). The reset timer accepts PERST# from the card edge (P1) and from S1 (logical-OR via U3). The MAX6420 has the capability of adjusting the reset timeout period by changing the value of C122. Currently the value of C122 is 0.001uF which is about 3ms for the reset timeout period. (See Figure 2-3 for details)

Figure 2-4. PEX 8649 RDK Reset Circuit

2.4 Serial EEPROM The PEX 8649 provides an interface to SPI (Serial Peripheral Interface) compatible serial EEPROMs. The interface consists of four signals, EE_CS#, EE_DI, EE_DO and EE_SK, operates at a programmable frequency of up to about 17MHz, and supports up to a 16MB serial EEPROM with 1, 2, or 3 byte addressing. PEX 8649-16U16D AIC RDK contains an 8-pin DIP socket for a serial EEPROM (U9). The board is populated with a blank Atmel AT25256A 32-Kbyte device. To use the serial EEPROM just program it with EEPROM signature and the registers to be programmed . The PEX 8649 will automatically detect and load the values from the serial EEPROM when the chip is power up.

2.5 I2C/SMBus Interface The PEX 8649 implements an I2C/SMBus slave interface on two wire interface. When the STRAP_SMBUS_EN# is set to logic “high”, through the I2C four pin header JP9, an external I2C master can access the PEX 8649

PEX 8649-16U16D AIC RDK Hardware Reference Manual 6 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Page 13: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

internal registers through this out-of-band mechanism. The PEX 8649 I2C interface is accessible via a 7-bit address, at data rates from 100 Kbps up to 3.4Mbps. When the STRAP_SMBUS_EN# is enable, the same interface of PEX 8649 becomes SMBus slave and can be access by the SMBus master that connects to the PCI Express Card Edge P1 such as the PC where the RDK plugs in.

2.6 Power Distribution The PEX 8649-16U16D AIC RDK has two sources for DC power. The first source is the card edge connector (P1). The x16 connector provides up to 5.5A at 12V and 3.0A at 3.3V. Card edge power is intended to power only RDK board components. The dc/dc converter U7 converts 12V from the Card Edge connector to 1.0VCC to support the SerDes and core power for the PEX 8649. The LDO U8 converts 3.3V to 2.5VCC to support the IO power for the PEX 8649.

The second source includes two ATX HD power connectors, J2 and J3, and a 5V to 3.3V step down dc/dc converter U6. J2 and J3 directly provide 12VCC up to 5.5A to each of PCI Express Edge Card Connectors SLOT 1 and SLOT 2. The J2 and J3 also provide enough 5V power for the dc/dc converter U6 to generate 3.3VCC up to 3A for each of SLOT 1 and SLOT 2. In another word, the second power source provide up to 150W power to SLOT 1 and SLOT 2 for high power graphic cards application. (See Figure 2-5 for details)

ATX HD Power

Connectors(J2 & J3)

5V to 3.3VCC DC/DC

converter(U6)

GND12V_A

3.3V_A

GND

PCIe Edge Card Connectors

SLOT 1

SLOT 2

12V to 1V DC/DC

converter(U7)

PEX 8649

3.3V to2.5V LDO(U8)

On board3.3V Circuits

1.0VCC

GND

PCIe Card edge

ConnectorP1 GND

GND

3.3VCC

2.5VCC

12VCC

Voltage Monitoring

Circuit

Figure 2-5. PEX 8649 RDK Power Subsystem

2.7 LED Indicators The PEX 8649 RDK provides a number of LED indicators including power-on indication, PEX 8649 port link status indication, fatal error indication, event/error indication, and voltage level monitoring indications. Table 2-1 provides a quick explanation of the various board indicators. Table 2-1. PEX 8649 RDK LED Indicator descriptions

Indicator Type Locations LED On LED Off Slot Power LED/green color D1 12V power on at PCI Express connectors SLOT 1 and 2 12V power off

Slot Power LED/green color D3 5V power on at PCI Express connectors SLOT 1 and 2 5V power off

Slot Power LED/green color D5 3.3V power on at PCI Express connectors SLOT 1 and 2 3.3V power off

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5 7

Page 14: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual 8 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Indicator Type Locations LED On LED Off Board Power LED/green color D2 12V power on at PCI Card Edge connector P1 12V power off

B or P1 oard Power LED/green color D4 3.3V power on at PCI Card Edge connect 3.3V power off

PEX atus D10 Port 0 activity (see Table 2-2 for details) rt 0 8649 Port Link StLED/green color

If LED off, Polink is down

PEX atus D11 Port 20 activity (see Table 2-2 for details) 8649 Port Link StLED/green color

If LED off, Port 20 link is down

PEX atus D12 Port 16 activity (see Table 2-2 for details) 8649 Port Link StLED/green color

If LED off, Port 16 link is down

PEX 864 or LED/ D13 rrors 9 Fatal Errred color PEX 8649 detected a fatal error. No fatal e

reported

PE r D14 ut in base mode or error reported

X 8649 Event/ErroLED/green color

PEX 8649 generated interrupt outpVirtual Mode for Virtual Switch 0.

No event/

Voltage ing /bi- D8 2.5V to the PEX 8649 (see Table 2-3 for details) level monitorcolor LED

Voltage l ing / bi- D9 1 V to the PEX 8649 (see Table 2-3 for details) evel monitorcolor LED

PEX 8649 Virtual Switch 1 Fatal Error LED/ red color D6 PEX 8649 detected a fatal error at Virtual Switch 1. rrors No fatal e

reported

PEX 8649 Virtual Switch 2 Fatal Error LED/ red color D7 PEX 8649 detected a fatal error at Virtual Switch 2.. rrors No fatal e

reported

PEX 8649 Virtual Switch 3 Fatal Error LED/ red color D15 PEX 8649 detected a fatal error at Virtual Switch 3.. rrors

reported No fatal e

2.7.1 Port Link Status Indication (D10 – D12) The PEX 8649 RKD provides three green color link status LEDs, D10, D11 and D12, to indicate it’s port 0, port20, and port 16 link states respecti

vely. LED on, off, and three blinking patterns cover all five states of port link

Table 2-2 tus LED Functions

status. (See Table 2-2 for details)

. Port Link StaPort Link State LED Pattern

Link down off Link up, 5Gbps, all lanes are up on

L ink up, 5Gbps, reduced lanes are up Blinking: 0.5 second on, 0.5 second off Link up, 2.5Gbps, all lanes are up Blinking: 1.5 second on, 0.5 second off

Link up, 2.5Gbps, reduced lanes are up Blinking: 0.5 second on, 1.5 second off

2.7.2 Fatal Error Indications (D13, D6, D7 and D15) The PEX 8649 provides an output status pin (FATAL_ERR#), which reports the PEX 8649 at fatal error condition either in Base Mode or in Virtual Switch Mode for Virtual Switch 0. Also, the PEX 8649 provides three more active low output pins for the fatal error conditions for its Virtual Switch [1:3]. The RDK connects these outputs to the red LED, D13, D6, D7 and D15, which are lit when the fatal error(s) is(are) detected. (See PEX 8649 databook for

ok for ball escriptions of PEX_INTA). The RDK connects this output to a green LED D14 for this interrupt output.

details)

2.7.3 PEX_INTA Interrupt Indication (D14) The PEX 8649 provides an output status pin (PEX_INTA) which is active when the PEX 8649 at error conditions such as completion FIFO overflow and Internal RAM 1-bit and 2-bit ECC errors. (See PEX 8649 databod

Page 15: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5 9

32,

. (See Table 2-3 for details)

Tab . Voltag Functions

2.7.4 PEX 8649 Voltage Level Monitoring (D8 – D9) The PEX 8649-16U16D AIC RDK provides voltage level monitoring circuit to monitor the 1 volt and 2.5 volt power to the PEX 8649. The circuit contains an Intersil’s multiple voltage supervisory chip with part number of ISL61

o bi-color LEDs, D8 and D9, and various value resistors. When the 1 volt or 2.5 volt is within the +/- 10%twrange the green LED will turn on. Otherwise the red LED will be on

le 2-3 e Level Monitoring LED LED Green LED on/ Red LED off Green LED off/Red LED on D8 2.5 volt to PEX 8649 within +/- 10% range 2.5 volt to PEX 8649 out of +/- 10% range D9 1 volt to PEX 8649 within +/- 10% range 1 volt to PEX 8649 out of +/- 10% range

2.8 GPIO Pins The PEX 8649 has 8 GPIO pins. On the PEX 8649-16U16D AIC RDK, eight of GPIO pins, GPIO[31:24] are connected to the external test points. Users can take an advantage of these pins for their own applications.

strap pins and two reserved strap pins. Table 2-4 shows the RDK.

Table 2-4. Str Connections

2.9 STRAP Pins he PEX 8649 has 33 strap pins which include 31 activeT

all these strap pins and how do they work on

ap Pin

Name Pin Location Connections on PEX 8649 RDK S ] TRAP_DEBUG_SEL[0:1 AF3, W6 Pull-down with a 1K ohm resistor

STRAP_NT_ENABLE# A3 Configurable with dipswitch SW4

STRAP_NT_UPSTRM_PORTSEL[0:2,4] A2, G6, AA3, AB24

Configurable with dipswitch SW4

STR 0:1] AP_STN[0,4:5]_PORTCFG[ A D24, AF24, C4,G1, C23, B24

Hardware pre-set to x16 link on all ports

STRAP_TESTMODE[0:3] Y6,AF2,AD4, W2 Hardware pre-set to normal mode

STR 0:3] AP_UPSTRM_PORTSEL[ G25, 26, G24,GA24

Hardware pre-set to port 0 as the upstream port

STRAP_VS_MODE[0:1] H3, D2 Configurable with dipswitch SW6

STRAP_FAST_BRINGUP# Y22 Pull-up with a 4.7K ohm resistor

STRAP_G1_COMPATIBLE# W1 Configurable with dipswitch SW6

STRAP_I2C_CFG_EN# Y1 Configurable with dipswitch SW6

STRAP_NT_P2P_EN# AA1 Configurable with dipswitch SW6

STRAP_PLL_BYPASS# Y26 Pull-up with a 4.7K ohm resistor

STRAP_PROBE_MODE# Y21 Pull-up with a 4.7K ohm resistor

STR N# AP_SERDES_MODE_E W26 Pull-up with a 4.7K ohm resistor

STRAP_SMBUS_EN# W3 Configurable with dipswitch SW6

STRAP_SESERVED16 AE24 Pull-down with a 1K ohm resistor

STRAP_SESERVED17# H24 Set to logic high on dipswitch SW2

Page 16: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

2.10 Virtual Switch Fundamental Resets The PEX 8649 provides up to four virtual switch fundamental reset pins, VS[0:3]_PERST# when it is set to Virtual Switch Mode. The RDK connects each of these pins to a two pin header for external access. They are headers J5 to J8. 3. On-Board Connectors, Switches, and Jumpers

3.1 DIP Switches The PEX 8649 RDK contains three dip switches, SW2, SW4 and SW5, for STRAP pin settings and I2C address setting. Each DIP switch position can be either ON (logic ‘HIGH’) or OFF (logic ‘LOW’). The functions and settings of each dip switch is described below.

3.1.1 I2C Address Selection (SW2)

1 ON

23

4

Figure 3-1. Switch SW2 Default Settings

Table 3-1. Switch SW2 Description

SW2 Functional Description Switch Position Settings

PEX 8649 I2C Address bits[2:0]. Default setting is 000b.

1: I2C_ADDR[0] 2: I2C_ADDR[1] 3: I2C_ADDR[2]

Bus Encodings [1:0] PEX 8649 I2C Slave Address 000b 18h 001b 19h 010b 1Ah 011b 1Bh 100b 1Ch 101b 1Dh 110b 1Eh 111b 1Fh

PEX 8649-16U16D AIC RDK Hardware Reference Manual 10 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Page 17: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

3.1.2 NT Upstream Port Selection (SW4) SW4

ON

NT_SEL0

HIGH LOW

11

2

ON

2

3 344 NT_SEL4

NT_SEL1

NT_SE2_SP1

55 NT_EN#

66 RSV_SW4

Figure 3-2. Switch SW4 Default Settings

Table 3-2. Switch SW4 Description

SW4 Functional Description Switch Position Settings

PEX 8649 NT port select. Default setting is x1111b.

If NT_EN# =0 (enable the NT port) NT_SEL[4,2:0] NT Port

0000b 0 0001b 1 0010b 2 0011b 3 1000b 16 1001b 17 1010b 18 1011b 19 1100b 20 1101b 21 1110b 22 1111b 23

3.1.3 VS Mode and Additional Function Selection (SW6)

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5 11

Page 18: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

SW6ON

VS_MODE0

HIGH LOW

1

2

3

4 NT_P2P_EN#

VS_MODE1

I2C_CFG_EN#

5 SMBUS_EN#6 G1_COMP#

Figure 3-3. Switch SW6 Default Settings

Table 3-3. Switch SW6 Description

SW6 Functional Description Switch Position Settings

PEX 8649 VS Mode and additonal function select.

Default setting is 111100b.

VS Mode Select VS_MODE[1:0] Upstream ports Downstream Ports

00b(Base Mode)

P 0 Rest ports

01b (2 VS) P0 and P16 P1-3, P17-19,P20-23 10b (3 VS) P0,P16,P20 P1-3,P17-19,P21-23 11b (4 VS) P0,P16,P20,P22 P1-3,P17-19,P21,P23

Pin 1: VS_MODE0 Pin 2: VS_MODE1 Pin 3: I2C_CFG_EN# (Default is set to logic 1 for disable) Pin 4: NT_P2P_EN# (Default is set to logic 1 for disable) Pin 5: SMBUS_EN# (Default is set to logic 1 for disable) Pin 6: G1_COMP# (Default is set to logic 1 for disable)

3.2 Push-Button Switches

3.2.1 Manual Reset# (S1) The PEX 8649 RDK provides a momentary switch S1 for manual PERST# function. Each time push and release the button of the switch a warm reset active low pulse is generated to reset the PEX 8649, and the PERST# pins of PCI Express connectors SLOT 1 and SLOT 2.

3.3 2.5V Header (JP7) This 2-pin header provides the mechanism for 2.5 volt measurement. It is for internal use only. For regular RDK, no header will be assembled instead a wire will be used to connect pin 1-2 of JP7.

3.4 JTAG Header (JP8) The 2x5 header JP8 provides a direct connection to the PEX 8649 JTAG interface. The 10-pin connector is designed to allow a direct interface to 3rd party JTAG controllers, such as the Corelis USB-1149.1/E controller. The pin assignment of JP8 is listed at Table 3-4. PEX 8649-16U16D AIC RDK Hardware Reference Manual 12 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Page 19: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5 13

Table 3-4. Pin assignment of JP8 Pin # Signal name

1 JTAG_TRST 3 JTAG_TDI 5 JTAG_TDO 7 JTAG_TMS 9 JTAG_TCK

2,4,6,8,10 GND

3.5 I2C Port JP9 Table 3-5. Pin assignment of JP9 and JP10

Pin # Signal name 1 I2C_SCL 2 GND 3 I2C_SDA 4 NC

3.6 ATX HD Power Connector (J2 – J3) Table 3-6. Pin assignment of J1

Pin # Signal name 1 +12VDC 2 COM (GND) 3 COM (GND) 4 +5VCC

3.7 PLX Use Only J4 Table 3-7. Pin assignment of J4

Pin # Signal name 1 J4-1 2 J4-2 3 J4-3 4 J4-4

3.8 Virtual Switch PERST# Input Header (J5 – J8) Table 3-8. Pin assignment of J5-J8

Pin # Signal name 1 VS[0:3] PERST# 2 GND

Page 20: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual 14 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

4. PEX 8649-16U16D AIC RDK Bill of Materials and Schematics

Item Qty Man. Man. Part # Description Package Type

Comp. Designator(s) Distributor/pn

SURFACE MOUNT COMPONENTS

1 1 PLX PEX8649-AA50BC

IC, PCI Express Gen2 Multi-Root switch, 48 lane and 12 ports

SMT 676-pin BGA,

27X27mm,1mm pitch

U1

2 1 Cypress CY28400OXC-2

IC, 100MHz Differential Clock Buffer

SMT, 28-pin SSOP U2

3 1 Fairchild NC7S08M5X IC, Tiny Logic 2-input AND gate

SMT, 5-pin SOT-23 U3

4 1 Maxim MAX6420UK29-T

IC, Reset controller, Adj. reset timeout

SMT, SOT23-5 U4

5 1 Intersil ISL6132IR IC, multiple voltage supervisory

SMT, 4mmx4mm

QFN package U5

6 1 Belfuse S7AH-08E1A0 IC, Non-iso DC/DC converter, 4.5-14V-to-0.8/3.63V @ 8A SMT, 7-pin U6

7 1 Belfuse SRBC-16E2A0

IC, Not-iso DC/DC converter, 4.5-14V input /0.75-3.65V output@16A

SMT, 7-pin U7

8 1 Micrel MIC37100-2.5BS

IC, LDO linear regulator, output: 2.5V@1A

SMT, SOT-223 U8

9 1 TI SN74CB3T3125PW IC, 4-bit FET bus switch, SMT, 14-p

TSSOP U10

10 1 Fairchild NC7S14P5X IC, Tiny logic, inverster with schmitt trigger input

SMT, 5-pin SC70 U11

12 9 Chicago Miniature

Lamp

CMDA5CG7D1Z LED, green Vf=2.1V, 20mA SMT, 0805 D1-D5,D10-D12,

D14

13 4 Chicago Miniature

Lamp

CMDA5DR7D1Z LED, red, Vf=1.7V, 20mA, SMT 0805 D6-D7,D13, D15

14 2 Chicago Miniature

CMD15-22SRUGC LED, bi-color LED, green-red SMT, 4-pin

1206 D8-D9 L6221115CT-ND

15 1 Omron B3S1002 Switch, Push Button SMT S1

16 1 C&K SDA04H1SKD Dip Switch, 4-pos, SDA series ,with extended actuator

SMT SW2,

17 2 C&K SDA06H1SKD Dip Switch, 6-pos, SDA series, with extended actuator

SMT SW4, SW6

30 1 Kemet C0603C102J5RACTU

Cap. Ceramic 0.001uF X7R 50V 5% SMT, 0603 C122 399-1083-1-ND

31 8 Murata GRM033R61A103KA01D

Cap, Ceramic, 0.01uF,X5R,10V 10% SMT, 0201 C126-C128,C143-

C146, C159 490-3166-1-ND

32 3 Kemet C0603C103J5RAC

Cap, Ceramic, 0.01uF,50V 5% SMT, 0603 C104-C106, 399-1092-1-ND

33 4 Muurata GRM033R60J223KE01D

Cap. Ceramic 0.022uF 6.3V X5R 10% SMT 0201 C129-C130,C147-

C148 490-3169-1-ND

34 4 Murata GRM033R60J333KE01D

Cap. Ceramic 0.033uF 6.3V X5R 10% SMT 0201 C131-C132,C149-

C150 490-3170-1-ND

35 4 Murata GRM033R71C102KD01D

Cap, Ceramic 0.001uF,16V X7R, 10% SMT 0201 C133-C134,C151-

C152 490-1261-1-ND

36 101 Kemet C0402C104K4RACTU

Cap. Ceramic, 0.1uF, X7R, 16V, 10% SMT, 0402

C3-C34,C37-C68,C71-C102,

C124-C125,C161-C163

399-3521-1-ND

37 8 Panasonic ECJ-ZEB1A104M

Cap, Ceramic, 0.1uF, X5R, 10V, 20% SMT, 0201 C135-C138, C153-

C156 PCC2424CT-ND

38 2 AVX 0603YC104KAT2A

Cap, Ceramic, 0.1uF, X7R, 16V, 10% SMT, 0603 C108,C123,

39 6 AVX 0603YD105KAT2A

Cap, Ceramic, 1uF, X5R, 16V, 10% SMT. 0603 C110,C112,C114,C

116, C119-C120

Page 21: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 0.5 15

Item Qty Man. Man. Part # Description Package Type

Comp. Designator(s) Distributor/pn

40 15 AVX 1206YD106KAT2A

Cap, Ceramic, 10uF,X5R, 16V, 10% SMT, 1206

C103,C107,C115,C118,C121, C139-C142,C157-C158,

C164-C167

478-1573-1-ND

41 8 AVX Corporation

TAJB226K020R

Cap. Tantalum, 22uF, 20V 20% SMT, B-case

C1-C2,C35-C36,C69-C70, C109,C111,

478-1683-1-ND

42 4 TDK C4532X5R1C226M

Cap, Ceramic 22uF, X5R, 16V 20% low ESR SMT, 1812

C168-C171 445-3928-1-ND

60 2 CTS 742C083102J Chip Res. Array, 1K ohm, 5%, 4R isolated SMT RN1,RN3, 742C083102JPCT-

ND

61 8 CTS 742C083472J Chip Res. Array, 4.7K ohm, 5%, 4R isolated SMT RN2,RN4-RN10 742C083472JPCT-

ND

63 1 Panasonic ERJ-3GEYJ4R7V Res. 1/10W, 4.7 ohm 5% SMT, 0603 R3

64 9 Panasonic ERJ-3GEY0R00V Res. 1/10W, zero ohm 5% SMT, 0603 R50-R51,R82-R84,

R88-R91

65 9 Panasonic ERJ-2GE0R00X Res. 1/16W, zero ohm 5% SMT, 0402 R42-R43,R96-R98,

R100-R103

66 6 Panasonic ERJ-2GEJ330X Res. 1/16W, 33 ohm 5% SMT 0402 R10-R11,R14-R15,

R18-R19

67 6 Panasonic ERJ-2RKF49R9X Res. 1/16W, 49.9 ohm 1% SMT 0402 R12-R13, R16-

R17, R20-R21,

68 10 Panasonic ERJ-6GEYJ151V Res. 1/18W, 150 ohm 5% SMT 0805 R40-R41,R71-R73,

R76-R80,

70 1 Panasonic ERJ-6GEYJ361V Res. 1/8W, 360 ohm, 5% SMT, 0805 R39

71 1 Panasonic ERJ-3EKF4750V Res. 1/16W, 475 ohm 1% SMT 0603 R5

72 5 Panasonic ERJ-3GEYJ102V Res. 1/10W, 1 K ohm, 5% SMT, 0603 R69-R70,R87,R92,

R94

73 2 Panasonic ERJ-6GEYJ122V Res. 1/8W, 1.2K ohm, 5% SMT 0805 R37-R38

74 20 Panasonic ERJ-3GEYJ472V Res. 1/10W, 4.7K ohm, 5% SMT, 0603

R1-R2,R4,R28-R29, R59-R68,

R81, R85,R93,R95, R99

P4.7KGCT-ND

75 1 Panasonic ERJ-3GEYJ512V Res. 1/10W, 5.1K ohm, 5% SMT, 0603 R52 P5.1KGCT-ND

76 5 Panasonic ERJ-3GEYJ103V Res. 1/10W, 10K ohm, 5% SMT 0603 R48,R74-R75,

R104-R105 P10KGCT-ND

77 1 Panasonic ERJ-3GEYJ513V Res. 1/10W, 51K ohm 5% SMT, 0603 R49

78 1 Yageo America

9C06031A4640FKHFT Res. 1/10W, 464 ohm, 1% SMT, 0603 R26 311-464HCT-ND

79 1 Yageo America

9C06031A1181FKHFT Res. 1/10W, 1.18K ohm, 1% SMT, 0603 R23 311-1.18KHCT-ND

80 1 Yageo America

9C06031A2321FKHFT Res. 1/10W, 2.32K ohm, 1% SMT, 0603 R27 311-2.32KHCT-ND

81 1 Yageo America

9C06031A3091FKHFT Res. 1/10W, 3.09K ohm, 1% SMT, 0603 R22 311-3.09KHCT-ND

82 1 Yageo America

9C06031A5761FKHFT Res. 1/10W, 5.76K ohm, 1% SMT, 0603 R24 311-5.769KHCT-

ND

83 1 Yageo America

9C06031A7321FKHFT Res. 1/10W,7.32K ohm, 1% SMT, 0603 R25 311-7.32KHCT-ND

85 1 Yageo America

9C06031A1301FKHFT Res. 1/10W,1.30K ohm, 1% SMT, 0603 R34 311-1.30KHCT-ND

86 1 Yageo America

9C06031A4322FKHFT Res. 1/10W,41.2K ohm, 1% SMT, 0603 R36 311-41.2KHCT-ND

87 6 Yageo America

9C06031A1431FKHFT Res. 1/16W, 1.43K ohm 1% SMT, 0603 R53-R58 311-1.43KHCT-ND

88 4 Panasonic ERJ-6GEYJ391V Res. 1/18W, 390 ohm 5% SMT 0805 R30-R33, P390ACT-ND

THROUGH-HOLE COMPONENTS 100 1 AMP 103240-5 Header, 5x2 100mil 5x2 th JP8 101 1 AMP 103240-2 Header, 2x2 100mil 2x2 th JP9

102 2 Molex 53113-0410 Connector, 4-pin right angle 6 hole, PCB mount J2-J3

Page 22: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

PEX 8649-16U16D AIC RDK Hardware Reference Manual 16 Copyright © 2009 by PLX Technology, Inc. All rights reserved – Version 1.0

Item Qty Man. Man. Part # Description Package Type

Comp. Designator(s) Distributor/pn

103 2 Molex 87715-3302 Connector, PCI Express edge card connector, x16

164 pin 4 row through hole SLOT1-SLO2

104 2 Vishay 94SP187X0020FBP

Cap, solid aluminum, 180uF, 20V F case C113, C117

105 4 AMP/Tyco 103185-2 Header, 1x2 100mil 1x2 TH J5-J8 106 1 Samtec ICA-308-S-TT Socket, 8-pin DIP, 300 mil, 8-pin DIP U9

MANUALLY INSERTED COMPONENTS

200 1 Atmel AT25256A-10PI-2.7

IC, 32Kx8 SPI serial EEPROM, 1.8-5V, 20MHz, 8-pin DIP

8-pin DIP U9

201 1 AAVID Thermalloy

10-6327-01 rev B-G

Heat sink, BGA with push pins 2-pin th U1 Digikey: HS306-ND

MISCELLANEOUS COMPONENTS

300 1 TBD TBD PCB for PEX8649-16U16D AIC RDK (90-

0081-000-A)

301 1 Keystone 9203 Keystone PCI Bracket, Blank BLACKET

302 2 Bulding Fasteners

PMS 440 0025 PH

Phillips, 4-40, 3/16", PH screw (for PCB bracket) Digikey: H342-ND

PARTS DO NOT ASSEMBLED 86 0 TBD SMT, 0603 R35,R86

17 0 C&K SDA06H1SKD Dip Switch, 6-pos, SDA series, with extended actuator

SMT SW3

16 0 C&K SDA04H1SKD Dip Switch, 4-pos, SDA series ,with extended actuator

SMT SW1,SW5

106 0 AMP 103185-2 Header, 1x2 100mil 1x2 th JP2,JP7 107 0 AMP 103185-4 Header, 1x4 100mil 1x4th J4

108 0 Rosenberger

32K141-40ML5

SMA 50-ohm, straight jack with surface mount center conductor

SMT signal pin and 4 ground holes

JK1-JK10

303 0 AMP/Tyco 382811-6 Jumper shunts, for 0.1" hdr. 2-pin JP7

11 0 Maxim MAX6658MSA

IC, Smbus compatible temperature sensor, +/-1degree C, range of -55-125 degree C

SMT, 8-Pin SOIC U12

101 0 AMP 103240-2 Header, 2x2 100mil 2x2 th JP1

62 0 CTS 742C083103JTR

Chip Res. Array, 10K ohm, 5%, 4R isolated SMT RN11,

69 0 Panasonic ERJ-3GEY201V Res. 1/10W, 200 ohm 5% SMT, 0603 R44

31 0 Murata GRM1885C1H222JA01D

Cap. Ceramic, 2200pF, C0G, 50V 10% SMT, 0603 C172

36 0 Kemet C0402C104K4RACTU

Cap. Ceramic, 0.1uF, X7R, 16V, 10% SMT, 0402 C160

ALTERNATIVE SOURCE

7 1 V-Infinity VPOL16A-12-SMT

Not-iso DC/DC converter, Vin=9-14V, Vout=0.75-5V@16A

SMT, 6-PIN U11 Second source for

U7, Digikey PN:102-1294-1-ND

Customer Name PLX TECHNOLOGY INC PLX Part # 91-0121-001-A Product Name PEX8649-16U16D AIC RDK Date July 6, 2009

Page 23: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

1 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

1 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

1 6Tuesday, October 06, 2009

www.plxtech.com

PEX8649 AIC RDK

BLOCK DIAGRAM

Page 6: PEX8649 Power Connections

TABLE OF CONTENTS

Page 1: Functional Block Diagram

Page 2: PEX8649 Station 1 & 2 Connections: PCIE SLOT1&2, PEX8649 and Midbus FootprintsPage 3: Refclk and Reset Circuits, PCIE Male Connector P1

Page 4: Power & Voltage Monitor Circuits

Page 5: PEX8649 Station 0 and Configuration Circuits

Two x16 PCI Express Slots

Voltage Monitor Circuit

Power & Link LEDsRefClk Circuit

DC/DCConverters & LDOs

I2C/SMBus PORT

ConfigurationDipswitches

Power-onReset JTAG PORT

PEX8649

PEX8649 AIC RDK

REVISON HISTORY

Revision Date Description

EEPROM Interface

0 5/12/2009 First Release

1 6/30/2009 Modified the note in page 5

2 10/6/2009 Modified some net name on page 5

Page 24: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

3.3VAUXPERST#

RX17pRX17n

RX18pRX18n

RX19pRX19n

RX16pRX16n

CTX16p

CTX16n

TX16p

TX16n

CTX17p

CTX17n

TX17p

TX17n

CTX18p

CTX18n

TX18p

TX18n

CTX19p

CTX19n

TX19p

TX19n

CTX20p

CTX20n

CTX21p

CTX21n

CTX22p

CTX22n

CTX23p

CTX23n

TX20p

TX20n

TX21p

TX21n

TX22p

TX22n

TX23n

TX23p

SL1_RCKn

CTX37n

TX38p

TX38n

TX34n

CTX38p

TX32p

CTX38n

TX39n

TX39p

TX32n

CTX39p

CTX39n

SL2_RCKpSL2_RCKn

PERST#

CTX33p

CTX33n

CTX35n

TX33p

TX33n

TX36p

TX35n

TX36n

CTX32p

CTX34p

CTX36p

CTX34n

TX37p

TX37n

CTX36n

RX33pRX33n

RX34pRX34n

RX35pRX35n

RX32pRX32n

CTX32n

CTX37p

TX34p

RX20pRX20n

RX21pRX21n

RX22pRX22n

RX23pRX23n

RX38pRX38n

RX39pRX39n

RX37pRX37n

RX36pRX36n

TX24p

TX24n

CTX24p

CTX24n

CTX25p

CTX25n

TX25p

TX25n

TX26n

CTX26p

CTX26n

TX26p

CTX27p

CTX27n

TX27p

TX27n

TX28p

TX28n

CTX28p

CTX28n

CTX29n

TX29p

TX29n

CTX29p

TX30p

TX30n

CTX30p

CTX30n

CTX31p

CTX31n

RX24pRX24n

RX25pRX25n

RX26pRX26n

RX27pRX27n

RX28pRX28n

RX30pRX30n

RRX31pRRX31n

RX29pRX29n

TX40p

TX40n

CTX40p

CTX40n

CTX41p

CTX41n

TX41p

TX41n

TX42n

CTX42p

CTX42n

TX42p

CTX43p

CTX43n

TX43p

TX43n

CTX45n

TX46p

TX46n

CTX46p

CTX46n

CTX47p

CTX47n

TX44p

TX44n

CTX44p

TX45p

TX45n

CTX44n

CTX45p

RX41pRX41n

RX40pRX40n

RX42pRX42n

RX43pRX43n

RX46pRX46n

RRX47pRRX47n

RX45pRX45n

RX44pRX44n

SL1_RCKp

TX32pTX32n

RX32pRX32n

TX33pTX33n

RX33pRX33n

TX34pTX34n

RX34pRX34n

TX35pTX35n

RX35pRX35n

TX36pTX36n

RX36pRX36n

TX37pTX37n

RX37pRX37n

TX38nRX38pRX38n

TX39pTX39n

TX38p

RX39pRX39n

TX40pTX40n

RX40pRX40n

TX41nRX41pRX41n

TX42pTX42n

RX42pRX42n

TX43pTX43n

RX43pRX43n

TX41p

TX44nRX44pRX44n

TX45pTX45n

RX45pRX45n

TX46pTX46n

RX46pRX46n

TX44p

TX47pTX47n

RX47pRX47n

TX16pTX16n

RX16pRX16n

TX17pTX17n

RX17pRX17n

TX18pTX18n

RX18pRX18n

TX19pTX19n

RX19pRX19n

TX22pTX22n

RX22pRX22n

TX23pTX23n

RX23pRX23n

TX20pTX20n

RX20pRX20n

TX21pTX21n

RX21pRX21n

TX26pTX26n

RX26pRX26n

TX27pTX27n

RX27pRX27n

TX30pTX30n

RX30pRX30n

TX31pTX31n

RX31pRX31n

TX28pTX28n

RX28pRX28n

TX29pTX29n

RX29pRX29n

TX24pTX24n

RX24pRX24n

TX25pTX25n

RX25pRX25n

PEXT_0

PEXT_1

PEXT_10

PEXT_11

PEXT_9

PEXT_8

CTX35pTX35p

WAKE#3.3VAUXWAKE#

SMCLKSMDAT

SMCLKSMDAT

VS1_FE#VS2_FE#VS3_FE#VS1_INTA#VS2_INTA#VS3_INTA#

VS0_PERST#VS1_PERST#VS2_PERST#VS3_PERST#

VS0_PERST#

VS1_PERST#

VS2_PERST#

VS3_PERST#

D7_2

D6_2

D15_2

RJ4

CTX31nTX31nTX31p

CTX31p

RJ3

RX31n RJ6RRX31n

RJ5RX31pRRX31p

RJ8

CTX47nTX47nTX47p

CTX47p

RJ7

RX47n RJ10RRX47n

RJ9RX47pRRX47p

MRL_B#

MRL_C#

12V_A

3.3V_A

3.3V_A

12V_A

3.3V_A

3.3V_A

3.3VCC

PERST# [3,5]

SL1_RCKp [3]SL1_RCKn [3]

PERST# [3,5]

SL2_RCKp [3]SL2_RCKn [3]

3.3VAUX[3]WAKE#[3]

3.3VAUX[3]WAKE#[3]

SMDAT[3,5]SMCLK[3,5]

SMDAT[3,5]SMCLK[3,5]

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

2 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

2 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

2 6Tuesday, October 06, 2009

www.plxtech.com

PEX8649 STATION 4&5

PEX8649 AIC RDK

PCIE EDGE CARD CONNECTOR SLOT 1

P1_1/P1_2 and P4_1/P4_2are probing holesfor refclk signals at SLOT1-2. They shouldbe placed close to the connectors. Seelayout requirement for details.

PCIE EDGE CARD CONNECTOR SLOT 2

Load C33 and C34 at pad 1-3Do not load JK3 & JK4

12

31

2

3

Load R100 & R101 at pad 1-3Do not load JK5 & JK6

Load C67 and C68 at pad 1-3Do not load JK7&JK8

12

31

2

3

Load R102 & R103 at pad 1-3Do not load JK9 & JK10

C340.1uF

C340.1uF

R57 1.43K 1%R57 1.43K 1%

C25 0.1uFC25 0.1uF

P4_1P4_1

R53 1.43K 1%R53 1.43K 1%

C31 0.1uFC31 0.1uF

C38 0.1uFC38 0.1uF

C52 0.1uFC52 0.1uF

C60 0.1uFC60 0.1uF

C13 0.1uFC13 0.1uF

R54 1.43K 1%R54 1.43K 1%

C19 0.1uFC19 0.1uF

R1 4.7KR1 4.7K

C3 0.1uFC3 0.1uF

C47 0.1uFC47 0.1uF

C22 0.1uFC22 0.1uF

C18 0.1uFC18 0.1uF

J8

2-P HDR

J8

2-P HDR

12

TP21TP21

P1_2P1_2

P2_2P2_2

J5

2-P HDR

J5

2-P HDR

12

C42 0.1uFC42 0.1uF

R78150 R78150

C40 0.1uFC40 0.1uF

R55 1.43K 1%R55 1.43K 1%

D15RED D15RED21

JK5

SMA_S

JK5

SMA_S

12 345

678 9

1011

12

D7RED D7RED21

+ C2

22uF

+ C2

22uF

C49 0.1uFC49 0.1uF

R79150 R79150

C29 0.1uFC29 0.1uF

C21 0.1uFC21 0.1uF

C43 0.1uFC43 0.1uF

C12 0.1uFC12 0.1uF

C45 0.1uFC45 0.1uF

C37 0.1uFC37 0.1uF

JK3

SMA_S

JK3

SMA_S

12 345

678 9

1011

12

C33

0.1uF

C33

0.1uF

JK7

SMA_S

JK7

SMA_S

12 345

678 9

1011

12

C6 0.1uFC6 0.1uF

C44 0.1uFC44 0.1uF

P3_1P3_1

JK4

SMA_S

JK4

SMA_S

12 345

678 9

1011

12

C10 0.1uFC10 0.1uF

C65 0.1uFC65 0.1uF

+

C1

22uF

+

C1

22uF

JK6

SMA_S

JK6

SMA_S

12 345

678 9

1011

12

C54 0.1uFC54 0.1uF

C61 0.1uFC61 0.1uF

J7

2-P HDR

J7

2-P HDR

12

C15 0.1uFC15 0.1uF

C67

0.1uF

C67

0.1uFR92

1K

R92

1K

R58 1.43K 1%R58 1.43K 1%

C24 0.1uFC24 0.1uF

C55 0.1uFC55 0.1uF

C57 0.1uFC57 0.1uF

C66 0.1uFC66 0.1uF

C8 0.1uFC8 0.1uF

PEX8649 (A)

U1A

PEX 8649

PEX8649 (A)

U1A

PEX 8649

PEX_PETp16U2PEX_PETn16U1PEX_PERp16U5PEX_PERn16U4PEX_PETp17T2PEX_PETn17T1PEX_PERp17T5PEX_PERn17T4PEX_PETp18R2PEX_PETn18R1PEX_PERp18R5PEX_PERn18R4PEX_PETp19P2PEX_PETn19P1PEX_PERp19P5PEX_PERn19P4PEX_PETp20M2PEX_PETn20M1PEX_PERp20M5PEX_PERn20M4PEX_PETp21L2PEX_PETn21L1PEX_PERp21L5PEX_PERn21L4PEX_PETp22K2PEX_PETn22K1PEX_PERp22K5PEX_PERn22K4PEX_PETp23J2PEX_PETn23J1PEX_PERp23J5PEX_PERn23J4PEX_PETp24B5PEX_PETn24A5PEX_PERp24E5PEX_PERn24D5PEX_PETp25B6PEX_PETn25A6PEX_PERp25E6PEX_PERn25D6PEX_PETp26B7PEX_PETn26A7PEX_PERp26E7PEX_PERn26D7PEX_PETp27B8PEX_PETn27A8PEX_PERp27E8PEX_PERn27D8PEX_PETp28B10PEX_PETn28A10PEX_PERp28E10PEX_PERn28D10PEX_PETp29B11PEX_PETn29A11PEX_PERp29E11PEX_PERn29D11PEX_PETp30B12PEX_PETn30A12PEX_PERp30E12PEX_PERn30D12PEX_PETp31B13PEX_PETn31A13PEX_PERp31E13PEX_PERn31D13

PEX_PETp32 U25PEX_PETn32 U26PEX_PERp32 U22PEX_PERn32 U23PEX_PETp33 T25PEX_PETn33 T26PEX_PERp33 T22PEX_PERn33 T23PEX_PETp34 R25PEX_PETn34 R26PEX_PERp34 R22PEX_PERn34 R23PEX_PETp35 P25PEX_PETn35 P26PEX_PERp35 P22PEX_PERn35 P23PEX_PETp36 M25PEX_PETn36 M26PEX_PERp36 M22PEX_PERn36 M23PEX_PETp37 L25PEX_PETn37 L26PEX_PERp37 L22PEX_PERn37 L23PEX_PETp38 K25PEX_PETn38 K26PEX_PERp38 K22PEX_PERn38 K23PEX_PETp39 J25PEX_PETn39 J26PEX_PERp39 J22PEX_PERn39 J23PEX_PETp40 B22PEX_PETn40 A22PEX_PERp40 E22PEX_PERn40 D22PEX_PETp41 B21PEX_PETn41 A21PEX_PERp41 E21PEX_PERn41 D21PEX_PETp42 B20PEX_PETn42 A20PEX_PERp42 E20PEX_PERn42 D20PEX_PETp43 B19PEX_PETn43 A19PEX_PERp43 E19PEX_PERn43 D19PEX_PETp44 B17PEX_PETn44 A17PEX_PERp44 E17PEX_PERn44 D17PEX_PETp45 B16PEX_PETn45 A16PEX_PERp45 E16PEX_PERn45 D16PEX_PETp46 B15PEX_PETn46 A15PEX_PERp46 E15PEX_PERn46 D15PEX_PETp47 B14PEX_PETn47 A14PEX_PERp47 E14PEX_PERn47 D14

N/CAA9REXT_A0AC9REXT_B0AB9

N/CAA18REXT_A1AC18REXT_B1AB18

N/CN6REXT_A10N4REXT_B10N5

N/CF9REXT_A11D9REXT_B11E9

N/CN21REXT_A8N23REXT_B8N22

N/CF18REXT_A9D18REXT_B9E18

N/CAE18N/CAF18

N/CN2N/CN1

N/CB9N/CA9

N/CN25N/CN26

N/CB18N/CA18

HP_ATNLED_B# AB1

HP_BUTTON_B# AB3

HP_CLKEN_B# AE1

HP_MRL_B# AB2

HP_PERST_B# AD1

HP_PRSNT_B# W5HP_PWR_GOOD_B Y3

HP_PWREN_B AC2

HP_PWRFLT_B# AC3

HP_PWRLED_B# AD2

VS1_PERST# AC26VS0_PERST# Y24

VS2_PEX_INTA# AA24

VS2_PERST# AB26

VS3_FATAL_ERR# AB25

VS1_FATAL_ERR# AD26

VS3_PEX_INTA# W21

VS2_FATAL_ERR# W22

VS3_PERST# AE26

VS1_PEX_INTA# Y23

HP_ATNLED__C# B25

HP_BUTTON_C# C25

HP_CLKEN_C# F24

HP_MRL_C# G21

HP_PERST_C# G22

HP_PRSNT_C# D25HP_PWR_GOOD_C F25

HP_PWREN_C D24

HP_PWRFLT_C# B26

HP_PWRLED_C# D26

R100

0

R100

0

1 23

C26 0.1uFC26 0.1uF

+

C35 22uF

+

C35 22uF

C51 0.1uFC51 0.1uF

TP22TP22

C32 0.1uFC32 0.1uF

P2_1P2_1

JK9

SMA_S

JK9

SMA_S

12 345

678 9

1011

12

C14 0.1uFC14 0.1uF

C59 0.1uFC59 0.1uF

R101

0

R101

0

1 23

C48 0.1uFC48 0.1uF

C4 0.1uFC4 0.1uF

JK10

SMA_S

JK10

SMA_S

12 345

678 9

1011

12

C56 0.1uFC56 0.1uF

R56 1.43K 1%R56 1.43K 1%

P3_2P3_2

SLOT1

x16 PCI Express Contr

SLOT1

x16 PCI Express Contr

PRSNT1# A1+12V A2+12V A3GND A4

TCLK A5TDI A6

TDO A7TMS A8

+3.3V A9+3.3V A10

PERST# A11

+12VB1+12VB2+12VB3GNDB4SMCLKB5SMDATB6GNDB7+3.3VB8TRST#B93.3VAUXB10WAKE#B11

RSVDB12

PETp0B14PETn0B15GNDB16PRSNT2#B17GNDB18PETp1B19PETn1B20GNDB21GNDB22PETp2B23PETn2B24GNDB25GNDB26PETp3B27PETn3B28GNDB29RSVDB30

GND A12REFCLK+ A13REFCLK- A14

GND A15PERp0 A16PERn0 A17

GND A18RSVD A19

GND A20PERp1 A21PERn1 A22

GND A23GND A24

PERp2 A25PERn2 A26

GND A27GND A28

PERp3 A29PERn3 A30

GNDB13

GND A31RSVD A32RSVD A33

GND A34PERp4 A35PERn4 A36

GND A37GND A38

PERp5 A39PERn5 A40

GND A41GND A42

PERp6 A43PERn6 A44

GND A45GND A46

PERp7 A47PERn7 A48

GND A49RSVD A50

GND A51PERp8 A52PERn8 A53

GND A54GND A55

PERp9 A56PERn9 A57

GND A58GND A59

PERp10 A60

PRSNT2#B31GNDB32PETp4B33PETn4B34GNDB35GNDB36PETp5B37PETn5B38GNDB39GNDB40PETp6B41PETn6B42GNDB43GNDB44PETp7B45PETn7B46GNDB47PRSNT2#B48GNDB49PETp8B50PETn8B51GNDB52GNDB53PETp9B54PETn9B55GNDB56GNDB57PETp10B58PETn10B59GNDB60

PERn10 A61GND A62GND A63

PERp11 A64PERn11 A65

GND A66GND A67

PERp12 A68PERn12 A69

GND A70GND A71

PERp13 A72PERn13 A73

GND A74GND A75

PERp14 A76PERn14 A77

GND A78GND A79

PERp15 A80PERn15 A81

GND A82

GNDB61PETp11B62PETn11B63GNDB64GNDB65PETp12B66PETn12B67GNDB68GNDB69PETp13B70PETn13B71GNDB72GNDB73PETp14B74PETn14B75GNDB76GNDB77PETp15B78PETn15B79GNDB80PRSNT2#B81RSVDB82

C17 0.1uFC17 0.1uF

C41 0.1uFC41 0.1uF

C39 0.1uFC39 0.1uF

R80150 R80150

C50 0.1uFC50 0.1uF

D6RED D6RED21

C20 0.1uFC20 0.1uF

R2 4.7KR2 4.7K

TP20TP20

R102

0

R102

0

1 23

J6

2-P HDR

J6

2-P HDR

12

P4_2P4_2

C27 0.1uFC27 0.1uF

C30 0.1uFC30 0.1uF

C11 0.1uFC11 0.1uF

C64 0.1uFC64 0.1uF

C46 0.1uFC46 0.1uF

C5 0.1uFC5 0.1uF

C28 0.1uFC28 0.1uF

+ C36

22uF

+ C36

22uF

C9 0.1uFC9 0.1uF

C63 0.1uFC63 0.1uF

C16 0.1uFC16 0.1uF

P1_1P1_1

R94

1K

R94

1K

JK8

SMA_S

JK8

SMA_S

12 345

678 9

1011

12

R103

0

R103

0

1 23

C53 0.1uFC53 0.1uF

C62 0.1uFC62 0.1uF

C680.1uF

C680.1uF

SLOT2

x16 PCI Express Contr

SLOT2

x16 PCI Express Contr

PRSNT1# A1+12V A2+12V A3GND A4

TCLK A5TDI A6

TDO A7TMS A8

+3.3V A9+3.3V A10

PERST# A11

+12VB1+12VB2+12VB3GNDB4SMCLKB5SMDATB6GNDB7+3.3VB8TRST#B93.3VAUXB10WAKE#B11

RSVDB12

PETp0B14PETn0B15GNDB16PRSNT2#B17GNDB18PETp1B19PETn1B20GNDB21GNDB22PETp2B23PETn2B24GNDB25GNDB26PETp3B27PETn3B28GNDB29RSVDB30

GND A12REFCLK+ A13REFCLK- A14

GND A15PERp0 A16PERn0 A17

GND A18RSVD A19

GND A20PERp1 A21PERn1 A22

GND A23GND A24

PERp2 A25PERn2 A26

GND A27GND A28

PERp3 A29PERn3 A30

GNDB13

GND A31RSVD A32RSVD A33

GND A34PERp4 A35PERn4 A36

GND A37GND A38

PERp5 A39PERn5 A40

GND A41GND A42

PERp6 A43PERn6 A44

GND A45GND A46

PERp7 A47PERn7 A48

GND A49RSVD A50

GND A51PERp8 A52PERn8 A53

GND A54GND A55

PERp9 A56PERn9 A57

GND A58GND A59

PERp10 A60

PRSNT2#B31GNDB32PETp4B33PETn4B34GNDB35GNDB36PETp5B37PETn5B38GNDB39GNDB40PETp6B41PETn6B42GNDB43GNDB44PETp7B45PETn7B46GNDB47PRSNT2#B48GNDB49PETp8B50PETn8B51GNDB52GNDB53PETp9B54PETn9B55GNDB56GNDB57PETp10B58PETn10B59GNDB60

PERn10 A61GND A62GND A63

PERp11 A64PERn11 A65

GND A66GND A67

PERp12 A68PERn12 A69

GND A70GND A71

PERp13 A72PERn13 A73

GND A74GND A75

PERp14 A76PERn14 A77

GND A78GND A79

PERp15 A80PERn15 A81

GND A82

GNDB61PETp11B62PETn11B63GNDB64GNDB65PETp12B66PETn12B67GNDB68GNDB69PETp13B70PETn13B71GNDB72GNDB73PETp14B74PETn14B75GNDB76GNDB77PETp15B78PETn15B79GNDB80PRSNT2#B81RSVDB82

C23 0.1uFC23 0.1uF

C58 0.1uFC58 0.1uF

C7 0.1uFC7 0.1uF

Page 25: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

REFCLKpREFCLKn

PERST#_P1

RX3p

RX1p

RX3n

RX1n

RX0p

RX2pRX2n

CTX1pCTX1n

CTX2pCTX2n

CTX3pCTX3n

CTX0pCTX0n

RX0n

PRSNT#

TX3pOEINV_2

HBW_2BP_2

OE6_2

SL1_RCKp

RCLKP2RCLKN2

BP_2

RCLKP4RCLKN4

RCLKP3RCLKN3

IREF_2

VDDA_2

SS_2PWD_2

OE1_2

TX3n

TX2n

TX2p

TX1n

TX1p

TX0n

TX0p

RX7p

RX5p

RX7n

RX5n

RX4p

RX6pRX6n

RX4n

RX11p

RX9p

RX11n

RX9n

RX8p

RX10pRX10n

RX8n

RX15p

RX13p

RX15n

RX13n

RX12p

RX14pRX14n

RX12n

TX5n

TX5p

TX7p

TX4n

TX7n

TX4p

CTX5pCTX5n

CTX6pCTX6n

CTX7pCTX7n

CTX4pCTX4n

TX6n

TX6p

TX9n

TX9p

TX11p

TX8n

TX11n

TX8p

CTX9pCTX9n

CTX10pCTX10n

CTX11pCTX11n

CTX8pCTX8n

TX10n

TX10p

TX13n

TX13p

TX15p

TX12n

TX15n

TX12p

CTX13pCTX13n

CTX14pCTX14n

CTX15pCTX15n

CTX12pCTX12n

TX14n

TX14p

SL1_RCKn

SL2_RCKnSL2_RCKp

REFCLKp_U1REFCLKn_U1

PERST#_P1

MAN_PST_S3

RST_3

SRT_4

PERST_3

PERST#_4

SMDATSMCLK

3.3VAUXWAKE#

RC

Kp

RC

Kn

U2_SRC_IN

U2_SRC_IN#

12VCC

3.3VCC

3.3VCC

3.3VCC

3.3VCC3.3VCC

3.3VCC

3.3VCC

3.3VCC

RX0p[5]RX0n[5]

RX1p[5]RX1n[5]

RX2p[5]RX2n[5]

RX3p[5]RX3n[5]

TX3p [5]

TX3n [5]

TX2n [5]

TX2p [5]

TX1n [5]

TX1p [5]

TX0n [5]

TX0p [5]

RX4p[5]RX4n[5]

RX5p[5]RX5n[5]

RX6p[5]RX6n[5]

RX7p[5]RX7n[5]

RX8p[5]RX8n[5]

RX9p[5]RX9n[5]

RX10p[5]RX10n[5]

RX11p[5]RX11n[5]

RX12p[5]RX12n[5]

RX13p[5]RX13n[5]

RX14p[5]RX14n[5]

RX15p[5]RX15n[5]

TX5n [5]

TX5p [5]

TX4n [5]

TX7n [5]

TX7p [5]

TX4p [5]

TX6n [5]

TX6p [5]

TX9n [5]

TX9p [5]

TX8n [5]

TX11n [5]

TX11p [5]

TX8p [5]

TX10n [5]

TX10p [5]

TX13n [5]

TX13p [5]

TX12n [5]

TX15n [5]

TX15p [5]

TX12p [5]

TX14n [5]

TX14p [5]

SL1_RCKn [2]SL1_RCKp [2]

SL2_RCKp [2]SL2_RCKn [2]

REFCLKp_U1 [5]REFCLKn_U1 [5]

PERST# [2,5]

SMCLK[2,5]SMDAT[2,5]

WAKE#[2]3.3VAUX[2]

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

3 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

3 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

3 6Tuesday, October 06, 2009

www.plxtech.com

REFCLK&RST CIRCUITS, PCIE P1

PEX8649 AIC RDK

PCIE MALE CONNECTOR P1

REFCLK CIRCUIT

R10-R13 SHOUD BE CLOSE TO PIN9 & 10 OF U2

R14-R17 SHOUD BE CLOSE TO PIN19 & 20 OF U2

R18-R21 SHOUD BE CLOSE TO PIN22 & 23 OF U2

RESET CIRCUIT

C122 IS USED TO SET THE RESET TIMEOUTPERIOD FOR U4. A VALUE OF 0.001UF RESULTSIS APPROXIMATELY 3MS.

Impedance Test Traces

ITP1-ITP16 are probe holes for impendance test. They should be 25mils in diameter

Ground Posts

The hole size of GP1-4 should besame as the jumper header

Load R42 and R43 at pad 1-3Do not load JK1& JK2

R50 0R50 0

+C69

22uF+

C69

22uF

C107

10uF

C107

10uF

R52

5.1K

R52

5.1K

R43

0

R43

0

123

C90 0.1uFC90 0.1uF

R21 49.9, 1%R21 49.9, 1%

JK2 SMA_SJK2 SMA_S

12 345

678 9

1011

12

C81 0.1uFC81 0.1uF

C75 0.1uFC75 0.1uF

ITP8ITP8

C123

0.1uF

C123

0.1uF

+ C70

22uF

+ C70

22uF

R12 49.9, 1%R12 49.9, 1%

R49

51K

R49

51K

R20 49.9, 1%R20 49.9, 1%

C83 0.1uFC83 0.1uF

ITP7ITP7

C89 0.1uFC89 0.1uF

C102 0.1uFC102 0.1uF

S1

SW PUSHBUTTON

S1

SW PUSHBUTTON

1 3

R13 49.9, 1%R13 49.9, 1%

C84 0.1uFC84 0.1uF

ITP3ITP3

C96 0.1uFC96 0.1uF

C91 0.1uFC91 0.1uF

C104

0.01uF

C104

0.01uF

ITP2ITP2

C101 0.1uFC101 0.1uF

R98 0R98 0

C161

0.1uF

C161

0.1uF

C79 0.1uFC79 0.1uF

R3

4.7

R3

4.7

R97 0R97 0

C92 0.1uFC92 0.1uF

ITP6ITP6

R19 33R19 33

R96 0R96 0

R11 33R11 33

R48

10K

R48

10K

C163

0.1uF

C163

0.1uF

R17 49.9, 1%R17 49.9, 1%

C98 0.1uFC98 0.1uF

ITP11ITP11

C87 0.1uFC87 0.1uF

P1

x16 PCI Express Contr

P1

x16 PCI Express Contr

PRSNT1# A1+12V A2+12V A3GND A4

TCLK A5TDI A6

TDO A7TMS A8

+3.3V A9+3.3V A10

PERST# A11

+12VB1+12VB2+12VB3GNDB4SMCLKB5SMDATB6GNDB7+3.3VB8TRST#B93.3VAUXB10WAKE#B11

RSVDB12

PETp0B14PETn0B15GNDB16PRSNT2#B17GNDB18PETp1B19PETn1B20GNDB21GNDB22PETp2B23PETn2B24GNDB25GNDB26PETp3B27PETn3B28GNDB29RSVDB30

GND A12REFCLK+ A13REFCLK- A14

GND A15PERp0 A16PERn0 A17

GND A18RSVD A19

GND A20PERp1 A21PERn1 A22

GND A23GND A24

PERp2 A25PERn2 A26

GND A27GND A28

PERp3 A29PERn3 A30

GNDB13

GND A31RSVD A32RSVD A33

GND A34PERp4 A35PERn4 A36

GND A37GND A38

PERp5 A39PERn5 A40

GND A41GND A42

PERp6 A43PERn6 A44

GND A45GND A46

PERp7 A47PERn7 A48

GND A49RSVD A50

GND A51PERp8 A52PERn8 A53

GND A54GND A55

PERp9 A56PERn9 A57

GND A58GND A59

PERp10 A60

PRSNT2#B31GNDB32PETp4B33PETn4B34GNDB35GNDB36PETp5B37PETn5B38GNDB39GNDB40PETp6B41PETn6B42GNDB43GNDB44PETp7B45PETn7B46GNDB47PRSNT2#B48GNDB49PETp8B50PETn8B51GNDB52GNDB53PETp9B54PETn9B55GNDB56GNDB57PETp10B58PETn10B59GNDB60

PERn10 A61GND A62GND A63

PERp11 A64PERn11 A65

GND A66GND A67

PERp12 A68PERn12 A69

GND A70GND A71

PERp13 A72PERn13 A73

GND A74GND A75

PERp14 A76PERn14 A77

GND A78GND A79

PERp15 A80PERn15 A81

GND A82

GNDB61PETp11B62PETn11B63GNDB64GNDB65PETp12B66PETn12B67GNDB68GNDB69PETp13B70PETn13B71GNDB72GNDB73PETp14B74PETn14B75GNDB76GNDB77PETp15B78PETn15B79GNDB80PRSNT2#B81RSVDB82

R18 33R18 33

R10 33R10 33

ITP10ITP10

R5

475 1%

R5

475 1%

R16 49.9, 1%R16 49.9, 1%

RN1

4R 1K

RN1

4R 1K

12345

678

C97 0.1uFC97 0.1uF

ITP14ITP14

GP1GP1

C103

10uF

C103

10uF

C74 0.1uFC74 0.1uF

ITP15ITP15

C99 0.1uFC99 0.1uF

GP3GP3

C72 0.1uFC72 0.1uF

C122

0.001uF

C122

0.001uF

R15 33R15 33

ITP4ITP4

C73 0.1uFC73 0.1uF

C100 0.1uFC100 0.1uF

GP2GP2

U4

MAX6420

U4

MAX6420

RST IN3 VCC 5

SRT4 GND 2

RESET# 1

ITP1ITP1

C105

0.01uF

C105

0.01uF

GP4GP4

C95 0.1uFC95 0.1uF

R14 33R14 33

ITP9ITP9

C162

0.1uF

C162

0.1uF

C86 0.1uFC86 0.1uF

C80 0.1uFC80 0.1uF

R4 4.7KR4 4.7K

C71 0.1uFC71 0.1uF

C106

0.01uF

C106

0.01uF

U2

CY28400-2

U2

CY28400-2

VD

D1

VD

D5

VD

D11

VD

D18

VD

D24

VS

S4

OE_INV25

VD

D_A

28

VS

S_A

27

SRC_IN2SRC_IN#3

OE_18OE_621

HIGH_BW#17SRC_STP16PWRDWN15

SCLK13SDATA14

IREF 26

PLL/BYPASS#12

DIFT1 6DIFC1 7

DIFT2 9DIFC2 10

DIFT5 20DIFC5 19

DIFT6 23DIFC6 22

ITP5ITP5

R51 0R51 0

C85 0.1uFC85 0.1uF

JK1 SMA_SJK1 SMA_S

12 345

678 9

1011

12

C78 0.1uFC78 0.1uF

C94 0.1uFC94 0.1uF

ITP13ITP13

U3

NC7S08

U3

NC7S08

VCC5

GND 3

A1

B2

Y 4

C88 0.1uFC88 0.1uF

ITP12ITP12

C93 0.1uFC93 0.1uF

C82 0.1uFC82 0.1uF

C77 0.1uFC77 0.1uF

R42

0

R42

0

123

C76 0.1uFC76 0.1uF

ITP16ITP16

Page 26: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

ST1_5ST2_5ST3_5ST4_5

DR_5

PWRGD2_5

PWRGD_5

O1_5U1_5

O2_5U2_5

TRIM_6

VDD_6

DR_3

DR_2

DR_1

DR_4

TRIM_7

3.3V_A

1.0VCC2.5VCC

3.3VCC

3.3VCC

3.3VCC

3.3VCC

2.5VCC1.0VCC

5V_A

12V_A

5V_A 3.3V_A

5V_A

12VCC

12V_A

3.3VCC

3.3VCC

12VCC

1.0VCC

1.0VCC

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

4 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

4 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

B

4 6Tuesday, October 06, 2009

www.plxtech.com

1A16A

Trim up

6.5A

10A

6A

12V-TO-1.0V DC/DC CONVERTER3.3V-TO-2.5V LDO

PEX8649 AIC RDK

POWER AND VOLTAGE MONITOR

PEX8649 VOLTAGE MONITOR CIRCUIT

R34 and R35 should be placed on solder sise.

ATX HD POWER CONNECTORS

5V-TO-3.3V DC/DC CONVERTER

POWER INDICATOR LEDS

D8 is green: 2.5VCC is within the 10% rangeD8 is red: 2.5VCC is out of range

D9 is green: 1.0VCC is within the 10% rangeD8 is red: 1.0VCC is out of range

A copper traceconnectspin 1-2 of JP7

Pin 7 of U7 (sense line) directlyconnect to TP13 close to PLXchip. R36 and R86 should be placed on solder side.

T_PWRT_PWR

TV6TV6

U7

SRBC-16E2A0

U7

SRBC-16E2A0

Vin2 Vout 5

On/Off#1

SEQ3 Trim 6

GN

D4

SENSE 7

R22

3.09K 1%

R22

3.09K 1%

+C111

22uF

+C111

22uF

R39 360R39 360

+C117

180uF

+C117

180uF

D5GREEN

D5GREEN

2 1

R28 4.7KR28 4.7K

RN2

4R 4.7K

RN2

4R 4.7K

12345

678

C114

1uF

C114

1uF

GR

D9

CMD15-22SRUGC

GR

D9

CMD15-22SRUGC

21

34

C119

1uF

C119

1uF

TV1TV1

R29 4.7KR29 4.7K

TV3TV3

R31390R31390

R231.18K 1% R231.18K 1%

TV4TV4

R37 1.2KR37 1.2K

JP7 2-P JPRJP7 2-P JPR1 2

C112

1uF

C112

1uF

C1161uFC1161uF

R30 390R30 390

C121

10uF

C121

10uF

TV7TV7

C110

1uF

C110

1uF

D1GREEN

D1GREEN

2 1

R245.76K 1% R245.76K 1%

C120

1uF

C120

1uF

TV9TV9

U8

MIC37100

U8

MIC37100

VIN1 VOUT 3

GN

D2

TAB

4

C108

0.1uF

C108

0.1uF

TV10TV10

J2

53113-0410

J2

53113-0410

+12VDC 1

COM 2

COM 3

+5VDC 4

TV8TV8

R3641.2K 1%

R3641.2K 1%

R40 150R40 150

R27

2.32K 1%

R27

2.32K 1%

TV11TV11

U6

S7AH-08E1A0

U6

S7AH-08E1A0

Vin2 Vout 4

On/Off1

Gnd

3

Trim 5

NC

6

NC

7

GR

D8

CMD15-22SRUGC

GR

D8

CMD15-22SRUGC

21

34

R86 NLR86 NL

TV12TV12D4

GREEND4

GREEN2 1

R25

7.32K 1%

R25

7.32K 1%

+C109

22uF

+C109

22uF

R38 1.2KR38 1.2K

R33390R33390

R34

1.30K, 1%

R34

1.30K, 1%

R26

464 1%

R26

464 1%

TV5TV5

C115

10uF

C115

10uF

D3GREEN

D3GREEN

2 1

TV2TV2

JP2 2-P JPRJP2 2-P JPR1 2

U5

ISL6132

U5

ISL6132

VD

D23

GN

D10

EN11EN211

UVMON_120OVMON_112UVMON_217OVMON_214

UVSTATUS_1 2OVSTATUS_1 5UVSTATUS_2 6OVSTATUS_2 7

PGOOD 24PGOOD2 9

NC

13N

C15

NC

16N

C18

NC

19N

C21

NC

22

NC3NC4 N

C8

+C113

180uF

+C113

180uF

R32 390R32 390

J3

53113-0410

J3

53113-0410

+12VDC1

COM2

COM3

+5VDC4

D2GREEN

D2GREEN

2 1

R41 150R41 150

R35 NLR35 NL

C118

10uF

C118

10uF

Page 27: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

REFCLKp_U1

REFCLKn_U1 JTAG_TMSJTAG_TRST#

JTAG_TDOJTAG_TDIJTAG_TCK

ST5_PCFG0

ST4_PCFG1ST4_PCFG0

ST0_PCFG1ST0_PCFG0

ST5_PCFG1

USPT_SEL3USPT_SEL2USPT_SEL1USPT_SEL0

I2C_ADD2

NT_EN#

PT_GD_0#

PT_GD_20#

PT_GD_16#

PROBE#SERDES#PLLBP#FBUP#

I2C_SCL0I2C_SDA0

ST5_PCFG0

USPT_SEL3USPT_SEL2

USPT_SEL0USPT_SEL1

ST5_PCFG1

ST4_PCFG1

ST0_PCFG1

TX6pTX6nRX6pRX6nTX7pTX7nRX7pRX7nTX8pTX8nRX8pRX8nTX9pTX9nRX9pRX9nTX10pTX10nRX10pRX10nTX11pTX11nRX11p

TX12pTX12nRX12pRX12nTX13pTX13nRX13pRX13nTX14pTX14nRX14pRX14nTX15pTX15nRX15pRX15n

RX11n

RX4n

RX0p

TX2p

RX1p

TX0n

TX1nTX1p

RX2p

RX0n

TX2n

RX1n

RX2nTX3pTX3nRX3pRX3nTX4pTX4nRX4p

TX5pTX5nRX5pRX5n

TX0p

W4_U1

RSV16INTA#

FERROR

SMBUS_EN#

SCL1SDA1SI#

REV17#

DBSL1DBSL0

TMODE3TMODE2TMODE1TMODE0

ST0_PCFG1ST0_PCFG0ST4_PCFG1ST4_PCFG0ST5_PCFG1ST5_PCFG0

USPT_SEL3USPT_SEL2USPT_SEL1USPT_SEL0

EE_SKEE_DOEE_DIEE_CS#

NT_SEL1NT_SEL0

SPARE2

NT_SEL4NT_SEL2_SP1

L1L2L3

VS_MODE1VS_MODE0

G1_COMP#I2C_CFG_EN#

NT_P2P_EN#

J4_4J4_3J4_2J4_1

PERST#

CCLKpCCLKn

I2C_ADD0I2C_ADD1I2C_ADD2

ST4_PCFG0

ST0_PCFG0

NT_EN#NT_SEL4NT_SEL2_SP1NT_SEL1NT_SEL0

NT_SEL4NT_SEL2_SP1NT_SEL1NT_SEL0

RSV_SW4

RSV_SW4

G1_COMP#SMBUS_EN#NT_P2P_EN#I2C_CFG_EN#VS_MODE1

G1_COMP#

I2C_CFG_EN#NT_P2P_EN#

VS_MODE0VS_MODE1

SMBUS_EN#

ST0_PCFG1

TMODE3TMODE2TMODE1TMODE0

TMODE3TMODE2TMODE1TMODE0

TMODE0

I2C_SCL_PI2C_SDA_P

VS_MODE0

SMBUS_EN#

I2C_SCL0

I2C_SDA0I2C_SCL0

I2C_SDA0 I2C_ADD0I2C_ADD1

RSV17#

AF25_U1

AC24_U1

SMBDATASMBCLK

NT_EN#

SMCLK

SMDAT

3.3VCC

2.5VCC

2.5VCC

3.3VCC

2.5VCC

3.3VCC

2.5VCC

3.3VCC

3.3VCC

2.5VCC

3.3VCC

3.3VCC

3.3VCC

3.3VCC

3.3VCC

REFCLKp_U1[3]

REFCLKn_U1[3]

PERST#[2,3]

TX6p [3]TX6n [3]

TX7p [3]TX7n [3]

RX6p [3]RX6n [3]

RX7p [3]RX7n [3]

TX8p [3]TX8n [3]

TX9p [3]TX9n [3]

RX8p [3]RX8n [3]

RX9p [3]RX9n [3]

TX10p [3]TX10n [3]

TX11p [3]TX11n [3]

RX10p [3]RX10n [3]

RX11p [3]RX11n [3]

TX12p [3]TX12n [3]

TX13p [3]TX13n [3]

RX12p [3]RX12n [3]

RX13p [3]RX13n [3]

TX14p [3]TX14n [3]

TX15p [3]TX15n [3]

RX14p [3]RX14n [3]

RX15p [3]RX15n [3]

TX0p [3]TX0n [3]

TX1p [3]TX1n [3]

RX0p [3]RX0n [3]

RX1p [3]RX1n [3]

TX2p [3]TX2n [3]

TX3p [3]TX3n [3]

RX2p [3]RX2n [3]

RX3p [3]RX3n [3]

TX4p [3]TX4n [3]

TX5p [3]TX5n [3]

RX4p [3]RX4n [3]

RX5p [3]RX5n [3]

SMCLK [2,3]

SMDAT [2,3]

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

5 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

5 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

5 6Tuesday, October 06, 2009

www.plxtech.com

PEX8649 STATION 0 & CONFIG CKTS

PEX8649 AIC RDK

EEPROM

CONFIGUATION DIP SWITCHES

SW24: RSV_17#3: I2C_ADD22: I2C_ADD11: I2C_ADD0

JTAG PORT

I2C/SMBUS INTERFACE

Dipswitch SW1,3 & 5 and RN 4,5 & 6 are for internal use only. For RDK, they are not loaded.

SW14: USPT_SEL33: USPT_SEL22: USPT_SEL11: USPT_SEL0

SW36: STN5_PCFG15: STN5_PCFG04: STN4_PCFG13: STN4_PCFG02: STN0_PCFG11: STN0_PCFG0

For PLX Use Only.

SW46: RSV_SW45: NT_EN#4: NT_SEL43: NT_SEL2_SP12: NT_SEL11: NT_SEL0

SW66: RSV_SW65: SMBUS_EN#4: NT_P2P_EN#3: I2C_CFG_EN#2: VS_MODE11: VS_MODE0

SW54: TMODE33: TMODE22: TMODE11: TMODE0

I2C CONNECTOR

U12, JP1, RN11, C160, R44 and C172 will not load

Stiching Ground Via

SV94SV94

U10

SN74CB3T3125PW

U10

SN74CB3T3125PW

1A2 VCC 141B3

GND7

3A 93B 82A5

2B6

1OE#12OE#4

4A 124B 11

3OE# 104OE# 13

R82 0R82 0

SV82SV82

R10410K R10410K

R90 0R90 0

SV112SV112

SV49SV49

SV70SV70

TP43TP43

SV36SV36

SV19SV19

SW4

SW DIP-6

SW4

SW DIP-6

123456

121110987

SV95SV95

R68 4.7KR68 4.7K

TP11TP11

SV83SV83

SV113SV113

SV23SV23

RN6 4R 4.7KRN6 4R 4.7K1234 5

678

SV50SV50

RN9 4R 4.7KRN9 4R 4.7K1234 5

678

R83 0R83 0

SV71SV71

SV37SV37

SV20SV20

SV96SV96

R84 0R84 0

SV84SV84

R10510K R10510K

C160 0.1uFC160 0.1uF

SW5

SW DIP-4

SW5

SW DIP-4

1234

8765

TP3TP3

SV24SV24

SV114SV114

SV51SV51

R93 4.7KR93 4.7K

SV72SV72

SV38SV38

SV97SV97

TP4TP4

SV85SV85

SV115SV115

SV25SV25

R95 4.7KR95 4.7K

SV52SV52

SV39SV39

D10 GREEND10 GREEN2 1

SV98SV98

JP9

HEADER 2X2

JP9

HEADER 2X2

1234

TP5TP5

RN3

4R 1K

RN3

4R 1K

1234 5

678

SV116SV116

SV101SV101

RN11 4R 10KRN11 4R 10K

12345

678

SW1

SW DIP-4

SW1

SW DIP-4

1234

8765

R99 4.7KR99 4.7K

SW2

SW DIP-4

SW2

SW DIP-4

1234

8765

R63 4.7KR63 4.7KSV40SV40

SV99SV99

R62 4.7KR62 4.7K

R71 150R71 150

TP6TP6

SV117SV117

SV73SV73

SV26SV26

TP27TP27

R65 4.7KR65 4.7K

R44 200R44 200

SV86SV86SV100SV100

TP7TP7

SV53SV53

SV102SV102

SV27SV27

TP35TP35

SV61SV61

SV1SV1

R61 4.7KR61 4.7KR74 10KR74 10K

R66 4.7KR66 4.7K

PEX8649 (B)

U1B

PEX 8649

PEX8649 (B)

U1B

PEX 8649

PEX_PETp0 AE5PEX_PETn0 AF5PEX_PERp0 AB5PEX_PERn0 AC5PEX_PETp1 AE6PEX_PETn1 AF6PEX_PERp1 AB6PEX_PERn1 AC6PEX_PETp2 AE7PEX_PETn2 AF7PEX_PERp2 AB7PEX_PERn2 AC7PEX_PETp3 AE8PEX_PETn3 AF8PEX_PERp3 AB8PEX_PERn3 AC8PEX_PETp4 AE10PEX_PETn4 AF10PEX_PERp4 AB10PEX_PERn4 AC10PEX_PETp5 AE11PEX_PETn5 AF11PEX_PERp5 AB11PEX_PERn5 AC11PEX_PETp6 AE12PEX_PETn6 AF12PEX_PERp6 AB12PEX_PERn6 AC12PEX_PETp7 AE13PEX_PETn7 AF13PEX_PERp7 AB13PEX_PERn7 AC13PEX_PETp8 AE14PEX_PETn8 AF14PEX_PERp8 AB14PEX_PERn8 AC14PEX_PETp9 AE15PEX_PETn9 AF15PEX_PERp9 AB15PEX_PERn9 AC15

PEX_PETp10 AE16PEX_PETn10 AF16PEX_PERp10 AB16PEX_PERn10 AC16PEX_PETp11 AE17PEX_PETn11 AF17PEX_PERp11 AB17PEX_PERn11 AC17PEX_PETp12 AE19PEX_PETn12 AF19PEX_PERp12 AB19PEX_PERn12 AC19PEX_PETp13 AE20PEX_PETn13 AF20PEX_PERp13 AB20PEX_PERn13 AC20PEX_PETp14 AE21PEX_PETn14 AF21PEX_PERp14 AB21PEX_PERn14 AC21PEX_PETp15 AE22PEX_PETn15 AF22PEX_PERp15 AB22PEX_PERn15 AC22

JTAG_TMSB1JTAG_TRST#C2JTAG_TCKB2JTAG_TDIC3JTAG_TDOG5

PEX_PERST#AC1

PEX_REFCLKpAE9PEX_REFCLKnAF9

EE_CS#E26EE_DIG23EE_DOF26EE_SKE25

I2C_ADD2F2I2C_ADD1F3I2C_ADD0E2

I2C_SCL0G4I2C_SDA0E3

STRAP_STN0_PORTCFG1AF24STRAP_STN0_PORTCFG0AD24STRAP_STN4_PORTCFG1G1STRAP_STN4_PORTCFG0C4STRAP_STN5_PORTCFG1B24STRAP_STN5_PORTCFG0C23

STRAP_UPSTRM_PORTSEL3A24STRAP_UPSTRM_PORTSEL2G26STRAP_UPSTRM_PORTSEL1G24STRAP_UPSTRM_PORTSEL0G25

STRAP_TESTMODE3W2STRAP_TESTMODE2AD4STRAP_TESTMODE1AF2STRAP_TESTMODE0Y6STRAP_DEBUG_SEL1W6STRAP_DEBUG_SEL0AF3

N/C W4

PEX_INTA#D1FATAL_ERR#C24

N/C AF25N/C AC24

PEX_PORT_GOOD0#D3

PEX_PORT_GOOD16#G2

PEX_PORT_GOOD20#W25

STRAP_NT_UPSTRM_PORTSEL1G6STRAP_NT_UPSTRM_PORTSEL0A2

STRAP_NT_ENABLE#A3

STRAP_G1_COMPATIBLE#W1STRAP_I2C_CFG_EN#Y1

STRAP_SMBUS_EN#W3STRAP_RESERVED16 AE24

STRAP_NT_UPSTRM_PORTSEL4AB24

STRAP_NT_P2P_EN#AA1

SPARE2 AA2

STRAP_NT_UPSTRM_PORTSEL2AA3

STRAP_VS_MODE1D2GPIO31 AD3GPIO30 AE2GPIO29 Y5GPIO28 AE3GPIO27 W23GPIO26 AA26GPIO25 E24GPIO24 C26

STRAP_PROBE_MODE#Y21STRAP_SERDES_MODE_EN#W26STRAP_PLL_BYPASS#Y26STRAP_FAST_BRINGUP#Y22STRAP_RESERVED17#H24

PEX_PORT_GOOD1#C1PEX_PORT_GOOD2#Y4PEX_PORT_GOOD3#Y2

PEX_PORT_GOOD17#F1PEX_PORT_GOOD18#G3PEX_PORT_GOOD19#E1

PEX_PORT_GOOD21#Y25PEX_PORT_GOOD22#W24PEX_PORT_GOOD23#AA25

I2C_SCL1AC25I2C_SDA1AE25

STRAP_VS_MODE0H3

SHPC_INT#B3

PEX_NT_RESET#AD25

SV74SV74

RN5 4R 4.7KRN5 4R 4.7K1234 5

678

R59 4.7KR59 4.7K

TP8TP8

SV28SV28

SV41SV41

SV103SV103

SV62SV62

SV87SV87

SV2SV2

SW3

SW DIP-6

SW3

SW DIP-6

123456

121110987

SV54SV54

TP36TP36

D13 REDD13 RED2 1

C124 0.1uFC124 0.1uF

R75 10KR75 10K

SV8SV8

U12

MAX6658

U12

MAX6658

VCC1DXP 2

DXN 3

OVERT1#4GND 5

ALTER#6

SMBDATA7 SMBCLK8

TP9TP9

SV42SV42

SV104SV104

J4

4-P HDR

J4

4-P HDR

1234

SV29SV29

SV3SV3

TP42TP42

SV88SV88

SV11SV11

SV55SV55

SV9SV9

SV75SV75

TP10TP10

RN10 4R 4.7KRN10 4R 4.7K1234 5

678

TP37TP37D11 GREEND11 GREEN2 1

D12 GREEND12 GREEN2 1

SV30SV30

R76 150R76 150

RN4 4R 4.7KRN4 4R 4.7K1234 5

678

SV105SV105

SV63SV63

SV89SV89

SV4SV4

SV12SV12

TP2TP2

SV56SV56

R60 4.7KR60 4.7K

JP1

2X2 HDR

JP1

2X2 HDR

1234

SV76SV76

SV10SV10

R81 4.7KR81 4.7K

TP44TP44

SV31SV31

SV43SV43

SV106SV106

SV64SV64

SV90SV90

SV5SV5

TP32TP32

SV13SV13

C125 0.1uFC125 0.1uF

R72 150R72 150

R73 150R73 150

R69 1KR69 1K

SV57SV57

TP12TP12

SV77SV77

SV44SV44

SV107SV107

SV32SV32

TP28TP28

R87 1KR87 1K

SV65SV65

SV91SV91

SV6SV6

RN7 4R 4.7KRN7 4R 4.7K1234 5

678

TP33TP33

TP29TP29

SV58SV58

SV78SV78

C1722200pFC1722200pF

SW6

SW DIP-6

SW6

SW DIP-6

123456

121110987

SV45SV45

SV108SV108

SV7SV7

U11

NC7S14P5X

U11

NC7S14P5X

NC 1VCC5A 2

GND 3A#4

TP30TP30

SV14SV14

SV92SV92

R70 1KR70 1K

SV59SV59

TP38TP38

TP34TP34

R91 0R91 0

R64 4.7KR64 4.7K

TP31TP31SV66SV66

SV15SV15

SV60SV60

SV93SV93

SV79SV79

SV33SV33

RN8 4R 4.7KRN8 4R 4.7K1234 5

678

SV46SV46

R88 0R88 0

SV67SV67

JP8

HDR 5X2

JP8

HDR 5X2

1 23 45 67 89 10

D14 GREEND14 GREEN2 1

SV16SV16

R85

1K

R85

1K

SV80SV80

SV109SV109

SV111SV111

SV21SV21

SV47SV47

SV68SV68

SV34SV34

SV17SV17

U9

AT25256A

U9

AT25256ASCLK 6

CS# 1SI 5

SO 2HOLD#7 VCC8

WP#3GND4

R89 0R89 0

SV81SV81

R77 150R77 150

SV22SV22

TP41TP41

SV48SV48

R67 4.7KR67 4.7K

SV69SV69

SV18SV18

SV110SV110

SV35SV35

Page 28: ExpressLane PEX 8649-16U16D AIC RDK Hardware Reference … · 1. General Information The PLX PEX 8649-16U16D AIC RDK is a Rapid Development Kit based on the PEX 8649, a 48-lane, 12-port

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

1.0VCC 2.5VCC

1.0VCC

2.5VCC

Title

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

6 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

6 6Tuesday, October 06, 2009

www.plxtech.comTitle

Size Document Number Rev

Date: Sheet of

91-0121-000-A 2

PLX TECHNOLOGY, INC.870 W Maude Ave, Sunnyvale, CA 94085

Custom

6 6Tuesday, October 06, 2009

www.plxtech.com

PEX8649 POWER CONNECTIONS

PEX8649 AIC RDK

C150

0.033uF

C150

0.033uF

12

C137

0.1uF

C137

0.1uF

12

C164

10uF

C164

10uF

12

C151

0.001uF

C151

0.001uF

12

C140

10uF

C140

10uF

12

C166

10uF

C166

10uF

12

C127

0.01uF

C127

0.01uF

12

C141

10uF

C141

10uF

12

C128

0.01uF

C128

0.01uF

12

TP13TP13C139

10uF

C139

10uF

12

C146

0.01uF

C146

0.01uF

12

C153

0.1uF

C153

0.1uF

12 TP16TP16

C134

0.001uF

C134

0.001uF

12

C159

0.01uF

C159

0.01uF

12

C142

10uF

C142

10uF

12

C152

0.001uF

C152

0.001uF

12

TP15TP15

C126

0.01uF

C126

0.01uF

12 TP14TP14

C168

22uF

C168

22uF

12

PEX8649 (C)

U1C

PEX 8649

PEX8649 (C)

U1C

PEX 8649

VSSB4VSSB23VSSC5VSSC6VSSC7VSSC8VSSC9VSSC10VSSC11VSSC12VSSC13VSSC14VSSC15VSSC16VSSC17VSSC18VSSC19VSSC20VSSC21VSSC22VSSD4VSSD23VSSE4VSSE23VSSF4VSSF5VSSF6VSSF7VSSF8VSSF10VSSF11VSSF12VSSF13VSSF14VSSF15VSSF16VSSF17VSSF19VSSF20VSSF21VSSF22VSSF23VSSG8VSSG19VSSH1VSSH2VSSH4VSSH5VSSH6VSSH7

VSSL12VSSL14VSSL16VSSM11VSSM13VSSM15VSSN12VSSN14VSSN16VSSP11VSSP13VSSP15VSSR12VSSR14VSSR16VSST11VSST13VSST15VSSA1VSSA4VSSA23VSSA26

VD

D25

AK

12

VD

D25

H19

VD

D10

AG

9V

DD

10A

G10

VD

D10

AG

11V

DD

10A

G12

VD

D10

AG

13V

DD

10A

G14

VD

D10

AG

15V

DD

10A

G16

VD

D10

AG

17V

DD

10A

G18

VD

D10

AJ7

VD

D10

AJ1

1V

DD

10A

J13

VD

D10

AJ1

4V

DD

10A

J16

VD

D10

AJ2

0V

DD

10A

K7

VD

D10

AK

20V

DD

10A

L7V

DD

10A

L9V

DD

10A

L18

VSSH9VSSH10

VD

D25

J9V

DD

25J1

8V

DD

25K

10V

DD

25K

17V

DD

25U

10V

DD

25U

17V

DD

25V

8V

DD

25V

9

VD

D25

G7

VD

D25

G20

VD

D25

H8

VD

D10

AL2

0V

DD

10A

M7

VD

D10

AM

9V

DD

10A

M18

VD

D10

AM

20V

DD

10A

N7

VD

D10

AN

20V

DD

10A

P7

VD

D10

AP

9V

DD

10A

P18

VD

D10

AP

20V

DD

10A

R7

VD

D10

AR

10V

DD

10A

R17

VD

D10

AR

20V

DD

10A

T7V

DD

10A

T9V

DD

10A

T18

VD

D10

AT2

0V

DD

10A

U7

VD

D10

AU

20V

DD

10A

V11

VD

D10

AV

13V

DD

10A

V14

VD

D10

AV

16V

DD

10A

Y9

VD

D10

AY

10V

DD

10A

Y11

VD

D10

AY

12V

DD

10A

Y13

VD

D10

AY

14V

DD

10A

Y15

VD

D10

AY

16V

DD

10A

Y17

VD

D10

AY

18

VD

D10

L11

VD

D10

L13

VD

D10

L15

VD

D10

M12

VD

D10

M14

VD

D10

M16

VD

D10

N11

VD

D10

N13

VD

D10

N15

VD

D10

P12

VD

D10

P14

VD

D10

P16

VD

D10

R11

VD

D10

R13

VD

D10

R15

VD

D10

T12

VD

D10

T14

VD

D10

T16

VS

SH

11V

SS

H12

VS

SH

13V

SS

H14

VS

SH

15V

SS

H16

VS

SH

17V

SS

H18

VS

SH

20V

SS

H21

VS

SH

22V

SS

H23

VS

SH

25V

SS

H26

VS

SJ3

VS

SJ6

VS

SJ8

VS

SJ1

0V

SS

J12

VS

SJ1

5V

SS

J17

VS

SJ1

9V

SS

J21

VS

SJ2

4V

SS

K3

VS

SK

6V

SS

K8

VS

SK

9V

SS

K11

VS

SK

13V

SS

K14

VS

SK

16V

SS

K18

VS

SK

19V

SS

K21

VS

SK

24V

SS

L3V

SS

L6V

SS

L8V

SS

L10

VS

SL1

7V

SS

L19

VS

SL2

1V

SS

L24

VS

SM

3V

SS

M6

VS

SM

8V

SS

M10

VS

SM

17V

SS

M19

VS

SM

21V

SS

M24

VS

SN

3V

SS

N8

VS

SN

9V

SS

N18

VS

SN

19V

SS

N24

VS

SP

3V

SS

P6

VS

SP

8V

SS

P10

VS

SP

17V

SS

P19

VS

SP

21V

SS

P24

VS

SR

3V

SS

R6

VS

SR

8V

SS

R9

VS

SR

18V

SS

R19

VS

SR

21V

SS

R24

VS

ST3

VS

ST6

VS

ST8

VS

ST1

0V

SS

T17

VS

ST1

9V

SS

T21

VS

ST2

4V

SS

U3

VS

SU

6V

SS

U8

VS

SU

9V

SS

U11

VS

SU

13V

SS

U14

VS

SU

16V

SS

U18

VS

SU

19V

SS

U21

VS

SU

24V

SS

V1

VS

SV

2V

SS

V3

VS

SV

4V

SS

V5

VSS V26VSS V25VSS V24VSS V23VSS V22VSS V21VSS V20VSS V17VSS V15VSS V12VSS V10VSS V7VSS V6

VSS W15VSS W14VSS W13VSS W12VSS W11VSS W10VSS W9

VSS W16VSS W17VSS W18VSS Y8VSS Y19VSS AA4VSS AA5VSS AA6VSS AA7VSS AA8VSS AA10VSS AA11VSS AA12VSS AA13VSS AA14VSS AA15VSS AA16VSS AA17VSS AA19VSS AA20VSS AA21VSS AA22VSS AA23VSS AB4VSS AB23VSS AC4VSS AC23VSS AD5VSS AD6VSS AD7VSS AD8VSS AD9VSS AD10VSS AD11VSS AD12VSS AD13VSS AD14VSS AD15VSS AD16VSS AD17VSS AD18VSS AD19VSS AD20VSS AD21VSS AD22VSS AD23VSS AE4VSS AE23VSS AF1VSS AF4VSS AF23VSS AF26

VD

D25

V18

VD

D25

V19

VD

D25

W7

VD

D25

W8

VD

D25

W19

VD

D25

W20

VD

D25

Y7

VD

D25

Y20

VD

D25

AK

15V

DD

25A

N10

VD

D25

AN

17V

DD

25A

U12

VD

D25

AU

15

VS

SA

25

C157

10uF

C157

10uF

12

C129

0.022uF

C129

0.022uF

12

C144

0.01uF

C144

0.01uF

12

C130

0.022uF

C130

0.022uF

12

C155

0.1uF

C155

0.1uF

12

C167

10uF

C167

10uF

12

C145

0.01uF

C145

0.01uF

12

C131

0.033uF

C131

0.033uF

12

C156

0.1uF

C156

0.1uF

12

C169

22uF

C169

22uF

12

C165

10uF

C165

10uF

12

C143

0.01uF

C143

0.01uF

12

C132

0.033uF

C132

0.033uF

12

C158

10uF

C158

10uF

12

C170

22uF

C170

22uF

12

C147

0.022uF

C147

0.022uF

12

C133

0.001uF

C133

0.001uF

12

C138

0.1uF

C138

0.1uF

12

C154

0.1uF

C154

0.1uF

12

C148

0.022uF

C148

0.022uF

12

C171

22uF

C171

22uF

12

C135

0.1uF

C135

0.1uF

12

C149

0.033uF

C149

0.033uF

12

C136

0.1uF

C136

0.1uF

12