15
EUV patterning improvement toward high-volume manufacturing Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami imec P. Foubert, A-M. Goethals 2015 International Workshop on EUV Lithography P63

EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

EUV patterning improvement

toward high-volume manufacturing

Tokyo Electron Kyushu Ltd. / SPE process dept.

Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

imec

P. Foubert, A-M. Goethals

2015 International Workshop on EUV Lithography

P63

Page 2: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 2

Introduction

• Extreme ultraviolet lithography (EUVL) technology is a

promising candidate of semiconductor process for 18nm half

pitch and beyond.

It still requires fine resolution, uniform, smooth patterns and

low defectivity, not only after lithography but also after the

etch process.

• Tokyo Electron Limited and imec are continuously collaborating

to develop manufacturing quality POR processes to EUV with

CLEAN TRACKTM LITHIUS ProTMZ-EUV.

We evaluated defectivity at post lithography and post etch

process.

New rinse material and application compatible with sub 18nm

patterning is performed to prevent line pattern collapse on

several resist materials, because rinse material compatibility

with resist is concerned.

Page 3: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 3

39%

4% 22%

9%

1%

25%

underlayer/SI embeddedmicro bridge protrusionresidue collapse

EUVL 32nm L/S Defects after Litho

Embedded Defect reduction is the Key Challenge

Ta

rge

t D

efe

ct D

en

sity (

de

fects

/cm

2)

0.50

0.45

0.35

0.30

0.25

0.20

0.15

0.10

0.05

0.00

Resist-A,

Mar. 2012

Resist-A,

July 2012

Resist-A,

Jan. 2013

Resist-A,

Jan. 2014

0.70

0.18

0.12 0.09

Target Defect

Bridge Embedded

Yuhei Kuwahara/TEL – Philippe

Foubert

/imec et. al, SPIE 2014

25% Reduction

Coating related defects are still majority of the defectivity

Defect Budget SPIE2014

1. Coating related 74%

(UL, EM, MB, Prt)

2. Collapse 25%

24%

45%

15%

1% 12%

1%

underlayer/SI embeddedmicro bridge protrusionresidue collapse

Mar. 2012

Page 4: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 4

Baseline Defectivity Result ADI&AEI

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

4.5

5.0

Post litho (ADI) Post Etch (AEI)

No

rma

lize

d D

efe

cti

vit

y

Protrusion

Microbridge

Embedded

1.0

3.95

ADI&AEI Common Defect

AEI Specific Defect

AEI Defect Images

Micro Bridge Embedded

After etch inspection is much more sensitive, post etch defect

reduction need to be addressed.

Protrusion

Micro Bridge

Protrusion Protrusion

SoC/SoG/EUV Resist-B

Page 5: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 5

After Etch Defect Model Resist Film Defect

Under Layer Film Defect

Resist

SoG

SoC

Resist

SoG

SoC

Resist

SoG

SoC

Detectable at ADI

Un-detectable at ADI

Un-detectable at ADI

Coating related defect reduction is important to after etch defect

reduction

Page 6: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 6

0.00

0.10

0.20

0.30

0.40

0.50

0.60

0.70

0.80

0.90

1.00

Baseline FEF Baseline FEF

SiARC EUV-Resist

No

rmalized

Bla

nket

Defe

ct

Protrusion Dent Embedded

Coating Defect Reduction by New

Technologies at TEL in-house

Protrusion

Dent

Embedded

Defect Inspection : KLA2900 (KLA-Tencor)

ACI defects

81%

New Function can reduce blanket coating defect for several

materials

71%

Before verification of pattern defect , executed preliminary test

for coating defect reduction by new dispense system.

EUV Resist-C

New New

Page 7: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 7

Evaluation of AEI Defect Reduction

New New New

Resist-B

SoG

SoC

SoC SoG Resist-B

Coating scheme

Etch

Defect Inspection

KLA2835

Defect Inspection

KLA2835

NXE3100

L/S HP32nm

Baseline Baseline Baseline

Root cause of AEI defects are investigated by using coating defect

reduction technologies.

ADI AEI

Experiment Flow

Page 8: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 8

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

4.5

baseline New baseline New

post litho post etch

No

rma

lize

d D

efe

ct

De

ns

ity

Embedded Microbridge Particle Protrusion

AEI Defect Reduction Result

59% 1.0

0.41 0.60

Defectivity is drastically improved by New Function, AEI defectivity is

sensitive with coating defect.

Micro bridge and Embedded defect reduction is main contributor.

85%

3.95

Micro Bridge

Total 97%

Reduction

Total 84%

Reduction

AEI Defect Images

Embedded

Page 9: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 9

Pattern Collapse Mitigation

θ

W

h

D

r

σ σ

θ

W

h

D

r

σ σ σ=6γcosθ/D×(h/W)2

σ;The maximum stress which works to pattern

γ;Surface tension of rinse θ;Contact angle

h;Height of pattern D;Space of pattern

W;Width of pattern h/W;Aspect ratio

N. Namatsu et. al., Appl.. Phys. Lett. 66(20), pp.2655-2657, (1995)

39%

4% 22%

9%

1%

25%

underlayer/SI embeddedmicro bridge protrusionresidue collapse

Defect Budget SPIE2014

Approach to tackle this challenge

− Surface tension reduction by introducing a New ‘FIRMTM Material’

Check the resist compatibility below 20nm pattern

18nm Line& Space Pattern Collapse

18nm Line& Space Pattern Melt

Page 10: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 10

FIRMTM Rinse Material Evaluation

20nmHP of Resist-B

smallest

CD [nm]

⊿CD from DIW

[nm]

LWR

[nm]

DIW 17.6 - 7.1

ExtremeTM10 16.8 1.0 6.8

ExtremeTM A 15.2 -0.2 7.2

DIW Rinse ExtremeTM10 ExtremeTM A

Process

window

Available:20 Available:15 Available: 21

12

14

16

18

20

22

24

13 15 17 19 21 23 25

CD

[n

m]

Dose [mJ/cm^2]

DIW Ex-10 Ex-A

ExtremeTM A

DIW ExtremeTM 10

No collapses

Unavailable pattern

(collapse, no resolution, melt)

Dose

Focus

※ExtremeTM is a registered

trademark of AZ Electronic

Materials.

ExtremeTM A has

− best smallest CD without pattern collapse.

− Smaller CD change from DIW process than ExtremeTM 10

Page 11: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 11

FIRMTM Rinse Material Resist

Compatibility below 20nm Pattern

Half pitch /

Resist

DIW ExtremeTM10 ExtremeTM A

HP 18nm /

Resist -D

HP 17nm /

Resist-E

No collapses

Unavailable pattern

(collapse, no resolution, melt)

smallest CD [nm]

⊿CD [nm]

LWR

[nm]

HP 18nm /

Resist-D

DIW 16.7 - 6.9

ExtremeTM10 16.0 1.7 6.4

ExtremeTM A 14.9 0.4 6.9

HP 17nm /

Resist-E

DIW 16.2 - 8.5

ExtremeTM10 17.6 1.2 8.0

ExtremeTM A 15.2 0.1 8.2

Available:27 Available:16 Available: 27

Available:9 Available:8 Available: 8

Dose

Focus

Dose

Focus

ExtremeTM A shows pattern

collapse mitigation for

− Below 20nm pattern

− Several resist materials

Page 12: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 12

DIW Rinse ExtremeTM10 ExtremeTMA

18nm HP Process Window and

X-section SEM with Resist-E

CD±5% DIW Rinse ExtremeTM10 ExtremeTMA

Max EL 4.3% 8.9% 6.9%

Max DoF 80nm 80nm 280nm

Dose to Size 36.3(mJ/cm2) 38.3(mJ/cm2) 36.2(mJ/cm2)

0

1

2

3

4

5

6

7

8

9

10

0.00 0.10 0.20 0.30

Ex

po

su

re L

ati

tud

e(%

)

Depth of Focus(um)

DIW Rinse Extreme-10 Extreme-A

18nm HP Process Window

18nm HP X-section

ExtremeTM A achieved

− greater process window than Extreme10

− no significant impact to pattern profile and thickness

Height:25-31nm Height:24-29nm Height:21-28nm

Page 13: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 13

60%

100%

20% 80% 100% 100% 60%

60%

60% 80% 100% 0% 100% 100% 40%

0%

80% 100% 0% 100% 100%

0%

100% 100% 0% 80% 100%

100%

100% 80% 100% 100% 100% 100% 100%

100%

80% 100% 100% 100% 100% 100% 100%

100%

60% 100% 80% 100% 100% 100% 100% 100% 80% 100% 100% 100% 100% 100% 100% 100% 100% 80% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 80% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 0%

100%

100% 100% 100% 100% 100% 100% 100%

80%

100% 100% 100% 100% 100%

100%

80%

100% 0%

100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100% 100% 100%

100%

100% 100% 100% 100% 100%

100%

100%

Collapse

Total 532 images/wafer

FIRM ExtremeTM10 FIRM ExtremeTMA

Standing Ratio

Ave. CD 16.4nm

3sigma:1.6nm Ave. CD 16.6nm

3sigma:0.7nm

Pattern collapse is completely mitigated by FIRM ExtremeTMA on 16nm

line pattern.

100% 88%

L16nm P36nm with ExtremeTMA and Resist-E

Page 14: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 14

Conclusion

Defect reduction is key for the EUV manufacturing, especially

post etch defectivity.

Post Etch defectivity is reduced 85% by using New Function.

Pattern collapse is one of the critical issue of the EUV

lithography.

FIRM ExtremeTMA has demonstrated greater pattern collapse

mitigation and process window enhancement on even below

20nm HP pattern.

In addition, FIRM ExtremeTMA has great compatibility with

several imec POR materials.

Page 15: EUV patterning improvement toward high-volume manufacturingADI AEI Experiment Flow P63, 2015 International Workshop on EUV Lithography Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE

P63, 2015 International Workshop on EUV Lithography

Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 15

• The authors would like to extend their appreciation to

– Tokyo Electron Kyushu Ltd. SPE Process Technology department

– Tokyo Electron Europe Ltd. service team

– Tokyo Electron Miyagi Ltd. Product engineering

Acknowledgement