Upload
phamkiet
View
230
Download
2
Embed Size (px)
Citation preview
2017 International Workshop on EUV Lithography , Berkeley, CA, June 15th, 2017
EUV Lithography : Progress in LPP
Source Power Scaling and Availability
Igor Fomenkov
ASML Fellow
• Background and History
• EUV Imaging
• EUV Principles of Generation
• EUV Source: Architecture
• EUV Sources in the Field
• Performance Outlook
• Summary
Outline
EUVL 2017
Public
Slide 2
Background and History
EUVL 2017
Slide 3
Public
NAkCD
1
NA = n sina k1
theoretical limit (air):
NA=1
practical limit:
NA=0.9
theoretical limit (immersion):
NA ≈ n (~1.7)
k1 is process parameter
traditionally: >0.75
typically: 0.3 – 0.4
theoretical limit: 0.25
KrF-Laser : 248nm
ArF-Laser: 193 nm
ArF-Laser (i): 193 nm
EUV sources: 13.5 nm
Critical Dimension
Why EUV? - Resolution in Optical Lithography
EUVL 2017
Public
Slide 4
EUVL 2017
Slide 5
Public
EUV development has progressed over 30 years from NGL to HVM insertion
’84
1st lithography
(LLNL, Bell Labs,
Japan)
USA
40 nm hp
70 nm
L&S
Japan
80 nm
160 nm
NL
28 nm Lines and spaces
USA
5 mm
ASML starts
EUVL research
program
ASML ships 1st
pre-production NA
0.25 system
NXE:3100
ASML ships 1st
NA 0.33 system
NXE:3300B
NL
13 nm L/S
ASML ships 1st
HVM NA0.33 system
NXE:3400B
NL
7 nm and 5 nm
node structures
’85 ’86 ’87 ’88 ’89 ’90 ’91 ’92 ’93 ’94 ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 ’09 ’10 ’11 ’12 ‘13 ’14 ’15 ’16 ’17 ’18
NL
19 nm Lines and spaces
ASML ships
2 alpha demo tools:
IMEC (Belgium) and
CNSE (USA)
USA NL NL NL
0
5
10
15
20
25
30
35
40
45
50
2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017
CD
(n
m)
EUV resist: 4x resolution improvement in ten years 12nm half pitch resolved with non-CAR resist on 0.33 NA EUV
ADT, NXE:3100, NXE:33x0, NXE:3400B as measured by ASML/ IMEC,
Exposure Latitude > 10% and / or Line Width Roughness < 20%, Dose ≤ 35mJ/cm2
ADT NXE:3100 NXE:33x0 NXE:3400B
Non-CAR resist
Half Pitch: 12nm
Slide 6
EUVL 2017
Public
55 WPH 125 WPH
185 WPH
145 WPH
NXE:3300B
NXE:3350B
NXE:next
High-NA
EUVL 2017
Slide 7
Public
EUV roadmap
Supporting customer roadmaps well into the next decade
NXE:3400B
Products under study
2015
2013
introduction
2017
Overlay & Focus upgrade
0.33 NA family:
upgrades
possible to
optimize capital
efficiency
High-NA EUV targets <8nm resolution Relative improvement:5X over ArFi, 40% over 0.33 NA EUV
2005 2015 1995 2010 1990 0.01
0.10
1.00
2020 2000
Year of introduction
i-Line 365 nm
KrF 248 nm
ArF 193 nm
ArFi 193 nm
Major technology step
(e.g. source, mirror)
EUV 0.33 EUV >0.5
EUV 0.25
EUV 13.5 nm
Engineering optimization of numerical
aperture resulting in a resolution step
comparable to historical wavelength
transitions
Slide 8
EUVL 2017
Public
EUV Imaging – NXE:3400B
EUVL 2017
Slide 9
Public
Overlay
Imaging/Focus
Productivity
NXE:3400B: 13 nm resolution at full productivity Supporting 5 nm logic, <15nm DRAM requirements
Resolution 13 nm
Full wafer CDU < 1.1 nm
DCO < 1.4 nm
MMO < 2.0 nm
Focus control < 60 nm
Productivity ≥ 125 WPH
Overlay set up
Set-up and modeling
improvements
Reticle Stage
Improved clamp flatness
for focus and overlay Projection Optics
Continuously Improved
aberration performance
New Flex-illuminator
Sigma 1.0 outer sigma,
reduced PFR (0.20)
Leveling (Optional)
Next generation UV LS
reduced process dependency Wafer Stage
Flatter clamps, improved
dynamics and stability
SMASH-X prepared
Metro frame prepared
for Smash-x
Slide 10
EUVL 2017
Public
0
200,000
400,000
600,000
800,000
1,000,000
1,200,000
20
14
05
20
14
11
20
14
17
20
14
23
20
14
29
20
14
35
20
14
41
20
14
47
20
15
01
20
15
07
20
15
13
20
15
19
20
15
25
20
15
31
20
15
37
20
15
43
20
15
49
20
16
02
20
16
08
20
16
14
20
16
20
20
16
26
20
16
32
20
16
38
20
16
44
20
16
50
20
17
04
20
17
10
20
17
16
To
tal n
um
be
r o
f w
afe
rs e
xp
osed
Week
EUVL 2017
Slide 11
Public
>1M wafers exposed on NXE:33x0B at customer sites Currently 14 systems running in the field. First system was shipped Q1 2013
>1 Million EUV Wafers at Customers
EUVL 2017
Slide 12
Public
Significant progress in system availability is recognized
by our customers
Source: Intel,TSMC & SK hynix presentations
at EUVL Symposium 2016, and SPIE 2017.
Slide 13
13nm LS and 16nm IS: full-wafer CDU 0.3 nm meets 5 nm logic requirements, with excellent process windows
13
nm
LS
le
afs
ha
pe d
ipo
le
16
nm
IS
s
ma
ll-c
on
ve
nti
on
al
(s_
ou
t =
0.5
)
non-CAR resist
CAR resist
EUVL 2017
Public
NXE:3400B illuminator: increased pupil flexibility at full throughput
Field Facet Mirror
Pupil Facet Mirror Intermediate
Focus
EUVL 2017
Public
Slide 14
New flex illuminator on NXE:3400B 13nm resolution without light loss at 20% pupil fill ratio
First illuminators qualified and
currently being integrated in a system
The animation shows the 22 standard
illumination settings. They are measured in the
illuminator work center, using visible light and a
camera on top of the illuminator
EUVL 2017
Public
Slide 15
Slide 16
Two-fold approach to eliminate reticle front-side defects
EUVL 2017
Public
1. Clean scanner
Reflected
illumination
EUV Reticle (13.5nm)
Reticle
2. EUV pellicle
particle
Pellicle
Without Pellicle With Pellicle
Slide 17
Pellicle film produced without defects that print
EUVL 2017
Public
Improvement from Q3 2016 to now
# o
f defe
cts
Defect size in um
Zero defects
450
400
350
300
250
200
150
100
50
0
> 40
> 25-40
> 10-25
EUVL 2017
Slide 18
Public
ASML pellicle confirmed for use in NXE:3400B to at least 140W
Y-nozzle cooling can extend pellicle to >205W
NXE:3400B @ 140W
Power ramp in 4 steps: 95W, 115W, 125W, 140W
22nm PRP-i reticle with pellicle
0
20
40
60
80
100
120
140
160
0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000
So
urc
e p
ow
er
@ i
f (
W)
Wafer number
Pellicle removed from
scanner for analysis
Slide 19
DGL membrane as spectral filter located at Dynamic Gas Lock (DGL)
suppresses DUV and IR, plus removes outgassing risk to POB EUVL 2017
Public
Effective DUV and IR suppression DGL membrane (~ 50 x 25 mm)
>100x DUV suppression
>4x IR suppression
EUV: Principles of Generation
EUVL 2017
Slide 20
Public
Laser Produced Plasma Density and Temperature Nishihara et al. (2008)
EUV
LPP
Ion density ~ 1017 – 1018 #/cm3
Temperature ~ 30 -100 eV
Slide 21
EUVL 2017
Public
Fundamentals: EUV Generation in LPP Laser produced plasma (LPP) as an EUV emitter
Properties determined by ratio of plasma-
to target size, laser pulse energy and
droplet diameter
Focused
Laser radiation
Tin droplet
Evaporation
Dense hot
Plasma EUV radiation
Slide 22
EUVL 2017
Public
Plasma simulation capabilities Main-pulse modeling using HYDRA
Main-pulse
shape
1D simulations are fast and useful
for problems that require rapid
feedback and less accuracy
3D:
+ real asymmetric
profile
2D and 3D
simulations are run
for the full duration
of the Main pulse.
Results include
temperature,
electron density,
spectral emission,
etc.
Target
Sn target using a real
irradiance distribution
1D:
real pulse shape
2D:
+ symmeterized
beam profile
Electron density (top half) with laser light (bottom half)
500μm 500μm 500μm
500
μm
Po
we
r (a
rb. U
nits)
Time
EUVL 2017
Public
Slide 23
Simulation of the EUV source
The plasma code’s outputs
were processed to produce
synthetic source data. The
comparison to experiments
helps to validate the code and
understand it’s accuracy.
Measured Shadowgrams
Simulated Shadowgrams
Simulated EUV spectra
Emission and debris anisotropy
Collector rim
Reflected laser modeling C
onve
rsio
n E
ffic
iency (
%)
Target Diameter (µm)
Flu
en
ce (
J/c
m2
/S/m
m)
Wavelength (nm)
Simulation
Conversion Efficiency
Slide 24
EUVL 2017
Public
EUV Source: Architecture
and Operation Principles
EUVL 2017
Slide 25
Public
• Key factors for high source power are: High input CO2 laser power
High conversion efficiency (CO2 to EUV energy)
High collection efficiency (reflectivity and lifetime)
Advanced controls to minimize dose overhead
Vessel
With Collector, Droplet
Generator and Metrology
Focusing Optics
Ele
ctr
on
ics
Ele
ctr
on
ics
Controllers for Dose
and Pre-pulse
* ∝ EUV power
(source/scanner interface, [W]) CO2 power [W]
Conversion
Efficiency [%] 1 – Dose
Overhead [%] *
Pre-Pulse Is
ola
tor
Pre Amp PA Seed
op
tics
op
tics
PA op
tics
op
tics
PA op
tics
Power Amplifier
Beam Transport System Fab Floor
Sub-Fab Floor Master Oscillator
PA op
tics
Pre-pulse
requires seed
laser trigger
control
EUVL 2017
Slide 26
Public
LPP: Master Oscillator Power Amplifier (MOPA)
Pre-Pulse Source Architecture
EUVL 2017
Slide 27
Public
Droplet Generator: Principle of Operation
• Tin is loaded in a vessel & heated above melting point
• Pressure applied by an inert gas
• Tin flows through a filter prior to the nozzle
• Tin jet is modulated by mechanical vibrations
Nozzle
Filter
Modulator Gas
Sn
0 5 10 15 20 25 30-10
-5
0
5
10
Dro
ple
t p
ositio
n,
mm
Time, sec
140 mm 50 mm 30 mm Pressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s
Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s
Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s
Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s
Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in
frequency modulation regime; the image on the right – with a simple sine wave signal. The images
were taken at 300 mm distance from the nozzle.
Short term droplet position stability σ~1mm
16 mm
NXE:3xx0 EUV Source: Main modules Populated vacuum vessel with tin droplet generator and collector
Increasing
Droplet Generator
Pressure
Droplet Spacing at 80 kHz
Slide 28
EUVL 2017
Public
EUVL 2017
Slide 29
Public
EUV Source: MOPA + Pre-Pulse
Pre-pulse transforms tin droplet into
“pancake/mist” that matches
CO2 main pulse beam profile
MOPA = Master Oscillator Power Amplifier
PP = Pre-Pulse
MP = Main Pulse
CO2 pre-pulse beam , Droplet (<30um) CO2 beam
Pre-pulse
CO2 beam
Main pulse CO2 main pulse beam ,
Pancake/mist matched to main pulse
>5% conversion efficiency achieved
PP & MP Laser
Droplet stream
~80 m/s
Dropletz (mm)
EUVL 2017
Slide 30
Public
Collector Protection by Hydrogen Flow
Sn droplet / plasma
H2 flow
Reaction of H radicals with Sn to form SnH4, which can be pumped away. Sn (s) + 4H (g) SnH4 (g)
• Hydrogen buffer gas (pressure
~100Pa) causes deceleration of ions
• Hydrogen flow away from collector
reduces atomic tin deposition rate
Laser beam
IF
Sn catcher
DG
EUV collector Temperature controlled
• Vessel with vacuum pumping to
remove hot gas and tin vapor
• Internal hardware to collect micro
particles
EUVL 2017
Slide 31
Public
EUV Collector: Normal Incidence
• Ellipsoidal design
• Plasma at first focus
• Power delivered to exposure tool at second focus (intermediate focus)
• Wavelength matching across the entire collection area
Normal Incidence Graded Multilayer Coated Collector
Slide 32
Public
Productivity increases via source availability Secured EUV power is matched with increasing availability
Productivity = Throughput(EUV Power) Availability
Source power
from 10 W to > 250 W
Drive laser power from 20 to 40 kW
Conversion efficiency (CE) from 2 to 6% (Sn droplet)
Dose overhead from 50 to 10%
Optical transmission
Source availability
Drive laser reliability
Droplet generator reliability & lifetime
Automation
Collector protection
EUVL 2017
EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)
Raw EUV power
EUV Sources in the Field
EUVL 2017
Slide 33
Public
EUVL 2017
Slide 34
Public
Productivity targets for HVM Source contribution to productivity
Conversion
efficiency
Dose
margin
Drive laser
power
Laser to
droplet
control
Optical
transmission
Overhead
optimization
Exposure
dose
Stage accuracy
at high speed
Automation
Collector
maintenance
Droplet
generator
maintenance
Drive laser
reliability
>1500 WPD
in 2016
Productivity
0
20
40
60
80
100
120
140
2014 2015 2016 2017target
2018target
Thro
ugh
pu
t [W
PH
] at
20
mJ/
cm2
Productivity roadmap towards >125 WPH in place Slide 35
Public
Source power increase Source power increase
Transmission improvement
Faster
wafer swap
resulting in
~8 wph gain
Transmission
improvement
Source power scaling
continues to support
productivity roadmap
Slide 35
EUVL 2017
Public
2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180
25
50
75
100
125
150
175
200
225
250
3100 NOMO (shipped)
3100 MOPA (research)
3100 MOPA+PP (research)
3300 MOPA+PP (shipped)
3400 MOPA+PP (research)
3400 MOPA+PP (Shipping 2017)
Do
se c
on
tro
lled
EU
V p
ow
er
(W)
Year
>205W is now demonstrated,
shipping planned end of 2017
Progress for 2017: >205W demonstrated
∝ EUV power
(source/scanner interface, [W]) CO2 power [W]
Conversion
Efficiency [%] * 1 – Dose
Overhead [%] *
Increase average and peak laser power
Enhanced isolation technology
Advanced target formation technology
Improved dose-control technique
EUVL 2017
Public
Slide 36
EUVL 2017
Slide 37
Public
Source power: 250W demonstrated
10x improvement in five years
250W with dose in specifications
obtained on development source
EUVL 2017
Slide 38
Public
Power Amplifier Chain Increases CO2 Power Good beam quality for gain extraction and EUV generation
Key technologies: 1. Drive laser with higher power capacity 2. Gain distribution inside amplification chain 3. Mode-matching during beam propagation 4. Isolation between amplifiers 5. Metrology, control, and automation
0
5000
10000
15000
20000
25000
30000
35000
40000
0 50 100 150 200 250 300 350
PA
s o
utp
ut
(W)
Seed power (W)
Power Amplifiers
PA1
PA2
PA3
2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180
25
50
75
100
125
150
175
200
225
250
3100 NOMO (shipped)
3100 MOPA (research)
3100 MOPA+PP (research)
3300 MOPA+PP (shipped)
3400 MOPA+PP (research)
3400 MOPA+PP (Shipping 2017)
Do
se
co
ntr
oll
ed
EU
V p
ow
er
(W)
Year
0
0.5
1
1.5
2
2.5
Laser Gain (ratio to 3300 source)
3400
laser gain 3300
laser gain
MOPA + Pre-pulse
Seed System with high
power pre-amplification
Scaling laser power requires laser isolation advances
From NXE:3300 to NXE:3400, enhanced isolation gives stable >2x increase laser gain
Unstable laser onset without enhanced isolation
MOPA + Pre-pulse
Seed System
with pre-amplification
Beam Transport &
Final Focus
Vessel NXE:3300B Drive Laser
4-stage power amplification Improved thermal
management
NXE:3400 Drive Laser
High-power 4-stage power amplification
+Enhanced
isolation
EUVL 2017
Public
Slide 39
0
1
2
3
4
5
6
7
Conversion Efficiency vs target type
Convers
ion e
ffic
iency (
%)
2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180
1
2
3
4
5
6
3100 NOMO (shipped)
3100 MOPA (research)
3100 MOPA+PP (research)
3300 MOPA+PP (shipped)
3400 MOPA+PP (research)
3400 MOPA+PP (Shipping 2017)
Co
nvers
ion E
ffic
iency (
%)
Year
Introduction
of prepulse
Advanced target
formation with
enhanced
isolation
Enhanced isolation leads to >205W EUV power via advanced target formation for high CE
EUVL 2017
Public
Slide 40
Open Loop Performance >30% With enhanced
isolation
Before enhanced
isolation
Reduced
Sigma
3.9mJ 5.1mJ
Benefits of enhanced isolation:
• Higher, stable CO2 laser power lower dose overhead
• High conversion efficiency operation higher pulse energy
Dose
ove
rhe
ad
requ
ire
d
to m
ee
t d
ose
sp
ec
Without
enhanced
isolation
30%
20%
10% <10.5%
~30%
With
enhanced
isolation
His
togra
m
His
togra
m
Enhanced isolation improves EUV performance
EUVL 2017
Public
Slide 41
Comparing two dose-control techniques at 210W: higher in-spec power with improved dose-control technique
0 500 1000 1500 2000 2500 3000 3500 40000
2
4
Time [s]
Dose E
rror
[%]
0 500 1000 1500 2000 2500 3000 3500 4000200
210
220
Time [s]
Pow
er
(M
ean)
[W]
0 200 400 600 800 1000 1200200
210
220
Time [s]
Pow
er
(M
ean)
[W]
0 200 400 600 800 1000 12000
2
4
Time [s]
Dose E
rror
[%]
Previous dose-control technique Improved dose-control technique
One Hour In Spec
209W, 61.6% die yield 210W in-spec
On the same EUV source, back-to-back performance comparing
previous and improved dose-control techniques demonstrates
higher in-spec power can be delivered with reduced overhead
EUVL 2017
Public
Slide 42
EUVL 2017
Slide 43
Public
Productivity targets for HVM Source contribution to productivity
Conversion
efficiency
Dose
margin
Drive laser
power
Laser to
droplet
control
Optical
transmission
Overhead
optimization
Exposure
dose
Stage accuracy
at high speed
Automation
Collector
maintenance
Droplet
generator
maintenance
Drive laser
reliability
>1500 WPD
in 2016
Productivity
EUVL 2017
Slide 44
Public
Hydrogen gas central to tin management strategy
Hydrogen performs well
for all these tasks!
Requirements for buffer gas:
Stopping fast ions (with high
EUV transparency)
Heat transport
Robust against morphology
Sn etching capability
High heat capacity High thermal conductivity
High EUV transparency
EUVL 2017
Slide 45
Public
Primary debris
Primary debris – directly from plasma and before
collision with any surface:
Heat and momentum transfer into surrounding gas
o Kinetic energy and momentum of stopped ions
o Absorbed plasma radiation
Sn flux onto collector
o Diffusion of stopped ions
o Sn vapor
o Sn micro-particles
Sn
Sn
Sn vapor (diffusion debris)
Sn particles
Sn+ Fast Sn ions (line of sight debris)
Main
Pre
Droplets
EUVL 2017
Slide 46
Public
3D measurement of fast tin ion distributions Faraday cups measure tin ion distributions
LASER
Ion measurements inform H2 flow requirements for source
Target direction
Laser direction
FC direction
Faraday cup
Red = more Blue = less
EUVL 2017
Slide 47
Public
Microparticle debris from plasma Dark-field scattergraph imaging
dropletz (mm)
dropletx (mm
) and/or droplety (2 mm
)
2025
3035
242628303234363840
droplets
MP+PP
0
0.2
0.4
0.6
0.8
1
1.2
Fraction of pulses without microparticle debris
Research 3100
EUVL 2017
Slide 48
Public
Plasma-generated self-cleaning
Elemental hydrogen (H*) reacts with tin (Sn) to form Stannane (SnH4) which is gaseous and is pumped out of the vessel. Sn (s) + 4H (g) SnH4 (g)
EUVL 2017
Slide 49
Public
Collector Lifetime Continues to Improve >100 Gpulse to 50% EUVR
Collector reflectivity loss over time reduced to <0.4%/Gp
15' Q3 15' Q4 16' Q1 16' Q2 16' Q3 16' Q40
100
200
300
400
500
600
700
800
1st DGen (Field Avg)
2nd DGen (Field Avg)
3rd DGen (Field Avg)
Dro
ple
t G
ene
rato
r R
un
tim
e (
hou
rs)
0
700
1400
2100
2800
3rd DGen (Field Best)
3rd
DG
en
(F
ield
Be
st)
Third generation Droplet Generators: average lifetime increased
from 700hrs in 16Q4 to >800hrs 17Q1
15' Q3 15' Q4 16' Q1 16' Q2 16' Q3 16' Q40
100
200
300
400
500
600
700
800
1st Gen DG (Field Avg)
2nd Gen DG (Field Avg)
3rd Gen DG (Field Avg)
Dro
ple
t G
enera
tor
Runtim
e (
hours
)
0
700
1400
2100
2800
3rd Gen DG (Field Best)
3rd
Gen D
G (
Fie
ld B
est)
2700 hrs droplet
generator runtime
demonstrated in the field
Average lifetime and
maintenance time
improved by factor ~4
3rd generation Droplet generator: long runtime and high reliability Restart capability Factory qualification Tin refill capability
Enhanced particle elimination
15' Q3 15' Q4 16' Q1 16' Q2 16' Q3 16' Q40
2
4
6
8
10
12
14
16
18
20
22
24
26
Avg
Main
ten
an
ce T
ime
/We
ek (
ho
urs
)
>70% reduction in
average
maintenance time
EUVL 2017
Public
Slide 50
Feb’17: NXE scanner above 100 wafers per hour NXE:3400B at 148W, 104 WPH
Th
rou
gh
pu
t [W
afe
rs p
er
ho
ur]
NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2
110
100
90
80
70
60
50
40
30
20
10
0 2014 Q1
2014 Q2
2014 Q3
2014 Q4
2015 Q3
2015 Q4
2016 Q2
2016 Q4
2017 Q1
NXE:3300B at customers
NXE:3350B ASML factory
NXE:3350B at customers
NXE:3400B ASML factory
Slide 51
EUVL 2017
Public
EUV Source Power Outlook
EUVL 2017
Public
Slide 52
EUVL 2017
Slide 53
Public
250W EUV power demonstration with 99.90% fields meeting dose spec
EUVL 2017
Slide 54
Public
Collector protection secured up to 250 W Collector protection demonstrated on research tool
0
1
2
3
4
5
6
7
8
9
EU
V a
t IF
(m
J)
Main pulse peak power at plasma (MW)
EUVL 2017
Slide 55
Public
Research progress toward 400W EUV source Demonstrated EUV pulse energy of 7.5mJ
Short burst EUV
demonstration
on research
platform
400W research platform
Average of 800
sequential bursts
3400 EUV
source
discussed in this
presentation
375W in-burst at 50kHz Clear path to 400W identified
Slide 56
Public
Summary: EUV readiness for volume manufacturing 14 NXE:33X0B systems operational at customers
Significant progress in EUV power scaling for HVM
- Dose-controlled power of 250W
- EUV CE of 5.7%
CO2 development supports EUV power scaling
- Clean (spatial and temporal) amplification of short CO2 laser pulse
- High power seed system enables CO2 laser power scaling
Droplet Generator with improved lifetime and reliability
- >700 hour average runtime in the field
- >3X reduction of maintenance time
Path towards 400W EUV demonstrated in research
- CE is up to 6 %
- In-burst EUV power is up to 375W
EUVL 2017
Acknowledgements: Slide 57
Public
Alex Schafgans, Slava Rokitski, Michael Kats, Jayson Stewart
Andrew LaForge, Alex Ershov, Michael Purvis, Yezheng Tao, Mike Vargas, Jonathan Grava
Palash Das, Lukasz Urbanski, Rob Rafac Joshua Lukens, Chirag Rajyaguru
Georgiy Vaschenko, Mathew Abraham, David Brandt, Daniel Brown
Cymer LLC, an ASML company, 17075 Thornmint Ct. San Diego, CA 92127-2413, USA
Mark van de Kerkhof, Jan van Schoot, Rudy Peeters, Leon Levasier, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur
Minnaert, Martijn van Noordenburg, Joerg Mallmann, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian
Wagner, Carmen Zoldesi, Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger
Huang, Roderik van Es, Marcel Beckers, Niclas Mika, Hans Meiling, Jos Benschop and many others.
ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands
EUVL 2017
Acknowledgements: Slide 58
Public
EUVL 2017