Electronica Digital Problemas de Circuitos y Sistemas Digitales

Embed Size (px)

Citation preview

ffd8ffe000104a46494600010201009600960000ffe20c584943435f50524f46494c45 00010100000c484c696e6f021000006d6e74725247422058595a2007ce0002000900 0600310000616373704d53465400000000494543207352474200000000000000000 00000000000f6d6000100000000d32d4850202000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000011 63707274000001500000003364657363000001840000006c77747074000001f00000 0014626b707400000204000000147258595a00000218000000146758595a0000022 c000000146258595a0000024000000014646d6e640000025400000070646d646400 0002c400000088767565640000034c0000008676696577000003d4000000246c756d 69000003f8000000146d6561730000040c0000002474656368000004300000000c72 5452430000043c0000080c675452430000043c0000080c625452430000043c000008 0c7465787400000000436f70797269676874202863292031393938204865776c6574 742d5061636b61726420436f6d70616e790000646573630000000000000012735247 422049454336313936362d322e31000000000000000000000012735247422049454 336313936362d322e31000000000000000000000000000000000000000000000000 000000C-

q7

PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

Carmen Baena Oliva Manuel Jess Bellido Daz Alberto Jess Molina Cantero Mara del Pilar Parra Fernndez Manuel Valencia Barrero

Departamento de Tecnologa Electrnica Universidad de Sevilla

McGraw-HillMADRD BUENOS ARES CARACAS GUATEMALA LSBOA MXCO NUEVA YORK PANAM SAN JUAN SANTAF DE BOGOT SANTAGO SO PAULO AUCKLAND HAMBURGO LONDRES MLN MONTREAL NUEVA DELH PARS SAN FRANCSCOSDNEYSNGAPURST. LOUSTOKOTORONTO

TABLA DE CONTENDOS

PRLOGO 1. REPRESENTACN Y CODFCACN BNARA 19 35 51 1

vi

1LGEBRA Y FUNCONES DE CONMUTACN 2ANLSS DE CRCUTOS COMBNACONALES 3DSEO DE CRCUTOS COMBNACONALES 4SUBSSTEMAS COMBNACONALES 89 141 5CRCUTOS ARTMTCOS 6ANLSS DE CRCUTOS SECUENCALES 7DSEO DE CRCUTOS SECUENCALES197 8SUBSSTEMAS SECUENCALES 229 10 . 11 . 12 .

169

MEMORAS SEMCONDUCTORAS 263 NTRODUCCN A LOS SSTEMAS DGTALES DSEO DE UNDADES DE CONTROL 325

291

13 MSCELNEA 359 BBLOGRAFA 391

PRLOGO

v

Este ejemplar es un libro de problemas resueltos en el campo del Diseo Lgico . Como tal libro de problemas ha sido concebido con la finalidad de ensear cmo se aplican los conceptos y herramientas a casos concretos . Esto significa que nuestra atencin no se centra en el desarrollo de la doctrina terica, sino en tratar de explicar cmo interpretar enunciados de problemas ms o menos bien especificados y, empleando los conocimientos tericos adquiridos por otras vas, resolver ese problema en particular y no otro . Como se ve, nuestros objetivos primarios son potenciar las capacidades de aplicacin de la teora y la de resolucin prctica de problemas. En cuanto a la disciplina, el trmino Diseo Lgico alude a materias tan bien conocidas como son los Circuitos y Sistemas Digitales o la Teora de Conmutacin . En ella se incluyen: 1) los fundamentos matemticos usuales (lgebra de Boole, representaciones binarias de nmeros y su aritmtica, codificacin binaria) ; 2) la presentacin, anlisis y diseo de circuitos a nivel de conmutacin, tanto combinacionales como secuenciales ; y 3) la descripcin y reali-

zacin de sistemas digitales a nivel de transferencias entre registros (RT), organizando el sistema como una unidad de procesado de datos y otra de control . Aunque claramente fuera del contexto de este libro, las materias fronteras son, en el nivel inferior, el tratamiento elctrico de las puertas lgicas y, en el nivel superior, la arquitectura de computadores, as como los sistemas multiprocesadores . La proliferacin de aplicaciones y el considerable aumento de la complejidad experimentada por los circuitos digitales en los ltimos aos hacen inviable el cubrimiento completo de esta materia . Nuestro propsito ha sido desarrollar un conjunto de problemas que den soporte y fundamenten adecuadamente a todos los circuitos y tcnicas de Diseo Lgico. Nuestro libro est pensado para un primer curso de Diseo Lgico, con aplicacin en diversos estudios universitarios tales como nformtica (fundamentos del hardware) e ngenie-ra Electrnica (realizacin de sistemas digitales) . Tambin es til en algunos campos cient-ficos, en concreto, los relacionados con la Teora de Conmutacin, la Teora de Autmatas y la Aritmtica del Computador. Adems, al estar fuertemente enfocado a la resolucin de problemas, este texto tambin puede servir a profesionales que deseen realizar una puesta al da

vi viii PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

rpida y eficiente en las realizaciones de circuitos y de sistemas digitales . El uso de este libro no requiere conocimientos especficos previos ni en Electrnica, ni en Computadores, ni en Matemticas avanzadas. Sin embargo, al ser un libro de problemas, el lector debe conocer a nivel terico los conceptos, principios y tcnicas del diseo digital . En la actualidad hay dis-ponibles suficientes libros que cubren satisfactoriamente los aspectos tericos de esta materia (vanse las referencias que citamos). A ellos deber acceder el lector para conocer los funda-mentos tericos de este libro de problemas . No obstante, con el doble fin de resumir los con-ceptos ms importantes y de presentar la terminologa que utilizamos, en cada Captulo hay una pequea presentacin terica. Adems, en los problemas que introducen materias, durante su resolucin se detallan los nuevos aspectos tericos involucrados. En la realizacin del libro hemos huido de los ejercicios puramente repetitivos, de los excesivamente simples y de los de escasa entidad . Esto es debido a que, en nuestra experiencia, es claramente preferible primar el nivel de profundidad de los problemas sobre la cantidad de stos. Por otra parte y desde un punto de vista ms prctico, hemos establecido dos tipos de ejercicios. En primer lugar hemos seleccionado un amplio conjunto de problemas para resolverlos en detalle. Sobre ellos el lector aprender la metodologa de resolucin. Hemos intentado que cada aspecto importante de la materia est cubierto por problemas bien desarrollados. Posteriormente se presenta un segundo conjunto de problemas de los que slo se ofrece la solucin final. Con ello se pretende que el lector se aventure en la resolucin de stos y simplemente pueda comprobar la correccin de sus resultados . La organizacin elegida obedece a un cubrimiento de la materia que va de abajo a arriba (de forma similar a la metodologa "bottom-up"), avanzando desde lo ms simple a lo ms complejo. En gran parte el material es autocontenido por lo que no se necesita ningn prerrequisito. Bsicamente la materia contenida en este libro de problemas est dividida en tres gran-des bloques ms un Captulo final . El primero de los bloques (Captulos 1 al 6) corresponde a circuitos combinacionales, el segundo (Captulos 7 al 10) a circuitos secuenciales y el ltimo (Captulos 11 y 12), donde se aumenta significativamente la complejidad, a los sistemas digi-tales. Dentro de cada bloque hemos ordenado los problemas procurando ordenarlos para que el lector pueda apoyarse en los ya realizados a la hora de abordar los que vengan a continua-cin. As, cada bloque consta de varios Captulos, cada uno de los cuales contiene problemas de una materia concreta. Los problemas de estos Captulos han sido desarrollados procurando que el lector vaya aprendiendo a resolverlos dentro de esa materia . Por el contrario, el ltimo Captulo est ideado con la finalidad de que el lector evale su nivel de conocimientos . Para ello, por una parte, los problemas no se han ordenado segn la materia, de forma que el lector no los sitea priorien un contexto predeterminado; por otra, se incluyen algunos que afectan a ms de una unidad temtica; y, por ltimo, se presentan todos los enunciados juntos, cada problema separado de su solucin, con el fin de que el lector tenga que ir a buscar explcita-mente cada solucin.

PRLOGO

Concretando, la organizacin de este libro de problemas es como sigue : Captulo 1 .- Aplicacin de los conceptos bsicos como son los sistemas de numeracin y la codificacin binaria. Estos problemas estn orientados a practicar con las representaciones no decimales de magnitudes y las conversiones entre las distintas bases, as como la de nme-ros con signo y fraccionarios incluyendo tanto el punto fijo como el punto flotante . Tambin se tratan los principales cdigos binarios y decimales . Captulo 2.- Desarrollo de los problemas relacionados con el lgebra de Boole y con el manejo de las funciones booleanas incluyendo demostraciones de teoremas e identidades, y las diversas representaciones de funciones de n variables (tablas de verdad, mapas binarios y de Karnaugh) y los teoremas para dichas funciones que dan lugar a las expresiones cannicas y estndares. Captulo 3.- Anlisis de circuitos combinacionales, tanto a nivel puramente lgico como temporal, incluyendo tcnicas especficas para el anlisis de circuitos con slo puertas NAND o NOR. Captulo 4.- Diseo de funciones. En l se aplican tcnicas de reduccin para obtener las expresiones mnimas en suma de productos o producto de sumas (basadas en mapas de Karnaugh y en los mtodos de Quine-McCluskey y de Petrick). Adems se presta una especial atencin a la obtencin de los O's y los l's de una funcin cuando sta se da a travs de una descripcin verbal de su comportamiento . Captulo 5.- Presentacin de los subsistemas combinacionales de propsito especfico, en particular los que convierten cdigos binarios (decodificadores, codificadores y converti-dores de cdigos) y los comparadores . Tambin se incluyen los subsistemas de propsito ge-neral como son los multiplexores y los subsistemas programables (las memorias de slo lectu-ra, los PLA's y los PAL's). Los subsistemas se estudian desde tres perspectivas: cmo se cons-truyen a nivel de puertas, cmo se analizan circuitos que los contienen y cmo se disean funciones utilizndolos como componentes de la realizacin . Captulo 6.- Desarrollo de los problemas relacionados con la aritmtica binaria . En ellos se muestran tanto las operaciones aritmticas (suma, resta, multiplicacin. . .) como los circuitos combinacionales que las realizan (sumadores, sumadores-restadores y unidades aritmticolgicas). Captulo 7.- Presentacin del biestable tanto a nivel lgico (RS, JK, D y T) como a nivel temporal (sin reloj, disparados por nivel, tipo Master-Slave y disparados por flanco) . Tambin se aborda el anlisis de circuitos secuenciales . Se desarrollan tanto los circuitos sncronos o con una nica seal de reloj, como los asncronos, incluyendo en stos los que operan mediante entradas asncronas y los circuitos que poseen ms de una seal de reloj .PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

Captulo 8.- Diseo de circuitos secuenciales sncronos . Se muestran los distintos pasos del proceso habitual de diseo, sistemtico en su mayor parte, y que consigue como resultado un circuito de coste reducido u ptimo . Algunos de los problemas van encaminados a practicar con determinados pasos del proceso mientras que otros muestran el proceso globalmente. Captulo 9.- Desarrollo de los problemas de anlisis de circuitos secuenciales construi-dos con contadores y registros, el diseo interno de estos dispositivos para que posean opera-ciones especficas, su realizacin mediante la asociacin de subsistemas semejantes de menor tamao y el diseo en general de funciones secuenciales.

Captulo 10.- Problemas de memorias semiconductoras . Bsicamente estn dirigidos al uso de estas memorias y a la formacin de memorias "principales" por la asociacin de varios de estos dispositivos (realizacin de mapas de memorias) . Captulo 11 .- ntroduccin al nivel de transferencia entre registros (nivel RT) y al diseo de sistemas digitales. En particular, se tratan las formas de descripcin (notacin RT, cartas ASM y lenguaje HDL), conectndolas con los bloques de circuitos funcionales, bsicamente registros. Tambin se incluyen problemas sobre las tcnicas de interconexin entre registros mediante buses y la realizacin de unidades de datos simples cuando se conoce su operacin a nivel RT. Captulo 12.- Diseo de sistemas digitales completos, esto es, la unidad de datos y la de control. En los primeros problemas se parte de una unidad de procesado de datos conocida y hay que desarrollar una unidad de control adecuada. Finalmente se afrontan problemas de diseo completo de sistemas digitales. Captulo 13.- Presentacin de problemas de las materias ya tratadas .

Captulo 1 REPRESENTACN Y CODFCACN BNARA

Los circuitos digitales operan con dos niveles de seal, la mayora de las veces una tensin baja y otra alta. Desde el punto de vista matemtico decimos que operan con seales binarias y los dos niveles se representan mediante 0 y 1 . Toda la informacin que ha de procesar un sistema digital ha de expresarse mediante combinaciones de esos dos valores . En consecuencia, hay que describir cmo se representan los entes mediante 0 y 1 (codificacin binaria) y, ms especficamente, por ser esencial en el clculo, cmo se representan los nmeros . REPRESENTACN POSCONAL DE MAGNTUDES Un sistema numrico se caracteriza por sus smbolos bsicos; estos son llamados dgitos, cada uno de los cuales representa una determinada cantidad de unidades. A su vez, cada cantidad puede expresarse mediante una secuencia de tales dgitos . En algunos sistemas la posicin ocupada por cada uno de los dgitos dentro de la secuencia est asociada a un valor determinado (peso). Decimos entonces que se trata de un sistema de representacin posicional . Un sistema numrico de base r es un sistema posicional de representacin donde los pesos de los dgitos son potencias de r. As, una magnitud M puede representarse en la base r de la siguiente forma: M = dn-1 dn_2 . . . d1do .d_1 d-2 .. . d_m(r n-1 siendo d; un dgito de dicha base y cumplindose que di e {0, 1, . . ., r1} y M = d . r1 . j -m Para realizar cambios entre distintas bases existen diversos mtodos . En este Captulo se usan fundamentalment e los siguientes : n -1 -Para cambiar de base r a base

10, se aplica la frmula : M = Y,

d.j= -m

r .

-Para cambiar de base 10 a base r, se utiliza el mtodo de las divisiones sucesivas para obtener la parte entera y el mtodo de las multiplicaciones sucesivas para obtener la parte fraccionaria.

12 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

-Para cambiar de una base arbitraria rl a otra r2,se pasa en primer lugar de rl a 10 y despus de 10 a r2 . -Para cambiar entre las bases 2, 8 y 16 (potencias de 2) se utiliza un mtodo de agrupa-cin de bits. REPRESENTACN DE NMEROS CON SGNO De entre las notaciones existentes para expresar nmeros con signo nos hemos centrado en las notaciones signo-magnitud, complemento a 1 y complemento a 2 . En algunos aspectos que de-tallaremos a continuacin las tres notaciones son similares . Se designa un bit especial denomi-nado bit de signo (bs) cuyo valor es 0 en nmeros positivos y 1 en nmeros negativos . En n-meros positivos los dems bits representan la magnitud: A = n-1 an_2 ... al a0. a_ 1a_2 . . . a-m/ lT bit de signo magnitud La forma de representar los nmeros negativos es distinta para las tres notaciones : -En la notacin signo magnitud bs se hace igual a 1 y el resto de bits representan de nuevo la magnitud: -A = 1 a 1 an_2 .. . al a0 .a-1 a-2 ... a_T 1

7

5T

bit de signo magnitud -En la notacin complemento a 1, el nmero negativo es el complemento a 1 del co-rrespondiente nmero positivo : -A= Cal (A) = 1 an_lan_2 . . . al ao.a-1 a-2 .. . a_m -En la notacin complemento a 2, el nmero negativo es el complemento a 2 del co-rrespondiente nmero positivo: -m -A = Ca2(A) = Cal (A) +2 REPRESENTACN DE NMEROS EN PUNTO FLOTANTE La representacin en punto (o coma) flotante se basa en la notacin exponencial o cientfica . En dicha notacin los nmeros se expresan en la forma M = m x b e (m mantisa, b base, e ex-ponente). Esto permite expresar cantidades de muy distinto tamao de forma compacta, por ejemplo, la masa del sol: 1.989 x 1030Kg o la carga del electrn: -1.602 x 10-19C. Si se su-pone conocida la base, basta representar los valores de mantisa y exponente. Esto es lo que se hace cuando se representan nmeros en punto flotante . Una cantidad se puede expresar de muchas formas distintas en notacin exponencial, por ejemplo la velocidad de la luz, c, es 3 x 10 8m/s 0.003 x 1011m/s 3000,n 10 m/s, etc. Para trabajar con nmeros en punto flotante se suele adoptar un convenio acerca de cul de las mltiples expresiones de la formam xbees la que se escoge. En este Captulo trabajaremos con mantisas

cuyo dgito ms significativo es "no nulo" (notacin normalizada) . Por ejemplo,

REPRESENTACN Y CODFCACN BNARA supongamos que disponemos de 5 dgitos para la mantisa, representaciones normalizadas de c seran: 3.0000 x 108 3000.0 x 105 30000 x 104, pero no lo sera 0.0030 x 1011 0.00003 x 1013. Sin embargo, an es necesario adoptar un segundo convenio para elegir una entre las diversas representaciones normalizadas. Ese convenio se refiere a concretar cul es la posicin del punto decimal de la mantisa. En este texto se trabaja con dos convenios : -Notacin fraccionaria: el punto decimal est a la izquierda del primer dgito represen-tado de la mantisa, en nuestro ejemplo: 0.30000 x 109 . -Notacin entera: el punto decimal est a la derecha del ltimo bit representado de la mantisa, en nuestro ejemplo: 30000 x 104. CODFCACN BNARA Por codificacin binaria se entiende la representacin de un conjunto de entes, numricos o no numricos, mediante palabras de n bits. Ahora presentaremos algunos cdigos binarios de cada tipo. La conversin entre la base 2 y la base 8 16 se realiza por agrupacin de bits . Por extensin cualquier cdigo binario puede representarse mediante los dgitos de dichas bases . As podemos hablar de cdigo octal y cdigo hexadecimal . cdigocdigo cdigo octal hexadecimalhexadecimal 0 000 0 0000 8 0000 1 001 1 0001 9 0001 2 010 2 0010 A 0010 3 011 3 0011 B 0011 4 100 4 0100 C 0100 5 101 5 0101 D 0101 6 110 6 0110 E 0110 7 111 7 0111 F 0111 Entre los cdigos ms utilizados se encuentran los llamados cdigos decimales . Estos asignan a cada uno de los dgitos de la base 10 una palabra binaria . Con su utilizacin se evita el proceso de conversin entre base 2 y base 10, aunque el nmero de bits precisado para expresar una cantidad es, en general, mayor. En la siguiente tabla se muestran algunos ejemplos : dgito decimal BCD natural BCD exceso 3 2 de 5 7 segmentos 0 0000 0011 00011 1111110 00110 1101101 3 0011 0110 0101 1000 01100 1011011 6 1110000 8 1000 1011 101004 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

1 0001 0100 00101 0110000 01001 1111001 4 0100 0111 0110 1001 10001 0011111 7 1111111 9 1001 1100 11000

2 0010 0101 01010 0110011 5 0111 1010 10010 1110011

Otro cdigo de gran inters es el cdigo Gray (o cdigo reflejado) de n bits. En las siguientes tablas se muestran los casos n = 3 y n= 4. Puede observarse en ellas la particularidad de que las palabras asignadas a dos nmeros consecutivos se diferencian nicamente en 1 bit . Se trata por tanto de un cdigo con distancia unidad . cdigo

Gray( n=3) cdigo cdigo Gray(n=4)

Gray(n

0 =4) 000

0 0000 8 11001 001 1 0001 9 11012 011 2 0011 10 11113 010 30010 11 4 1110 110 4 0110

12

10105111 5 0111 13 6 1011 101 6 0101 14 7 1001 100 7 0100 15 1000

Como ejemplo de cdigo alfanumrico, en este texto se usa el cdigo ASC . Mediante este cdigo de 7 bits es posible codificar las 26 letras del alfabeto, tanto maysculas como minsculas, los 10 dgitos decimales, caracteres como d_2=3=d_3= . . . por tanto, 23.75(10 = 43.333.. . (5

Para base 6: 0.756 = 4.5-d_ 1= 4 0.5 .6=3.0-4d_3=3,d_4=0=d_5= ... por tanto, 23.75(10 = 35.436 Para base 8 : 0.758 = 6.0-d_, = 6, d_2 = 0 = d_3= . . . por tanto, 23.75(10= 27.6(8

REPRESENTACN Y CODFCACN BNARA

Para base 16: 0.7516 = 12.0 -+ d_, = 12, d_2= 0 = d_3= .. . por tanto, 23.75(10 = 17.C(16

Problema 4.-Convierta los siguientes nmeros a base 10: a) 100.111010(2;b) 50(8, c) 101.1(2;d) 198F(16Solucin P4.-Para convertir a base 10 basta sustituir el valor de la base y de los dgitos en la

n-1 expresin M = E d. r1 yrealizar las operaciones . j= -m a) 100.111010(2= 122+ 1 2-1+ 12-2+ 12-3+ 1 b)50(8=58+0=40(10 c)101 .1(2=1 22+1 20+1 2-1=5.5(10 d) 198F(16= 1 163+ 9162+ 8161+ 15160= 6543(, 0

2-5

= 4.90625(10

Problema 5.-Se cuenta que un rey, encantado con el juego, ofreci al inventor del ajedrez el premio que desease. El inventor slo pidi 1 grano de arroz por la primera casilla del tablero, 2 granos por la segunda, 4 por la tercera y as, el doble cada vez, hasta llegar a la ltima ca-silla (la nmero 64). Los matemticos del reino concluyeron que no haba arroz suficiente para pagar al inventor. Sabra decir cuntos granos de arroz se necesitaban?Solucin P5.-La cantidad pedida M es, en base 2, el nmero compuesto por 64 unos : M=1 1 . ..11 1 1 ya que en ese caso M=120+121+122+ . ..+12

63

Esta cantidad es una unidad menos que la representada por un 1 seguido de 64 ceros . Entonces : M = 19 264-1 = 1.844674407 x 10

Problema 6.-Cuntos bits son necesarios como mnimo para representar cada uno de los siguientes nmeros decimales? 50, 1000, 5000, 100000 y 1000000.Solucin P6.-Para calcular el nmero mnimonde bits que representa la magnitudM,tenga-mos en cuenta que n ha de cumplir la siguiente desigualdad :

2n-1-1 8 1= 1 sii A=B m = 1 s Ay xo x=y xy, la salida L del comparador es 0, por tanto los canales seleccionados dependen slo de y t (canal 1 o canal 5) . Como puede verse, estos canales estn unidos mediante una OR, por lo que la salida ser 1 . Cuando xy, f=1 y si x-r 18 3~-~-19 4 200 5r-` 021 822 T 23 1

E30--24 1>~ 25 2 2>-!-26 3) .271 4' 128 5 >-:-029 030

7Yr1

031

DEC 5:3L

Problema 7.-La figura muestra un comparador de dos nmeros de 1 bit y su tabla de verdad. Se desea obtener un comparador de nmeros de 6 bits, utilizando exclusivamente compara-dores de 1 bit. El diseo debe contemplar que el tiempo de retraso no supere 4T, donde T es el retraso asociado al comparador de 1 bit . A; Bi Ci Ai Bi Ei 0- -0 10 0 1 10 1 0 11 00 1 1111 Ei Solucin P7.-Para comparar dos nmeros de 6 bits, con estos dispositivos, necesitaremos comparar, bit a bit, los dos nmeros. Utilizaremos, por ello, 6 comparadores : A2 B2 CC C21 11

EO1

ElE2 A5B5 A4B4 A3B3

CC11 1

E5E4 E3 Supongamos que los bits A0y B0son distintos. La salida E0,evaluar 0. Esto implica que, independientemente de que los restantes bits sean iguales, la salida global debe evaluar 0 . Nos podemos valer de las entradas de habilitacin de los restantes comparadores para que sus salidas tambin sean 0. Extendemos este concepto a slo 3 comparadores, para no superar las restricciones temporales . AoBO AlB1 A2B21

CC C2 EE A4 B4 A3B

C5C4 C31

E51 E41 E3 Para las estructuras anteriores, E 2 y E3 sern distintos de 0, cuando los bits de los nme-ros A y B sean iguales. Si alguno de ellos vara, E2o/y E3,pero al menos uno de los dos, ser 0. En efecto, supongamos que A1 es distinto de B 1 , y todos los bits restantes son iguales. La salida del primer comparador, E0, ser 1 (en el supuesto de que la entrada de habilitacin sea 1). Esto habilita la comparacin del segundo comparador que, como sus bits de entrada difie-ren, generar un 0 en su salida E1 . Esta salida inhibe al siguiente comparador, cuya salida tambin ser 0, independientemente de A2y B2.102 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

Es importante destacar el hecho de que las respuestas de E 2 y E3, se obtienen con un tiempo de retraso de 3T. Vamos a aadir, ahora, la seal de habilitacin del comparador de 6 bits . Por la estruc tura y funcionamiento mostrados anteriormente, podemos pensar que la mejor forma de intro ducir esta seal, es utilizando las entradas de habilitacin de los comparadores 0 y 5 . De esta forma se inhibe la operacin de los 6 comparadores, generando, las dos ramas de comparadores, un 0 lgico, en sus salidas respectivas (E2 y E3) A0Bo A1 B1 A2B2

CoC1 C2 Eo A5B A4 B4 A3B3 C5C4 C3 El E2

E5E4 E3 Nos falta, por ltimo, generar una nica salida E del comparador partir de E 2y E3 y uti lizando, como mucho, un comparador ms, para no superar el tiempo de respuesta (4T). Se han tabulado las posibles respuestas de E2, E3 y de la salida a generar, E, en funcin de los bits de datos y habilitacin C A,B E2E3 E 0

xxxxxxxxxxxx 0 0 0

1

A0-2=B0-2 yA3-5=B3-5 1 1 1

1 A0-2=B0-2 y A3-5#B3-5 1 0 0 1 A0-2#B0-2 y A3-5=B3-5 0 1 0 1 A0-2#B0-2 y A3-5#B3-5 0 0 0 Podemos pensar que la forma de obtener la salida E, a partir de E2y E3, es introduciendo stas en la entrada de un nuevo comparador, sin ms . Esto no sera del todo correcto, porque como vemos en la tabla, cuando E2y E3valen 0, la salida debe ser 0. Esto se puede resolver, simplemente, utilizando como seal de habilitacin cualquiera de las seales de entrada del comparador, (E2y E3), como muestra la figura: E2 E3 C 1E Cuando E2=0 (ver tabla), la salida E vale 0, por estar

este comparador inhabilitado. Cuando E2=1, el comparador est habilitado y slo tenemos dos posibilidades, E 3=1 y E3=0. En la primera, el comparador activa su salida (E= 1) y en la segunda no.

Problema 8.-Realice las siguientes funciones haciendo uso de los dispositivos que se dan en cada uno de los apartados: a) Utilizando un decodificador con salidas Utilizando un decodificador con salidas activas Utilizando un decodificador con salidas activas Utilizando un decodificador con salidas activas E(0,9,11,15) + d(1,2,3) F= JJ (0, 3,5) d(1,2) activas en nivel alto y puertas OR . en nivel bajo y puertas AND . en alto y puertas NOR. en bajo y puertas NAND.

Solucin P8.-Si se dispone de un decodificador con salidas activas en alta, la expresin algebraica que define cada una de stas ser el mintrmino correspondiente al nmero de entradas que tenga dicho decodificador. Por tanto, si usamos en cada apartado un decodificador con tantas entradas como variables tiene la funcin a disear, se dispondr de todos los mintrminos de ese nmero de variables, en cuyo caso slo nos queda elegir entre todas las salidas cules son los mintrminos de la funcin y realizar la operacin OR de todos . De forma anloga, si el decodificador tiene las salidas activas en baja, la expresin para cada un de ellas sern los maxtrminos del nmero de variables que posea el decodificador en su entrada. Siguiendo el mismo procedimiento, podemos conseguir todos los maxtrminos distintos del nmero de variables que posea la funcin y elegir los que sean maxtrminos de sta. Bastara despus realizar la operacin AND de stos . Pasamos a resolver el problema para cada una de las funciones del enunciado F (a,b,c,d) = E(0,9,11,15) + d(1,2,3) a) utilizando decodificador salidas activas nivel alto y puertas OR :

012

34 5 b2 6 c 18 d9 7

10 11 1 DEC 1314

4:16 15

104 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES b) utilizando decodificador salidas activas nivel bajo y

puertas AND.

20 1a

DEC 4:16 c) utilizando decodificador salidas activas nivel alto y puertas NOR . Para este caso, dado que disponemos de una puerta NOR, tomaremos los maxtrminos de la funcin complementaria a la que queremos disear. De esta forma, a la salida de la puerta se obtiene la funcin del enunciado .012345

b

2 7

6

F

d9

18

10 11 12

DEC 13 4:16 1415

d) utilizando decodificador salidas activas nivel bajo y puertas NAND . Aplicando un razonamiento anlogo al anterior dado que disponemos de una puerta NAND, damos la siguiente solucin al problema:012345

b

26 7

Fc

d910 11 12

18

DEC

13

4:1615

Para todos los apartados hemos despreciado la existencia de inespecificaciones . SUBSSTEMAS COMBNACONALES 105

Repetimos el mismo razonamiento para cada una de las funciones siguientes : F= n (0,3,5) d(1,2) a) utilizando decodificador salidas activas nivel alto y puertas OR :

0

b0 F

1 2 13

DEC 6 3:87

b) utilizando decodificador salidas activas nivel bajo y puertas AND:0 1 22 13 04

DEC 6 3:87

c) utilizando decodificador salidas activas nivel alto y puertas NOR :0 1 2

b3 0 DEC 6 3:8 7 d) utilizando decodificador salidas activas nivel bajo y puertas NAND:

Problema9.-Encuentre un diseo mnimo para cada una de las siguientes funciones si slo disponemos de un decodificador 3:8 y de puertas de dos entradas. a) F= E(0,9,11,15) + d(1,2,3) b) F= fi (0, 3,5) -d(1,2) c) F= n (1, 3,4, 6, 9,11) d(7,12,14) d) F= n (1,2,3,7,8,9) Solucin P9.-Para dar solucin a este problema, en el apartado b) se sigue el mismo proce-dimiento que en el Problema 8, pero para los otros tres apartados, las funciones son de cuatro variables y el decodificador del que se dispone slo posee tres entradas . Con ello, de las salidas de ste, se obtienen los mintrminos o maxtrminos de tres variables (dependiendo del tipo de salida del decodificador), y aadiendo la cuarta variable (bien mediante operador AND u OR) se consiguen los mintrminos o maxtrminos de la funcin que se necesiten . 106 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

a) F(a,b,c,d) = E(0,9,11,15) + d(1,2,3) : abcd1

a bc

b) F(a,b,c,d) = 11(0,3,5) d(1,2) = a.(b+ c) :

abcd

c

dm6 + m7

c) F(a,b,c,d) = 1 (1,3,4,6,9,11) d(7,12,14):

21 0 DEC 3:8

d) F (a,b,c,d) = [1 (1,2,3,7,8,9) :

1

a2 b13 / 0 45 DEC 63:8 7

SUBSSTEMAS COMBNACONALES 107Problema 10.-Un circuito tiene como entradas dos nmeros binarios de dos bits cada uno : Y= y,yo;X=x,xo. Se desea que tenga salidas 11 si Y=X, 10 si Y>X y 01 si YY 10 1X 4 donde x; =(0,1)para i =(1,2, ..,6)y las operaciones de adicin y multiplicacin indicadas son aritmticas.

Solucin P17.-La desigualdad del enunciado describe una funcin booleana de 6 variables f(x1,x2,x3,x4,x5,x6), que toma el valor 1 cuando las asignaciones binarias de las variables (x1 , . .x6) ,satisfacen la expresin anterior, y 0, cuando no. Esta funcin booleana puede desarrollarse segn el teorema de expansin de Shannon :f(x1,x2,x3,x4,x5,x6) =x4 x5 x6 f(x1 ,x2,x3,0,0,0)+x4 x5 x6 f(x1 ,x2,x3,0,0,1)+ + x4 x5 x6 f(x1,x2,x3,0,1,0) + x4 x5 x6 f(x1,x2,x3,0,1,1) + + x4 x5 x6 f(x1,x2,x3,1,0,0) + x4 x5 x6 f(x 1,x2,x3,1,0,1)+ + x4 x5 x6 f(x1,x2,x3,1,1,0) + x4 x5 x6 f(x1,x2,x3,1,1,1)

Hemos expandido las variablesx4, x5y x6,por ser las ms relevantes de la desigualdad . Esta expansin se puede implementar con un multiplexor, cuyas seales de control son x4, x5 y x6, y los canales, las funciones residuo . A partir de ahora, tenemos que determinar la expresin de las funciones residuo .118 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

Si en la desigualdad, sustituimos x4, x5 y x6 por 0, 0, 0, podemos comprobar que, independientemente de los valores binarios que tomen las variables x1, x 2y x3,la desigualdad no se cumple. Por tanto, la funcin booleana vale 0 . f(X,x2, x3 ,0, 0, 0) = 0 Las siguientes funciones residuo, evalan siempre 1, ya que la desigualdad se cumple siempre, independientemente de los valores de x1, x2y x3 . f (x1 ,x2, x3, 1, 1, 1) =1

f (x1 ,x2,x3, 0, 1, 1) = 1 f(X,x2,x3,1, 0, 1) = 1 f (x1,x2,x3, 1, 1, 0) = 1De las restantes 3 funciones residuo, podemos deducir que : f(X1,X2, X3,0, 1,0) f(x1, x2, x3,0, 0, 1) y f(x1, x2, x3,0, 1, 0). Los mapas correspondientes son :

= f(x1,X2,X3,

1 ,0,0) por lo que slo tenemos que obtener las funciones residuo

--x _1x2 X3

00 01 11 10 0111 111 f(x l , x2,x 3 ,

0 1

00 01 11 10x 2 30 0010 1 0, 0, 1) 111 f(x 1 , x2, x3, 0, 1, 0)

Para implementar estas funciones residuo, podemos utilizar multiplexores de 4 canales escogiendo, como seales de control, las variables (x1, x 2) . A continuacin semuestra el circuito resultante:x3

1 1

f(x1, x2, x3, x4, x5, x6) 0 x3 x3

1 Problema 18.-Realice la funcin F=E (1,2,3,4,6,7,8,9,14), mediante la PAL de la figura: .

~>-1D G

-< >

F-

L

>1

L F

1Solucin P18.-Sea F(a,b,c,d) la funcin a implementar cuyo mapa se muestra a continuacin : ab cd0001 1110 00 ffd8ffe000104a46494600010201012d012c0000ffe20c584943435f50524f46494c4 500010100000c484c696e6f021000006d6e74725247422058595a2007ce00020009 000600310000616373704d53465400000000494543207352474200000000000000 00000000000000f6d6000100000000d32d485020200000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000 0001163707274000001500000003364657363000001840000006c7774707400000 1f000000014626b707400000204000000147258595a00000218000000146758595a 0000022c000000146258595a0000024000000014646d6e64000002540000007064 6d6464000002c400000088767565640000034c0000008676696577000003d40000 00246c756d69000003f8000000146d6561730000040c00000024746563680000043 00000000c725452430000043c0000080c675452430000043c0000080c6254524300 00043c0000080c7465787400000000436f707972696768742028632920313939382 04865776c6574742d5061636b61726420436f6d70616e7900006465736300000000 00000012735247422049454336313936362d322e31000000000000000000000012 735247422049454336313936362d322e3100000000000000000000000000000000 0000000000000000000000

01 11 10

F La expresin algebraica de F y de la funcin complementariaF ser: F = ac+ a bd+ bd+ bcd+ abc F=a.bc.d + b .-.d

+ abc+acd + a.b.c Para realizar esta funcin con el PAL de la

figura tenemos que resolver tres problemas : 1) El nmero de entradas del PAL son tres y la funcin F necesita cuatro variables . Para solucionar el problema se usa una de las entrada-salidas del PAL como entrada . Esto se consigue poniendo un "0" (a travs del producto x.x) sobre la lnea de controldel inversor 3-estados correspondiente:

120 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

&

XX

=0E} d

& L>1

XX

F

2) El PAL del que se dispone realiza la operacin AND-OR-NV . Para resolver el pro-blema se escoger a la funcinFcomo suma de productos y, al invertirse a la salida, se obtiene la funcin F. Para que en la salida se obtenga F, el control del inversor 3-estados debe estar a " 1 " (para ello basta con no programar ningn fusible de la AND que proporciona dicho control :

o F

3) EL PAL slo puede sumar (operacin OR) tres trminos productos y F tiene cinco. Para resolver el problema se descompone F en dos subfunciones de forma que, en cada una de ellas, slo se sumen tres trminos : F = (a.bcd+ b.c.d + a.b.c) + acd + abc= G + acd+ abc . donde G = bcd + bcd + abc. De esta forma, G se obtiene por una de las salidas de la PAL y es reintroducida para formarF.

G.9

G Finalmente, tras las consideraciones anteriores se muestra la configuracin final del PAL:ccbbaaddGGC.C=

0

d bcd bcd ac

G acd

>F

abc

Problema 19.-Se desea disear un circuito que tenga como entradas dos nmeros de dos bits a=(a, a0) b=(b, b0) y un bit de paridad par correspondiente a los cuatro bits anteriores . El circuito indicar en una salida si a>b, y en otra si se ha producido una entrada ilegal (con el bit de paridad mal). El circuito deber realizarse con multiplexores de dos entradas de selec-cin y una ROM de 8 posiciones de memoria.Solucin P19.-El circuito a disear posee cinco entradas, P, a1, a0, b 1, b0 y dos salidas. Lla-maremos G a la salida que indica cundo el nmero a = al a0 es mayor o igual que el b = b 1bo, y salida 1 cuando se produce una entrada con el bit de paridad P errneo. 122 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES

a > b G=1 aB, no se produce arrastre, por lo que tenemos un bit de signo positivo (borrow=0), y si A Y y con Y-X = -(X -Y)si X < Y. Para obtenerlo hacemos A = X, B = Y, Ci= 1 y siso= 11. As se realiza la operacin F = X + Y + 1 = X + Ca2(Y) = X - Y. Como ambos nmeros son positivos no hay desbordamiento aunque existen dos opciones : X > Y, en cuyo caso F muestra X -Y, yC0,t = 1; y X < Y, en cuyo caso F = X-Y es un nmero negativo y Cot= 0. Por tanto, si C0,t = 1, la salida F proporciona directamente el mdulo de la diferencia y si C ot = 0 habr que realizar algunos pasos adicionales para obtener la salida deseada. Aqu presentamos dosopciones: a) Repetir el proceso anterior pero cambiando las entradas, esto es, A = Y, B = X, C1 . ;,,=1ysso=11 b) Reintroducir el resultado anterior F = X -Y por A y aplicar las entradas Ci = 1 y s1 so = 01, en cuyo caso obtendremos a la salida F = A+1 = Ca2(A) = Y -X. PROBLEMAS CON SOLUCN RESUMDA Problema 14.-Realice las siguientes sumas sin pasar a la base decimal: a)1110(2 +1001 (2 b) 100.1(2 + 111(2 c) F02B(16+1021(16 d) 1230(4 + 23(4 Solucin P14. a)b)c)1011 .11 F02B(16 +1021 (16 10 0 4C06 d) 1wn 1230 (4 + 23 (4 1313 (4Problema 15.-Multiplique los nmeros del problema anterior sin pasar a la base decimal. Solucin P15. a)b) 100 .1 x 1001 1001 11111 .1 c) Debe utilizarse la "tabla de multiplicar" en base 16, de la que se ilustran algunos casos : F02B(1 6 1021(16 F02B 111 1001x2 x B = 16(16 2 xF =1E(161E056 F02B F21 A 58 B(16 d) Debe utilizarse la "tabla de multiplicar" en base 4, de la que se ilustran algunos casos 1230 (4 3x3=21(4 + 23(4 3x2=12(4 11010 2x2=10(4 3120 102210 (4Problema 16.-Realice las operaciones aritmticas siguientes en binario utilizando: a) la notacin en complemento a 1 b) la notacin en complemento a 2 y compruebe el resultado usando la aritmtica decimal: 1) (+42) + (-13) 2) (+42) - (-13) 3) (-42) + (-13) 4) (-42) - (-13)164 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES Solucin P16. 1) a) 10101010 42 1110010 -13b) 0101010 42 + 1110011 -13>100111000011101 290011101 29 2) En este caso y en el siguiente la magnitud del resultado es mayor que la magnitud de cada operando. Aunque en este problema no ocurre, en situaciones similares puede haber desbordamiento por lo que hay que verificar si V = 0 antes de validar el resultado :a) 3) a) 10101010 42 b) 0101010 42 +0001101 13 + 0001 101 13 0110111 55 0110111 551010101 -42 b) X1010110 -421110010 -13+ 1110011 -13 1000111 1001001-55 >1 1001000 -55 4) a) 1010101 -42 b) 1010110 -42 + 0001101 13 + 0001 101 13 1100010 29 1100011 29Problema 17.-Realice las siguientes operaciones utilizando 10 bits, 3 de ellos para la parte fraccionaria, usando la notacin en complemento a 2 . Compruebe el resultado verificando los posibles errores. (+22.25) + (+13.13) (+22.25) -(+13.13) (-22.25) + (+13.13) (-22.25) -(+13.13)Solucin P17.-La cantidad 22.25 se representa en binario como 10110.01. La cantidad 13.13 se representa como 1101 .00100010. . . Puesto que slo tenemos 7 bits para almacenar la parte entera y 3 para la parte fraccionaria de los nmeros, su representacin ser 22.25 = 0010110.010 y 13.13 = 0001101.001. a) 0010110.010 22.25 + 0 0 0 1 1 0 1.0 0 1 13.13 0100011.011 35.375 El resultado correcto sera 35,38. Se ha producido un error de 0,005 . b) Para realizar la resta sumaremos el Ca2 de 13 .13. Ca2(0001101.001) = 1110010.111 0010110.010 22.25 + 1 1 1 0 0 10.1 1 1 -13.13 0001001.001 9.125 El resultado que se lee tiene un error de 0,005 con respecto al resultado correcto, 9 . 12. c) Determinaremos, primero, el Ca2 de 22.25 Ca2(0010110.010) = 1101001 .110 1101001.110 -22.25 + 0 0 0 1 1 0 1 .0 0 1 13.13 1110110.111 -9.125 El resultado se obtiene con un error de 0 .005. d)x1101001.110 -22.25+ 1 1 1 0 0 10.1 1 1 -13.13 1011100.101-35 .375 Se produce un error de 0.005.Problema 18.-Se dispone de circuitos lgicos TE. Estos circuitos poseen tres entradas y una salida, y realizan la siguiente funcin de conmutacin TE(f,g, h)=f g + Th. Realice la etapa tpica de una unidad lgica que responde a la siguiente tabla, segn la organizacin indicada en la figura y utilizando, exclusivamente, MUX 4:1 en el C. C. . Las entradas se disponen en ral doble.S2SiSo F 0 0 0 A A001 0 1 0ABi B 0 1 1 Ai+B S2 C.C. 1 0 0ABi 1 0 1 A+Bi 1 1 0 Exor(A,B) S 1 1 1 Nexor(A,Bi) gT Si E Fi166 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESB_Solucin P18.-Se pueden dar mltiples soluciones a este problema. La que presentamos consiste en hacer g = 1 y h = 0 en el TE de salida, con lo que F i = f es la nica funcin que debe realizarse con el C.C. Para disear C.C. con multiplexores representamos F; en un mapa binario natural:s2 S s0 000 001 010 011 100 101 110 111ABi0 0 0 1 1 0 1 10 0 1 1 0 1 01 0 0 0 1 1 10 0 1 01 1 0 10 1 1 0 0 0 11f = FComo se observa, cada columna de la funcin f corresponde a la operacin lgica especificada en el enunciado . El circuito resultante, utilizando multiplexores de cuatro canales, es:1 2 31011 A B10g11s2 s1Problema 19.-Disee un circuito aritmtico con una variable de seleccin s y dos entradas de datos A y B de 4 bits. Cuando s = 0 el circuito realiza la operacin de suma F= A+B. Cuando s = 1, el circuito realiza la operacin de incremento F=A +1 .Solucin P19.-Utilizaremos un sumador de 4 bits, en el que una de las entradas ser el nmero A y en la otra el nmero B para s = 0 y el nmero 0 para s = 1 . Asimismo conectaremos S al acarreo de entrada C i para generar F = A+ 1 cuando s = 1 . El circuito de la figura siguientedeja pasar aB si s=0y da un0si s= 1 :& & && tEl circuito final ser :ttr33 SSC 1. Sumador 4 bits ;nProblema 20.-Para la ALU de 8 bits de la figura, determine la salida F para todas las com-binaciones posibles de s2s, sosi las entradas A y B contienen los nmeros binarios $23 y $FO, respectivamente, y el acarreo de entrada es 0 . A7-o B7-0s2 s1 s0Operacint000 F = AND(A,B) 001 F = OR(A,B)s2 010 F = EXOR(A,B) S 100 F = A+B+C;,, 101 F = A+B+C;,,110 F = A+ $FF+C;,,111 F=A+Cin011 F = NOT(A)SOSolucin P20. a) s2S1so= 000. F = AND($23,$F0) = $20. b) s2 S1 so= 001. F = OR($23,$F0) = $F3 . c)s2 s 1 so= 010. F = EXOR($23,$F0) = $D3 d) s2s1 so= 011. F = NOT(A)=$DC. e)s2s1so=100.F=A+B+C;,,=$23+$F0=$13yCot=1 . f)S2S 1 so= 101. F = A +B+ C;,,= $23 + $OF = $32 yCout=0. g) s2s1 so= 110. F = A + $FF+C;,,= $23 + $FF = $22 yCout=1 h) s2 s1 so= 111. F = A + Ci= $23 yCout=0.Captulo 7ANLSS DE CRCUTOS SECUENCALESLos circuitos combinacionales no tienen capacidad de almacenamiento de informacin por lo que su salida est perfectamente determinada a partir de los valores presentes en las entradas . En mltiples e interesantes casos, por el contrario, la salida Z del sistema en un instante to depende no slo del valor de las entradas X en t0 sino de la evolucin del sistema hasta t0 ; esto es: Z(t0)= Z((X(t0),X(t < to)) Este tipo de funcin corresponde a las denominadas funciones secuenciales, autmatas o mquinas de estados finitos . Su estudio para el caso de realizaciones con circuitos digitales es el propsito de este Captulo . MODELOS SECUENCALES Y REPRESENTACONES Las "situaciones" en las que puede estar un circuito secuencial no son ilimitadas . Entonces, para caracterizar al circuito es posible establecer un conjunto finito de estados internos (o simplemente estados), S1, S2, .. . Sk,cada uno de los cuales representa una de las "situaciones" delcircuito . La salida y la evolucin del sistema quedan bien establecidas si se conoce el valor de las entradas (estado de entradas j) y el estado presente (S i). El valor de la salida O admite dos modelos: -De Mealy, en el que la salida depende tanto de la entrada como del estado presente, cumplindose que O =O(h,Si). -De Moore, en el que la salida depende slo del estado presente, O = O(S i). La evolucin del sistema viene marcada por el cambio desde el estado presente Si al prximo estado NS que en ambos modelos depende de la entrada y del estado presente : NS = NS(p Si) . La representacin de una mquina secuencial suele hacerse mediante grafos o tablas de estados/salida, de la forma siguiente :170 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESNS O(NS)iOSi NS O(Si) Mealy Moore CRCUTOS SECUENCALES La realizacin de mquinas secuenciales con circuitos digitales es lo que se conoce como cir-cuitos secuenciales. En ellos, los estados de entrada 1 corresponden a valores de las seales de entrada X, los de salida O a las seales de salida Z y, tambin, los estados internos correspon-den a valores "0" y "1" sobre un conjunto de variables llamadas de estado . Esto es, cada estado tiene asignado un cdigo binario sobre las variables de estado del circuito. ste se representa mediante la denominada tabla de transicin de estados/salida, la cual es similar a las anteriores (de estados/salida) sin ms que sustituir cada estado por el cdigo binario asignado (S i -~ q, donde q = ql . . . qn ;anlogamente, NS -> Q). La evolucin desde un estado presente a un prximo estado, lo que simplemente es pasar de un valor a otro en las variables de estado, puede realizarse de mltiples formas . La ms comn es disponer de un circuito especfico, llamado biestable porque tiene dos estados estables (el 0 y el 1), que implementa una variable de estado. Cada biestable muestra en su salida el estado 0 1 almacenado, que corresponde al valor presente en la variable de estado implementada en ese biestable . Para cambiar de valor alma-cenado y as poder hacer el cambio al prximo estado, los biestables poseen unas entradas de excitacin (normalmente llamadas SR, JK, D o T) . A su vez, este cambio de estado puede ha-cerse de forma asncrona o sncrona, en cuyo caso el cambio de estado es controlado por una seal de reloj (clk). Una vez elegido el tipo de biestable, la mquina se describe por la deno-minada tabla de excitacin/salida. En esta tabla, la representacin de los cambios de estado se hace mediante el valor de las entradas de excitacin que hay que poner en cada biestable para que cambie adecuadamente su valor almacenado; por ej., para biestables T, la tabla de excita-cin tiene la forma: Xi Ti junto con el estado presente qi producen el adecuado QiLas funciones de excitacin (por ej. Ti)y de salida (Z) son funciones combinacionales de las entradas (X) y de las variables de estado presente (q) . As, el esquema general de un cir-cuito secuencia) sncrono es :XCircuito combinacionalZq Bancodebiestable s clk 11 BESTABLESExcitacio (por ej T nes de los biestablesEn esta breve introduccin nos centraremos nicamente en los biestables ms comunes . Desde el punto de vista lgico los cuatro biestables ms usuales son :9 aaq00 01 11 l0 SJ 0 11a aa 1 1 0 1RK-q QTabla de transicin biestable SR Tabla de transicin biestable JK Smbolo lgico D 0 1 00 1aQDT-qaqTabla de transicin biestable D Tabla de transicin biestable T Smbolo lgico Desde el punto de vista temporal, las formas sncronas de los biestables son : -Disparados por nivel (a veces llamados latches sncronos): en estos biestables uno de los dos niveles de la seal de reloj habilita los cambios de estado (segn la tabla de estado del biestable), mientras que durante el otro nivel no hay cambio de estados (Q = q). -Disparados por flancos (a veces llamados flipflops) : en estos biestables los cambios de estado se producen siempre tras uno de los flancos de la seal de reloj . Existen dos estruc-turas: -Master-Slave en la que el biestable puede captar valores de entrada durante el ni-vel previo al flanco activo. -Edge-triggered, en el que las entradas slo afectan en el entorno del flanco activo. q q clk 1 clk clk (Latch, nivel H) (Master-Slave, flanco bajada) (Edge-triggered, flanco subida)172 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESLa mayora de los biestables sncronos incorporan una o dos seales de excitacin asn cronas para la puesta a 1 (preset)o a 0(clear)del biestable. Operan de forma similar a las se-ales S y R . El biestable debe tener las entradas asncronas desactivadas para poder operar sn-cronamente. ANLSS DE CRCUTOS SECUENCALES El problema del anlisis de un circuito secuencial tiene como dato el circuito y, a veces, la secuencia de valores de entrada. Como objetivo, hay que determinar la tabla o grafo de estados/salida; a veces, tambin una descripcin verbal de la operacin que realiza y, en otros casos, un diagrama temporal de la evolucin entrada-salida . Si el circuito secuencial obedece al esquema general presentado previamente, (sncrono con una sola seal de reloj), el proceso de anlisis es : 1 -) se determinan las ecuaciones de ex-citacin y de salida por anlisis de la parte combinacional del circuito global ; 22) se represen-tan esas funciones en forma de tabla (de excitacin y de salida) ; 32) a partir de sta, se obtiene la tabla de transicin sin ms que tener en cuenta la tabla de estados de los biestables involu-crados; 42) se obtiene la tabla de estados/salida, sin ms que asociar un smbolo de estado a cada cdigo de las variables de estado; en su caso; 52) se dibuja el grafo y se interpreta, y 6r) se determina la secuencia entrada-salida . Si el circuito secuencial no obedece al esquema general, el anlisis se debe llevar a cabo mediante la obtencin de cronogramas de las seales de estado y de salida . ndice del Captulo Este Captulo desarrolla problemas de las siguientes materias : -Diseo de un biestable a partir de otro . -Anlisis temporal de un biestable . -Anlisis de circuitos secuenciales . -Anlisis de circuitos secuenciales sin el esquema general . PROBLEMAS RESUELTOS Problema 1.-Obtenga los biestables JK y T a partir de un biestable D . Solucin Pl.-Este problema se reduce a obtener un circuito combinacional CC que, para cualquier combinacin de entradas JK y estado presente q, genere una entrada en el biestable D que provoque, en ste, la transicin de estado que correspondera al biestable JK para idnticas condiciones de entrada y estado .Para construir la solucin, comenzaremos por presentar la tabla de transicin delbiestable JK y la tabla de excitacin del biestable D . JK 9-> Q D00 01 11 10 0-31 1 1-40 0 1-91 1 0-30 0 QPara cada transicin del biestable JK se puede encontrar la excitacin en el biestable D que la lleve a efecto. Expresndolo en el siguiente mapa de Karnaugh se tiene: JK 00 01 1D De aqu obtenemos la expresin para D:D=Jq+ K q. El circuito correspondiente se muestra en la figura:L&K&JFPara el biestable T procedemos de idntica manera.elh D 9q A partir de la tabla de transicin del biestable T y de la de excitacin para el biestable D, se llega al mapa que se muestra a continuacin de donde se obtiene la ecuacin lgica para la lnea D y asimismo se muestra el circuito resultante:aE auQ La ecuacin de entrada al biestable D es:D = T- q + T qL&_1 q -1--q r174 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESProblema 2.-Se pretende construir un circuito como el de la figura, el cual podr actuar como RS, D, T o JK dependiendo del valor de C, y Co (ver tabla). Diselo utilizando como nico elemento de memoria un biestable tipo T.C, Co , lo C, --Q Co-0 0 R S 01D 1 1 1 0T 1lo 1 1 J KSolucin P2.-Este problema se reduce a obtener los distintos tipos de biestables a partir del T. Procediendo de forma similar a como se hizo en el emblema 1, obtenemos las siguientesecuaciones de entrada :T=Sq+Rq T = J q+K q T = DOq Asociando cada ecuacin con el caso correspondiente C 1Co e identificando las entradas 1 1 e lo con las del biestableen cada caso, se tiene : C1Co=00 T = lo q+1, q C1Co=01 T = , O+ q CCO = 10 T = T C1Co=11 T=1,q+loq Combinando estas expresiones en una sola : T= (,q+,,q) C,C+(,O+q) C, C+TC, C+(1, q+l q) C,CO Esta expresin, nos permite realizar el circuito utilizando un multiplexor, cuyas entradas de seleccin son C 1 y Co. Problema3.-a)Encuentre la forma de onda de salida de un biestable RS Master-Slave para la siguiente secuencia de entrada:clk SnR1b) Cmo sera la onda de salida si se tratara de un RS disparado por flanco descendente (negativo)? c) dem para flanco positivo .11Solucin P3. a) El biestable Master-Slave est formado internamente por dos latches SR, tal como se muestra en la siguiente figura:Master Slave S-Sq SsqsR-R sqsclkfEl biestable amo (Master) es disparado por el nivel alto de la seal de reloj y recibe las entradas del conjunto Master-Slave. Slo puede cambiar de estado cuando clk = 1 y lo har dependiendo de sus entradas de excitacin . El biestable esclavo (Slave) slo lo har si clk = 1 (clk = 0). Sus entradas son las salidas del amo, por lo que slo se pueden dar las combinaciones SR=10 (puesta a 1) y SR=01(puesta a 0). Las salidas qsdel esclavo son las salidas del conjunto Master-Slave. Para resolver este problema, vamos a representar las formas de onda de salida del biestable amo y del esclavo . to t1t2t3 t4 t5 clk S R qm q = qs A 1 E-Los dos biestables comienzan por un estado desconocido representado por la banda rayada en el grficoanterior . Cuando clk = 1 el biestable amo puede cambiar de estado, y el cambio ocurre como consecuencia del cambio en la seal S, dado que este valor de entrada (Sm= 1) fuerza a que q,,,= 1. En el intervalo [t1,t2],clk = 0, con ello el biestableMaster mantiene su estado inalterable, y el Slave lee en sus excitaciones los valores 10 = 10) (SsRs = gmgm por lo que qstoma el valor lgico 1. En el intervalo [t2,t3], clk = 1 . A principio del intervalo, SR= 00 por lo que el Master mantiene el valor del estado en el que estaba, pero el cambio que ocurre enRmprovoca un cambio en q,,, que toma el valor 0. Durante [t3,t4]clk = 0, el amo mantiene su estado y el esclavo cambia a 0 ya que sus entradas SsRs=01 .Con este razonamiento se contina deduciendo la evolucin temporal del biestable Master-Slave la cualse muestra en la figura. b) En los biestables disparados por flanco el proceso es diferente . Slo se tiene en cuenta las entradas existentes en el momento que se genera un flanco activo en la seal de reloj . Estas entradas, y el estado presente del biestable, determinan el prximo estado . En el caso de que exista una transicin de entradas en el flanco activo, se tomarn como valores vlidos, los inmediatamente anteriores al flanco. En la siguiente figura se representan las formas de onda del biestable RS disparado por flanco negativo. 176 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESclk to t3 S11R1 q1 Hasta el instante to, el estado del biestable es desconocido. En ese instante, las entradas al biestable son SR = 10 por lo que, en el prximo ciclo de reloj, la salida del biestable se pone a1. En el instante t1 , las entradas son SR = 01, esto implica que, durante el siguiente ciclo de reloj, la salida es 0. En el instante t2, las entradas son SR = 00 por lo que se mantiene el estado . Para el instante t3, las entradas son nuevamente SR = 00, por lo que se mantiene el estado. c) Para flanco positivo se opera de igual forma .clk to3S R1 q Podemos observar en el cronograma que se mantiene el estado desconocido inicial durante muchos ciclos, porque en los flancos ascendentes, que ocurren en los instantes to y t l , las entradas son SR = 00 . En el instante t2 la entrada es SR = 01 por lo que se almacena un 0 en el biestable. La llegada del siguiente flanco, en t3 , no altera el contenido del biestable ya que SR = 00 .Problema 4.-Para cada uno de los circuitos de la figura, justifique razonadamente si es vlido como biestable para realizar cualquier circuito secuencial .a& L q a_1 a1 r=&1(a) (b) (c)Solucin P4.-Para que los circuitos de la figura puedan ser utilizados como elementos de memoria en los circuitossecuenciales, deben ser capaces de almacenar dos estado estables : el 0 y el 1 y permitir el cambio de uno a otro . Esto es equivalente a decir que estos elementos deben tener la posibilidad de realizar cualquier tipo de transicin: 0 ---3 0, 1 -3 1, 1 -> 0, 0 --> 1. El circuito de la figura (a) presenta el siguiente K-mapa, donde se puede observar que no existe la transicin 0 -3 1 . ANLSS DE CRCUTOS SECUENCALES 177ab00Ql 1110 000 00 100 10 Q S obtenemos el K-mapa para el circuito de la figura (b), podemos observar que no existe transicin de 1 - > 0:Q El circuito de la figura (c), es el nico que permite las cuatro transiciones posibles, por lo que puede ser utilizado, como elemento de memoria . Su k-mapa se muestra a continuacin :MEamaProblema5.-Analice el circuito de la figura:1TY0q22 q2n_11D3ci q3X Y Jq X- K qclk Solucin P5.-Cuando se analiza un circuito secuencial se tiene como objetivo determinar su operacin. Los pasos del mtodo de anlisis son : a) Obtener las ecuaciones de excitacin y de salida. Cada una de las entradas(excitacio-nes) de los biestables as como las distintas salidas que posea el circuito se expresan mediante ecuaciones algebraicas cuyas variables son las de entrada al circuito y las variables de salida de cada uno de los biestables (variables de estado presente) . En nuestro caso: 178 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESJ, = Y q3K,=X T2= Y.(X+Og3)=X.Y.g3+X.Y.g3D3 = q2' Gy'g2 -~.X'g1 = X g2'g2+X'gi'g2 = X+q,+q2Z=X+q3+Xq2+X.q, =X+q2(+q3 b) Escribir la tabla deexcitacin/salida. Las ecuaciones antes obtenidas se expresan mediante un mapa de Karnaugh . En nuestro caso: XYq1 q2q3000 001 011 010 110 111 101 1000000,0,1 , 00,0,1 , 00,0,1 , 00,0,1 , 00,0,1 , 00,0,1 , 00,0,1 , 00,0,1 , 1 1 0 1 1 0 1 10100,0,1 , 10,1,1 , 10,1,1 , 00,0,1 , 00,0,1 , 10,1,1 , 10,1,1 , 00,0,1 , 1 1 0 1 1 0 1 11101,1,1 , 11,0,1 , 11,0,0 , 01,1,0 , 01,1,1 , 11,0,1 , 11,0,1 , 01,1,1 , 1 1 1 1 1 1 1 1 01,0,1, 01,0,1, 01,0,0, 01,0,0, 01,0,1, 01,0,1, 01,0,1, 01,0,1, 1 1 1 1 1 1 1 110JK1,T2,D3, Zc) Determinar la tabla de transicin/salida . La tabla de excitacin se traduce a otra, la de transicin donde aparecen los prximos estados. Para ello se procede de la siguiente forma . En cada celda de la tabla de excitacin se observa el valor de las excitaciones del biestable considerado, por ejemplo, para el biestable 1, en la celda XY = 00, q1q2q3 = 000, se tiene J 1K1 = 00. Apoyndonos en la tabla de estados del biestable JK, esas excitaciones dan lugar a un cierto prximo estado ; as, para JK = 00 se da Q = q. Entonces, como en la celda considerada q 1 = 0, en la misma celda de la tabla de transicin pondremos Q 1= 0. Anlogamente, en esa celda Q2= 0 (ya que T2= 0) y Q3= 1 (ya que D3= 1 y, por tanto, Q3= D3= 1). La tabla resultante es: XYg1g2q3 000 001 011 010 110 111 101 100 00001, 1 001, 1 011, 0 011, 1 111, 1 111, 0 101, 1 101, 101001,1 111, 1 101,0 011, 1 111,1 101,0 111, 1 101,111011,1 101, 1 110,1 000, 1 001,1 011,1 001, 1 011,110001, 1 001, 1 010, 1 010, 1 011, 1 011, 1 001, 1 001, 1Q1Q2Q3,Zd) Obtener la tabla de estados/salida. Cada estado de la tabla de transicin est dado porlas variables de cada uno de los biestables . Ahora, asignaremos a cada combinacin binaria de esas variables un smbolo concreto que identifique a ese estado. En nuestro problema, llaman-do 0, 1, 2, . . ., 7 a los estados segn la codificacin g1g2q3 = 000, 001, 010, , 111, se obtiene la tabla de estados/salida que se muestra a continuacin :0001 11 10 1, 1 1, 1 3, 1 1, 1 1,1 7,1 511,1 3,1 3,1 01 2,1 3,0 5,0 6, 1 2, 1 5, 1 5, 1 3, 1 1,1 5, 1 7, 1 1,1 1,1 7,1 7,1 1,1 31 7 7,0 5,0 3,1 3,1 NS,Ze) Presentar el diagrama de estados/salida . Ofrece la misma informacin que la tabla an-terior, tan slo que expresada en forma de grafo . En nuestro caso :10,1 01,0 01,1nn J 1-,1 00,1180 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESf) Expresar verbalmente el funcionamiento . Esto slo tiene sentido en casos muy espe-ciales. En nuestro problema no es aplicable .Problema 6.-Un circuito secuencial sncrono se ha obtenido de acuerdo con el esquema de la figura. Correspondera este circuito a la estructura general de los circuitos secuenciales sncronos?. Analcelo hasta obtener su tabla de estados. (La ROM ha sido programada de acuerdo con la tabla adjunta, donde $ representa posicin y [$] su contenido) .X > Ao Al > A2 do dl d2 Z $01 2 34 5 D D 6 7 C 7 6 D E F 4 A 9 D3 6 86 A BC D 18 [$] A B $89 [$] 4 7A3ROMd3Solucin P6.-S, corresponde a una estructura de circuito secuencial sncrono (con 8 o me-nos estados) ya que la ROM es un mdulo lgico universal . As, Z puede ser cualquier funcin de la entrada y del estado presente, y D3, D2 y D1pueden sercualquier funcin de excitacin de las mismas variables. Analizamos el circuito identificando la variable asociada a cada lnea de entrada y salida de la ROM. As, tenemos: A3A2A1 = q3q2q1, Ao = X, D3D2D1 = d3d2d1 y Z = Do y podemos reescribir la tabla de contenido de la ROM : g3g2q1X D3D2D1Do d3d2d1do0000 0001 0010 0011 0100 0101 0110 0111 1010 1011 0110 1000 0110 1100 0111 0110g3g2q1XD3D2D1Do1000 1001 1010 1011 1100 1101 1110 1111 0100 0111 1101 0001 1000 0100 1010 1001A3A2A1Aod3d2d1doA3A2A1AoA continuacin escribimos la tabla de excitacin y salida del circuito que coincide con la de transicin, ya que estamos utilizando biestables tipo D para los cuales se cumple Qi = Di:939291 0 1 000 101,0 101,1 001 011,0 100,0 010 011,0 110,0 011 011,1 011,0 100 010,0 011,1 101 110,1 000,1 110 100,0 010,0 111 101,0 100,1 D3D2D1,Z = Q3Q2Q1,ZPasamos a la tabla de estados y salida llamando 0, 1, 2, 3, 4, 5, 6 y 7 a los estados cuya codificacin es g3g2q1 = 000,001,010,011,.. .,111. El resultado es el siguiente:01 5,0 5, 1 3,0 4,0 3,0 6,0 3, 1 3,0 2,0 3, 1 6, 1 0,1 4,0 2,0 5,0 4,1 NS, Z .-Analice el circuito de la figura. Encuentre la forma de onda de la salida para la secuencia de entradas dada. Problema7 X >1 Y >1 z J2 q2 K~92lk clkx Y1182 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESSolucin P7.-Anlisis del circuito : a) Ecuaciones de excitacin y salida: D1=X+Y J2=X K2=Y Z=q2+Y.q1 b) Tabla de excitacin y salida:q1 q2 00 01 11 1000 0, 0 0, 0 0,00,1 0,00,1 0,00,001 1, 0 1, 1 1,01,1 1,01,1 1,01,011 1, 1 1,1 1,11,1 1,11,1 1, 1 1,010 1, 1 0, 0 1,10,1 1,10,1 1, 10,0D1 , J2K2, Z c) Tabla de transicin y salida:ffd8ffe000104a46494600010201012d012c0000ffe20c584943435f50524f46494c45 00010100000c484c696e6f021000006d6e74725247422058595a2007ce0002000900 0600310000616373704d53465400000000494543207352474200000000000000000 00000000000f6d6000100000000d32d4850202000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000011 63707274000001500000003364657363000001840000006c77747074000001f00000 0014626b707400000204000000147258595a00000218000000146758595a0000022 c000000146258595a0000024000000014646d6e640000025400000070646d646400 0002c400000088767565640000034c0000008676696577000003d4000000246c756d 69000003f8000000146d6561730000040c0000002474656368000004300000000c72 5452430000043c0000080c675452430000043c0000080c625452430000043c000008 0c7465787400000000436f70797269676874202863292031393938204865776c6574 742d5061636b61726420436f6d70616e790000646573630000000000000012735247 422049454336313936362d322e31000000000000000000000012735247422049454 336313936362d322e31000000000000000000000000000000000000000000000000 000000 q 00 01 1110 00,0 lo, 1 1 1, 1 1 1,001,1 10,1 10,1 11,1 01,1 10,1 10,1 11,1 00,0 10,0 11,0 11,0 QiQ2, Z d) Llamando a = 00, b = 01, c = 11 y d = 10 obtenemos la siguiente tabla de estados y salida. Esta tabla puede ser reducida en un estado, ya que b es equivalente a c :\Y S 00 a, 0 b, 1 b, 1 a, 0 01 d, 1 d, 1 d, 1 d, 0 11 c, 1 d, 1 d, 1 c, 0 10 c, 0 c, 1 c, 1 c, 0 a c d 00 a, 0 c, 1 a, 0 01 d, 1 d, 1 d, 0 11 c, 1 d, 1 c, 0 10 c, 0 c, 1 c, 0XYNS, ZNS, Z Obtencin de la forma de onda para la seal de salida: Utilizando la tabla de estados anterior, encontremos la secuencia de estados . Para ello, en cada flanco de reloj activo (en nuestro caso, el negativo) se considera cul es el estado pre-sente y las entradas existentes justo antes del flanco ; para esepar de valores, se observa en la tabla cul es el prximo estado . ste es el estado del circuito durante el prximo ciclo de reloj . La solucin, en nuestro caso, la mostramos en la siguiente figura : Como inicialmente no conocemos el estado presente, hemos dejado como interrogante cul es ese estado (otra solu-cin podra ser suponer un estado inicial cualquiera) .ANLSS DE CRCUTOS SECUENCALES 183Una vez conocida la secuencia de estados por los que pasa la mquina dibujemos la for-ma de onda de la salida. Para determinarla, basta conocer el estado presente total (XY, S) y mirar en la tabla de salida cul es el valor de sta . Como es un circuito de Mealy, la salida pue-de cambiar, tanto cuando cambie el estado (flanco negativo de clk), como cuando cambien cualquiera de las entradas X e Y. clk X __ Y S?dcc c ccd c Z1Problema 8.-Para el circuito de la figura, dibuje la forma de onda de la salida para las secuen-cias que se muestran. Supongamos que el sistema parte del estado (q1,g2,q3,) = (0,0,0).yl clk 1 clk2 Y X Solucin P8.-Para conocer la forma de onda de la seal de salida Z obtenemos previamente la forma de las seales ql, q2 y q3. Cada una de las transiciones de las seales tiene asociada un nmero en la figura y a continuacin se expone la explicacin relativa a cada nmero.184 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESclk 1 S clk2 Y X 1 14 e oq1Fo0oooq2 q3 zoFo0F Fo Fo FF~ OOoDado que JjK1= 11 e Y = 1, se cumple Q1= q1en el flanco negativo de clkl .O O2 Como Y = 0, tenemos que Q1 = 0 y Q1 = 1 (independientemente del reloj clkl ).3 Como Y = 0, tenemos que Q2= Q3= 1 (independientemente del reloj clk2) .Dado que Y = 1 y D2= Xy D3= X, segn sea el valor de X se cumplir que Q2 Q3 = 1 en el flanco negativo de clk2 . Dado que Y = 1 y D2= X y D3= X, segn sea el valor de X se cumplir que Q2 Q3= 0 en el flanco negativo de clk2 . Z se obtiene como resultado de evaluar en el tiempo la ecuacin : Z = Y ql q2 q3 .Problema 9.-En el circuito de la figura, las entradas A, 8, y C estn todas inicialmente a cero . La salida Y tambin est inicialmente a cero (0) y pasa a uno (1) despus de una cierta secuencia en el cambio de A, B y C a uno (1). a) Determine la secuencia que har que Y pase a uno (1). b) Explique por qu se necesita el pulso de Start.J1 J2 >clk clkKK lCLuCStartSolucin P9.-Como K2= 0, las entradas del biestable Y slo pueden ser J 2K2 = 00, en cuyo caso el biestable no cambia de estado, o J2K2= 10, en cuyo caso Y = 1 . Si inicialmente Y = 0 y se desea que pase a 1 necesitamos : 1-O) que J2= X sea 1 22)que el reloj del biestable X: C, suba a 1 (tenga un flanco de subida) . Para cumplir la condicin primera, de forma anloga, A debe subir a 1 y, despus, B (re-loj del biestable X) tambin debe subir a 1 . Por tanto, la secuencia de cambios a seguir por las seales A, B y C es :Cuando Y = 1, como J2K2 = 00 10, no puede cambiarse el estado del biestable "Y" mediante las entradas sncronas . De aqu que sea necesario ponerlo a 0 asncronamente (Start) cada vez que se desee que la entrada "Y" tenga un flanco de subida.Problema 10.-Analice el circuito de la figura donde los biestables son disparados por flanco y obtenga la secuencia que genera partiendo del estado inicial q1q2q3q4 = 1000 .q1 q2 q3q4 ADi Dq2D3 DclkEste circuito posee bloqueo. Usando puertas lgicas, modifique el circuito (aadiendo lo necesario) de forma que se evite el bloqueo : 1 Utilizando las seales asncronas de los biestables (no mostradas en la figura) .2 Sin utilizar las seales asncronas de los biestables. Qu ocurrira en el caso de que los biestables fuesen disparados por nivel?Solucin P10.-Analizamos el circuito aplicando el mtodo habitual de anlisis de circuitos secuenciales sncronos . Obtenemos en primer lugar las ecuaciones de excitacin : D1 = q10+ q4 D2 = q1 D3-q2 D4 = q3186 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESDado que en biestables tipo D se cumple Di = Q, tenemos la siguiente tabla de estados para el circuito:q3 q4q 200 0000 1000 1001 000101 0010 1010 1011 001111 1110 0110 0111 1111lo1100 0100 0101 110100 01 11 10Q1Q2Q3Q4 De la tabla anterior, llamando cada estado porla representacin decimal de la palabra binaria ql q2 q3 q4 se obtiene el grafo de estados que se muestra :C 09009000 Goce***Existe bloqueo en el funcionamiento del circuito, ya que si el circuito entrase en el estado 0 nunca saldra de l . Para eliminar el bloqueo basta detectar cundo el sistema se encuentra en dicho estado, y realizar alguna accin que lo fuerce a salir de l . Planteamos dos soluciones distintas: 1) Solucin asncrona: Activar la seal de preset PR de cualquiera de los biestables . De esta forma obligamos a que el sistema cambie de estado asncronamente . 2) Solucin sncrona: Se tratara de introducir un 1 por la entrada de alguno de los biestables forzando igual mente el cambio de estado . Para detectar que nos encontramos en el estado 0 definimos una seal Z como : Z= ql q2. q3. q4 De esta forma las soluciones asncrona y sncrona aplicadas al biestable 1 son respectivamente:q4 -q4Z -q3 -q3 -q2 -q2 PRD1q1 DSolucin asncrona Solucin sncronaAnalicemos qu sucede si los biestables son disparados por nivel (por ejemplo, por el nivel alto del reloj). Cuando clk = 0 se mantendra estable el ltimo estado almacenado y cuando clk = 1 ira modificndose el estado de acuerdo con el grafo de estados . Esto se hara al ritmo fijado por los tiempos de propagacin de los biestables y puertas . As, por ejemplo, si clk = 1 durante 4 veces eltiempo de propagacin de los biestables tendramos que en cada pulso habra 4 cambios de estados: clk S136 944 42 181212 Como el tiempo de propagacin es difcilmente controlable (cambia de un biestable a otro, vara con la temperatura, etc . . .), la secuencia de estados no podra ser determinada . No obstante, s se puede controlar la anchura del pulso clk =1 y hacerla suficientemente grande como para que haya un cambio de estado y suficientemente pequea como para que no haya dos. As, el comportamiento del circuito con latches sera equivalente al del circuito con flip f lops.Problema 11.-Para el circuito de la figura se pide : a) Analizarlo. b) ndicar la secuencia de salida que se obtiene si inicialmente los tres biestables tienen salida cero. c) ndicar cmo pueden sustituirse los biestables D y JK por biestables PM sin tener que redisear el circuito.PqD2q q2 q3K clkPM Q(t+1) 0 0 Q(t) 01 1 1 0 0 1 1 Q(t) 188 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESq3Solucin P11 . a) Ecuaciones de excitacin: P1=q3 M1=q1 +q3 D2 = q1EDq3 J3-g2'g3 K3= 1Z = g2-g3 De las ecuaciones de excitacin pasamos a la tabla de excitacin y salida :9392 00 01 11 10q001,0,01, 0 11,0,01, 1 01,1,11, 0 01,1,11, 01 01,1,01, 0 11,1,01, 1 01,0,10, 0 01,0,10, 0J3K3,D2,P1M, ZPasamos a la tabla de excitacin, a partir de las tablas de transicin de cada uno de los distintos biestables:0QQA continuacin la tabla de transicin y salida :q3q2 q1 000 001, 0 011, 001 101, 1 111, 111 010, 0 000, 010 010, 0 000, 01Q3Q2Q1, Z Y como ltimo paso del anlisis la tablade estados y salida, donde hemos llamado a los estados 0, 1, 2, 3, 4, 5, 6 y 7 segn la codificacin de las variables de estado que se muestra : q3q2q1 = 000, 001, 010, 011, 111S NS Z 0 10 1 30 25 1 37 1 420 500 620 700b) nicialmente g3g2q1 = 000 (S = 0) S: 0-> 1-~3 - 7 lo 0'- 1-o 3-> 7Z:0010 0 10TTsecuencia que se repitec) La sustitucin del biestable D y JK por uno PM es como sigue : -Respecto al biestable D: A partir de la tabla de excitacin del biestable D y la del PM se obtiene la tabla para PM en funcin de D y q. Las trestablas se muestran en la figura :q -~> Q PMffd8ffe000104a46494600010201012d012c0000ffe20c584943435f50524f46494c4500010100000c484c696e6f0 21000006d6e74725247422058595a2007ce00020009000600310000616373704d5346540000000049454320735 247420000000000000000000000000000f6d6000100000000d32d4850202000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000001163707274000001500000003 364657363000001840000006c77747074000001f000000014626b707400000204000000147258595a000002180 00000146758595a0000022c000000146258595a0000024000000014646d6e640000025400000070646d6464000 002c400000088767565640000034c0000008676696577000003d4000000246c756d69000003f8000000146d656 1730000040c0000002474656368000004300000000c725452430000043c0000080c675452430000043c0000080 c625452430000043c0000080c7465787400000000436f70797269676874202863292031393938204865776c6574 742d5061636b61726420436f6d70616e790000646573630000000000000012735247422049454336313936362d 322e31000000000000000000000012735247422049454336313936362d322e3100000000000000000000000000 0000000000000000000000000000 q0 1 _ ffd8ffe000104a46494600010201012d012c0000ffe20c584943435f50524f46494c4500010100000c48 4c696e6f021000006d6e74725247422058595a2007ce00020009000600310000616373704d53465400 00000049454320735247420000000000000000000000000000f6d6000100000000d32d485020200000 000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000001163707274000001500000003364657363000001840000006c77747074000001f00000001 4626b707400000204000000147258595a00000218000000146758595a0000022c000000146258595a 0000024000000014646d6e640000025400000070646d6464000002c400000088767565640000034c0 000008676696577000003d4000000246c756d69000003f8000000146d6561730000040c00000024746 56368000004300000000c725452430000043c0000080c675452430000043c0000080c6254524300000 43c0000080c7465787400000000436f70797269676874202863292031393938204865776c6574742d5 061636b61726420436f6d70616e7900006465736300000000000000127352474220494543363139363 62d322e31000000000000000000000012735247422049454336313936362d322e3100000000000000 0000000000000000000000000000000000000000 _0 .-101 o -0 1-0 1 1 0 1 10 0--10-6,-1Una solucin es: P=DPMM=D-Respecto al biestable JK: A partir de la tabla de excitacin del biestable JK (que se muestra en la siguiente figura) y de la del PM se obtiene la tabla para PM en funcin de JK y q (que tambin se muestra en la figura) : JK q 00 0 -0 1--0 1-01 01 1 0--1 1 0 1 0 0--1 QJPM Una solucin es: P=Jq M=K.qPqq190 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES PROBLEMAS CON SOLUCN RESUMDA Problema12.-Obtenga los biestables JK, T y D a partir del biestable RS.Solucin P12.Problema 13.-Obtenga los biestables JK y D a partir del biestable T. Solucin P13.J -D-Problema 14.-Obtenga los biestables D y T a partir del biestable JK . Solucin P14.T 9 9 99Problema 15.-Para las secuencias de entrada de la figura, encuentre la forma de onda de salida para el caso de un biestable JK disparado por flanco negativo . Reptalo para el caso de ser disparado por flanco positivo.clk JKSolucin P15.-Biestable disparado por el flanco positivo de clk. clkq00/1Biestable disparado por el flanco negativo de clk . clk 1qProblema 16.-Analice el circuito secuencial sncrono de la siguiente figura :qSA dROMd3-K q A $ (AlA0) f$1 d2 d, 0F 0 10 D3 22 3B T2clkSolucin P16.-Si denominamos a los distintos estados por los que pasa la mquina como se muestra a continuacin, podemos dar la tabla de estados correspondiente a este circuito se-cuencial sncrono.192 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESg1g2q3=000 S=0 0 1 g1g2q3=001 S=1 7,0 7, 1 q1 q2 q3=01 0 S=2 0,0 0,0 q1 q2 =100 S=4 q3=01 1 S=3 2,0 2,0 g1g2q3 5,0 5, 1 q1 q2 q3 = 1 0 1 S=5 3,0 6,0 q 1 q2 q3 = 1 1 0S=6 4,0 7,1 ql q2 q3 = 1 1 1 S=7 6,0 5, 1 1,0 4,0 NS, ZProblema 17.- Para el circuito secuencial de la figura, obtenga la forma de onda de la salida Z correspondiente a la forma de onda X mostrada tambin en la figura . Parta del estado inicial q1 q0= 00. X q0 -L_Jq qTp _q0Xq0 -Kclkq0-X -q0 q1 q0 q1clkxSolucin P17.-Nombraremos a los estados del circuito de la forma mostrada a continuacin, y a partir de la tabla de estados obtenida deduciremos la secuencia de salida. X q1 q0=00 S=0 g1g0= 01 S=1 q1 q0= 10 S=2 g1g0=11 S=3 NS, ZEl valor de X se toma en el flanco negativo de clk . El estado inicial consideramos que es el 0 (q 1q0 = 00) . La secuencia de salida es la mostrada a continuacin : clk1 130 13Problema 18.- Analice el circuito de la figura . Si inicialmente los biestables estn a 0, indique la secuencia de salida para la siguiente secuencia de entrada: x: 1 1 1 0 0 0 (cada bit corresponde a un ciclo de reloj) X_q1q2X-xq1 -J3q q2-X -K3qq1 q21q J2 q2K K2 clk Solucin P18.-Tras el anlisis del circuito obtenemos el diagrama de estados al que responde la mquina secuencial. La codificacin que se ha usado para cada uno de los estados es:g1g2q3=000 S=A 0;0O0;0 01,0O O1,1 g1g2q3=101 S=G 0,0 g1g2q3=1001,0 O g1g2q3=001 S=B 919293=01 1 S=C 0,1g1g2q3=010 S=D , g1g2q3=110 S=E0010S=H 1,10,1 0,0 glg2g3=111 S=FPara dar la secuencia de salida partiremos del estado inicial A (q1q2q3 = 000) y aplicaremos la secuencia de entrada.194 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALESclkS ACH EADG Z1Problema 19.-Para el circuito y secuencia de entrada de la figura, determine la forma deonda de salida. El estado inicial es desconocido. Los biestables son disparados por flanco . Justifique las transiciones producidas en la salida . YclkYSolucin P19. clk __rxLY ZiMLZ2Problema 20.-Analice el circuito de la figura y muestre la secuencia de salida para la secuen-cia de entrada dada. Qu ocurrira si los biestables son disparados por el nivel alto del reloj?Dq1 qiDq2 q2clkclk111Solucin P20.-Tras el anlisis del circuito secuencial sncrono se obtiene la siguiente tabla de estados como resultado. La codificacin de los estados de la mquina secuencia) es :q1 q2=00 S=0 q1 q2=0 1 S=1 q1 q2= 10 S=2 q1 q2=11 S=3 NS, Z Aplicando la secuencia de entrada que propone el problema se obtiene la siguiente salida. Suponemos que inicialmente la mquina se encuentra en el estado 0 . clkxS000212310023312310000000 Z Si los biestables fuesen disparados por nivel se podran dar mltiples cambios de estado durante el nivel activo del reloj . El circuito funcionara respondiendo a la tabla de estados dada en la solucin si se disea el reloj clk con una anchura de pulso suficientemente grande para que el biestable cambie una vez por ciclo del reloj, y suficientemente estrecha para que no cam-bie ms de una vez.Captulo 8 DSEO DE CRCUTOS SECUENCALESEl anlisis de circuitos secuenciales bsicamente consiste en lo siguiente : dado un circuito hay que describir su comportamiento verbalmente o, al menos, mediante la tabla o el diagrama de estados. Este Captulo esta dedicado al proceso inverso, esto es, dada una funcin secuencial hay que obtener un circuito que la implemente . A este proceso es lo que se le llama el diseo de circuitos secuenciales. Ms concretamente, en este Captulo se va a tratar el proceso de diseo de circuitos secuenciales sncronos . PASOS DEL PROCESO DE DSEO Existe un procedimiento formado por un conjunto de pasos que permite realizar este proceso de una forma lo ms sistemtica posible. Este conjunto de pasos es el que se muestra en la figura: Descripcinverball Descripcin formal: Tabla o Diagrama de estados/salida l Reduccin de estados Tabla mnima de estados/salida 1 Asignacin de estados Tabla de transicin/salida 1 Eleccin de biestables Tabla de excitacin/salida l Sntesis de funciones combinacionales Ecuaciones de excitacin y de salida i CircuitoA continuacin se describe en qu consiste cada uno de estos de pasos . 1 . El primer paso consiste en generar una descripcin formal del comportamiento a par-tir del enunciado de la funcin secuencial . La descripcin formal consiste en la tabla o el diagrama de estados siguiendo alguno de los dos modelos de mquina secuencial, el de Mealy o el de Moore. La forma de obtenerla depende en gran medida de la funcin secuencial, de for ma que no existe un nico mtodo que sea vlido para cualquier enunciado de funcin secuencial. Es un paso no sistemtico. Por este motivo es el paso ms difcil de realizar y de lo bien que se haga depende en gran medida el buen desarrollo del resto del proceso de diseo . 2. El segundo paso consiste en obtener la tabla de estados mnima. Esta es una nueva tabla de estados equivalente con la obtenida en el paso anterior (i.e.,dan lugar al mismo com-portamiento de entrada-salida) con el menor nmero de estados posible . Este paso se llama de reduccin de estados y existe un mtodo sistemtico que permite realizar dicha reduccin en tablas de estados completamente especificadas. Este mtodo se presenta en el problema 6 . 3. De la tabla mnima de estados hay que pasar a la tabla de transicin/salida . En esta nueva tabla se representan los estados por un cdigo binario . Este cdigo binario debe ser al-macenado por el circuito y para ello se utilizan un conjunto de biestables, tantos como bits ten-ga el cdigo . Esta asociacin de un cdigo binario a cada estado es lo que se llama la asigna-cin de estados. La asignacin determina cmo va a ser la tabla de excitacin y de salida y, por tanto, afecta al coste del circuito. Como criterio bsico, en este Captulo se va a utilizar el me-nor nmero posible de variables de estados, lo que significa disear circuitos con el menor n-mero de biestables posibles . Por otra parte, para hacer la asignacin concreta en los problemas correspondientes se van a utilizar dos mtodos diferentes . En el caso de tablas de estado de 3 4 estados se aplicar el mtodo exhaustivo que consiste en obtener el circuito para las tres nicas asignaciones que dan lugar a circuitos con coste distinto y elegir la de menor coste . Para tablas con mayor nmero de estados se aplicar el mtodo basado en las reglas de adyacencia . Este mtodo da lugar a asignaciones de buen coste pero no necesariamente el ptimo. Se de-sarrolla con detalle en el problema 11 . 1. 4. De la tabla de transicin hay que pasar a la tabla de excitacin del circuito . En ella se representa cul es el valor de cada una de las entradas de los diferentes biestables para conse-guir las transiciones de la tabla de transicin . Este paso exige que previamente se haga la elec2. cin del tipo de biestables que se van a usar en el circuito . 2 A partir de la tabla de excitacin/salida se obtienen las ecuaciones de excitacin (i.e., ecuaciones de entrada de los biestables) y de salida mediante mtodos de sntesis de funciones combinacionales, ya considerados en el Captulo 4. 3 Por ltimo, a partir de las ecuaciones se obtiene el circuito . ndice del Captulo Este Captulo desarrolla problemas de las siguientes materias : -Construccin de diagramas/tablas de estados . -Reduccin de tablas de estado . -Asignacin de estados . -Proceso de diseo completo. PROBLEMAS RESUELTOSProblema 1.-Construya la tabla de estados para una mquina de Mealy con una entrada X y una salida Z, que detecte la llegada de tres ceros o tres unos consecutivos, dando una salida Z = 1 coincidiendo con la aparicin del tercer bit.Solucin Pl.-Para construir la tabla de estados vamos a partir de un estado conocido como, por ejemplo, aqul querepresenta la llegada de 2 ceros consecutivos (llammosle estado A) . En A: -Si X = 0: el prximo estado es A y la salida vale 1 . -Si X = 1: se pasa a un nuevo estado, que llamamos B . La salida es 0. B es un estado que representa el comienzo de una secuencia de 1's. En B: Si X = 0: se pasa a un nuevo estado, C. La salida es 0. Si X = 1: se pasa a un nuevo estado, D. La salida es 0. C es el estado que representa el comienzo de una secuencia de 0's . Por otra parte, D es el estado que representa la llegada de 2 unos consecutivos . En C: -Si X = 0: se pasa al estado A. La salida es 0. -Si X = 1: se pasa al estado B. La salida es 0. En D: -Si X = 0: se pasa al estado C. La salida es 0. -Si X = 1: se pasa al estado D. La salida es 1 . De esta forma, el diagrama y la tabla de estados quedan como se observa :499X Estados 0 1 1 ffd8ffe000104a46494600010201012d012c0000ffe20c584943435f50524f46494c45000101000 00c484c696e6f021000006d6e74725247422058595a2007ce0002000900060031000061637370 4d5346540000000049454320735247420000000000000000000000000000f6d6000100000000d 32d4850202000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000011637072740000015000000033646573630000018400000 06c77747074000001f000000014626b707400000204000000147258595a000002180000001467 58595a0000022c000000146258595a0000024000000014646d6e640000025400000070646d64 64000002c400000088767565640000034c0000008676696577000003d4000000246c756d69000 003f8000000146d6561730000040c0000002474656368000004300000000c725452430000043c 0000080c675452430000043c0000080c625452430000043c0000080c7465787400000000436f7 0797269676874202863292031393938204865776c6574742d5061636b61726420436f6d70616e 790000646573630000000000000012735247422049454336313936362d322e31000000000000 000000000012735247422049454336313936362d322e31000000000000000000000000000000 000000000000000000000000A A, 1 B,0 /0 B C,0D,0C A,0 B,00/1 00/0D C,0 D, 1 0/0 Diagrama de estados Tabla de estados Obsrvese que el estado A realmente es el estado que resulta tras detectar 2 o ms ceros ; anlogamente, la deteccin de 2 o ms unos conduce al estado D .Problema 2.-Obtenga el diagrama de estados de un circuito con dos entradas, X e Y, que d salida Z= 1 cuando en los cuatro ltimos ciclos de reloj, las entradas hayan sido 11, 01, 01, 11 .Solucin P2.-Siguiendo el modelo de Mealy: Partimos del estado que corresponde a que no ha llegado ningn valor de la secuencia de entrada que hay que detectar: estado A. En A: -Cuando XY = 11, se pasa a un estado nuevo: B. Z = 0.-Con cualquier otro valor en XY se permanece en el estado A . Z = 0.B es el estado que guarda la informacin de que ha sido detectado el primer valor de la secuencia (XY = 11). En B: -Cuando XY = 01, se pasa a un estado nuevo : C. Z = 0. -Cuando XY = 11, se permanece en B . Z = 0. -Con cualquier otro valor se pasa al estado A . Z = 0. C es el estado que guarda la informacin de que ha sido detectado el segundo valor de la secuencia (XY = 01) tras el 11 . En C: -Cuando XY = 01, se pasa a otro estado: D. Z = 0. -Cuando XY = 11, se pasa al estado B. Z = 0. -Con cualquier otro valor se pasa al estado A . Z = 0. D es el estado que guarda la informacin de que se ha detectado el tercer valor de la se cuencia (XY = 01) tras el 11 y 01. En D: -Cuando XY = 11, se completa la secuencia . Z = 1 . Se pasa a B . -Con cualquier otro valor se pasa al estado A . Z = 0. El diagrama de estados queda de la siguiente manera: 0-/0 11/0 11/1 10/0 /0Siguiendo el modelo de Moore : Partimos del estado que corresponde a que no ha llegado ningn valor de la secuencia a detectar: estado A. En A, la salida vale 0 (Z = 0) : -Cuando XY = 11, se pasa a un estado nuevo: B . -Con cualquier otro valor en XY, se permanece en el estado A . B es el estado que guarda la informacin de que ha sido detectado el valor (XY = 11) . En B,Z=0: -Cuando XY = 01, se pasa a un estado nuevo : C . -Cuando XY = 11, se permanece en B. -Con cualquier otro valor se pasa al estado A . C es el estado que guarda la informacin de que ha sido detectado el 01 tras el 11 . En C, Z=0: -Cuando XY = 01, se pasa a otro estado : D. -Cuando XY = 11, se pasa al estado B. -Con cualquier otro valor se pasa al estado A. D es el estado que guarda la informacin de que se ha detectado el 01 tras el 11 y 01 . En D,Z=0: -Cuando XY = 11, se pasa a un nuevo estado E. Con cualquier otro valor se pasa al estado A.E es el estado que guarda la informacin de que se ha detectado la secuencia completa . Por tanto, Z = 1. Tras E: -Cuando XY = 00 10, se pasa al estado A. -Cuando XY = 11, se pasa al estado B . -Cuando XY = 01, se pasa al estado C. El diagrama de estados queda de la siguiente manera :11Problema 3.-Ha recibido de un viejo amigo la siguiente carta : "Querido amigo: Al poco tiempo de comprar esta vieja mansin tuve la desagradable sorpresa de com-probar que est hechizada con dos sonidos de ultratumba que la hacen prcticamente inha-bitable: un canto picaresco y una risa sardnica . An conservo sin embargo cierta esperanza, pues la experiencia me ha demostrado que su comportamiento obedece ciertas leyes, oscuras pero infalibles, y que puede modifi-carse tocando el rgano o quemando incienso . 1. cada minuto, cada sonido est presente o ausente . Lo que cada uno de ellos har en el minuto siguiente depende de lo que pasa en el minuto actual, de la siguiente manera : 2. El canto conservar el mismo estado (presente o ausente) salvo si durante el minuto actual no se oye risa y toco el rgano, en cuyo caso el canto toma el estado opuesto. cuanto a la risa, si no quemo incienso se oir o no segn el canto est presente o ausente (de modo que la risa imita el canto con un minuto de retardo) . Ahora bien, si quemo incienso la risa har justamente lo contrario de lo que haca el canto . el momento en que te escribo, estoy oyendo a la vez la risa y el canto. Te quedar muy agradecido si me dices qu manipulaciones de rgano e incienso debo seguir para res-tablecer definitivamente la calma." Conteste la carta. Solucin P3.-Los sucesos de la vieja mansin obedecen a una mquina secuencia], de la si-guiente forma: -Valores (o estados) de entrada Son las acciones que realiza "nuestro viejo amigo" . Existen 4 posibles estados de entrada: 202 PROBLEMAS DE CRCUTOS Y SSTEMAS DGTALES n : Ni toca el rgano ni quema incienso. i : Quema incienso (pero sin tocar el rgano) . o : Toca el rgano (pero sin quemar incienso). io: Quema incienso y toca el rgano simultneamente . -Valores (o estados) de salida Son las posibles situaciones de sonido en la casa : On: No se oye nada (situacin de tranquilidad!) . Oc: Se oye el canto picaresco (pero no la risa) . Or: Se oye la risa sardnica (pero no el canto) . Ocr:Se oye la risa y el canto . -Estados de la Mquina Como la salida cambia con "la seal de reloj" (esto es, cada minuto), cambia con el estado por lo que es una mquina de Moore. En principio, pues, asociamos 4 estados, uno por cada una de las salidas (Sn*On;Sc-4 Oc; Sr -Or; Scr- Ocr). Estos estados estn determinados por el valor (S, NO) de dos variables de estados que, por conveniencia, denominaremos "c" (canto) y "r" (risa) . . OPERACN: Representaremos con minsculas (c, r) el valor presente y con mays-culas (C, R) el valor prximo. i) Canto: Si no se oye la risa, r = NO, y se toca el rgano, lo io,cambia de estado: C= c. En cualquier otro caso, el canto no cambia de valor : C = c. ) Risa: Si no se quema incienso (valores de entrada n o) sigue al canto, C, con un minuto de retraso: R = c. Si se quema incienso, (valores de entrada i io), la risa hace lo opuesto al canto con un minuto de retraso: R = c De esta forma la tabla de estados queda como sigue:Sn Sc Sr Scrc r NO NO S NO NO S S Sn 10 i NONO S NO NO Sha S SOn Oc Or OcrS S NO S S NO NONO NONO NONO NO S NO S S S CR S S S NO S NOLa respuesta a la carta debe decir lo siguiente: l,r minuto: Se oye risa y canto por lo que debe quemar incienso; 2 minuto: Se oye canto pero no risa, por lo que debe quemar incienso y tocar el rgano; 3` minuto: No se oye nada por lo que no debe hacer nada . Problema 4.-Sobre una nica lnea X se enva una informacin sincronizada con una seal de reloj Ck. Se ha convenido que la informacin sea correcta siempre que no haya dos o ms unos consecutivos o cuatro o ms ceros consecutivos. Obtenga el diagrama de estados de un ci