110
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.11 • Issue 4 • May/June 2016 www.semiconductor-today.com ISSN 1752-2935 (online) Fujian Grand Chip to acquire Aixtron •POET buys DenseLight MACOM sues Infineon •Sol Voltaics raises $17m New PV efficiency records News from IMS & PCIM Europe

Download V.11, issue 4, May / June 2016 (36 MB)

Embed Size (px)

Citation preview

Page 1: Download V.11, issue 4, May / June 2016 (36 MB)

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.11 • Issue 4 • May/June 2016 www.semiconductor-today.com

ISSN 1752-2935 (online)

Fujian Grand Chip to acquire Aixtron•POET buys DenseLight MACOM sues Infineon•Sol Voltaics raises $17m

New PV efficiency recordsNews from IMS & PCIM Europe

Page 2: Download V.11, issue 4, May / June 2016 (36 MB)

Introducing Veeco’s new TurboDisc® EPIK700™ GaN MOCVD system As global consumption for LED general lighting accelerates, manufacturers need bigger, better MOCVD technology solutions that increase productivity and lower manufacturing costs. The EPIK700 MOCVD system combines Veeco’s award-winning TurboDisc reactor design with improved wafer uniformity, increased productivity and reduced operations expenses to enable a cost per wafer savings of up to 20 percent compared to previous systems. It also features a reactor with more than twice the capacity of previous generation reactors. This increased volume coupled with productivity advancements within the EPIK700 reactor, results in an unmatched 2.5x throughput advantage over previous reactors. Learn how Veeco’s TurboDisc EPIK700 GaN MOCVD system can improve your LED manufacturing process today. The advantage is not just big. It’s EPIK.

Contact us at www.veeco.com/EPIK700 to learn more.

Another breakthrough from Veeco. This time it’s EPIK.

Veeco’s New TurboDisc EPIK700 GaN MOCVD System

Faster LED Adoption

Lower Cost LEDs

Page 3: Download V.11, issue 4, May / June 2016 (36 MB)

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

3

contentsEditorial 4

Markets News 6 LED market to grow at CAGR of nearly 17% until 2020 Microelectronics News 8News from IMS 2016 • TowerJazz producing integrated SiGe ‘front-end module on a chip’ RF platform for Internet of Things •GlobalFoundries releases 130nm SiGe 8XP performance-enhancedRF technology for 5G networks Wide-bandgap electronics News 24News from PCIM Europe • Ascatron raises €4m • MACOM suesInfineon • IQE joins imec’s GaN-on-Si Industrial Affiliation Program Materials and processing equipment News 42Fujian Grand Chip to take over Aixtron • IQE transfers Translucent’scREO growth capability to North Carolina facility • Liangdongxinorders Temescal evaporator for new GaAs foundry LED News 56 Cree settles patent disputes and reaches license agreements •Samsung’s LED test lab approved for UL Total Certification ProgramOptoelectronics News 64 EPIC collaborating with Singapore’s LUX Photonics Consortium •TOP-HIT transfer printing consortium to boost large-scaleheterogeneous integration of diverse materials and devices •Ozark wins NASA grant for SiC-based UV imager on a chip Optical communications News 68 POET acquires DenseLight; acquiring BB PhotonicsPhotovoltaics News 76 UNSW boosts unconcentrated solar cell efficiency to record 34.5% & sets 7.6% efficiency record for CZTS solar cells • Avancis setsencapsulated CIGS solar module efficiency record of 17.9% • Solar Frontier Tohoku begins commercial production

Technology focus: Optoelectronics 84Quantum well/barrier intermixing blue-shift of indium gallium phosphide

Technology focus: UV LEDs 86AlGaN nanowire UV LED fabricated on metal foil

Technology focus: Nitride LEDs 88SiO2 charge inverter boosts hole injection in InGaN LEDs

Technology focus: Nitride LEDs 90Coplanar fabricated indium gallium nitride LEDs

Technology focus: Nitride processing 92Wafer-scale lift-off of III-nitride epilayers with boron nitride

Technology focus: GaN diodes 94Fully vertical gallium nitride p-i-n diode grown on silicon

Technology focus: Nitride HEMTs 96III-nitride high-electron-mobility transistors on flexible tape

Technology focus: III-Vs on silicon 98Looking to the future with III-V finFETs

Suppliers’ Directory 102

Event Calendar and Advertisers’ Index 108

Vol. 11 • Issue 4 • May/June 2016

p21Custom MMIC has moved itsheadquarters to new offices inChelmsford, MA.

p24 Ascatron has raised €4m in A-round funding for final development ofits first SiC products.

p66 Europe’s TOP-HIT transfer printingconsortium aims to boost large-scaleheterogeneous integration of diversematerials and devices.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: Toshiba’ssecond-generationSiC Schottky diodesare among manynew SiC and GaNwide-bandgap semi-conductor productdevelopments

unveiled in May at the IEEE InternationalMicrowave Symposium (IMS) and PCIM(Power Conversion and Intelligent Motion)Europe events. p25

Page 4: Download V.11, issue 4, May / June 2016 (36 MB)

In this issue we report developments in new products unveiled at May’sIEEE International Microwave Symposium (IMS 2016) in San Franciscoand the PCIM (Power Conversion and Intelligent Motion) Europe 2016 eventin Nuremberg, Germany, which both highlight silicon carbide (SiC) andgallium nitride (GaN) wide-bandgap devices in particular (see pages 25–40). For example, at PCIM Europe, circuit protection firm Littelfuse Inc — which

produces silicon-based insulated-gate bipolar transistors (IGBTs) — launchedits first SiC Schottky diodes. These join the SiC MOSFETs in production fromMonolith Semiconductor (in which Littelfuse took a stake last December).Last year Monolith relocated from Ithaca, NY to Texas after forming a partner-ship for the manufacturing of its SiC switches on foundry X-FAB Texas’150mm silicon production line. Meanwhile, silicon-focused Toshibaunveiled Schottky diodes based on its second-generation SiC technology,Rohm launched its third generation of Schottkys, and STMicroelectronicsunveiled its latest-generation 650V and 1200V SiC diodes and MOSFETs,which it will scale from 4” to 6” wafers by the end of 2016. Also at PCIM Europe, Cree spin-off Wolfspeed launched the first fully

qualified commercial power module from its operation in Fayetteville, AR(formerly Arkansas Power Electronics International Inc, acquired last July).In addition, at IMS, the latest GaN-on-SiC RF devices for both military andcommercial wireless applications were highlighted by not only Wolfspeedbut also Qorvo and NXP. Meanwhile, Ampleon (formerly NXP’s RF Powerbusiness, acquired by China’s Jianguang Asset Management last December)has launched its second generation of GaN-on-SiC RF power transistors. Regarding gallium nitride on silicon, at PCIM, GaN Systems show-cased

customer systems enabled by its transistors (which will now be distributedworldwide by Richardson Electronics). Silicon-focused Intersil unveiled plansto extend its radiation-tolerant portfolio to GaN-on-Si power conversion ICs,via a collaboration with eGaN FET firm Efficient Power Conversion (EPC).Exagan (spun off from Soitec in Grenoble, France in 2014) announced apartnership to commercialize products (following alliances a year ago withGrenoble-based CEA-Leti to speed GaN-on-Si technology integration andwith X-FAB Silicon Foundries of Erfurt, Germany to develop a high-volumeproduction process for GaN-on-Si devices on 200mm silicon wafers).Epiwafer foundry IQE of Cardiff, Wales, UK has joined the GaN-on-SiIndustrial Affiliation Program of research center imec in Leuven, Belgium,having fabricated 650V power diodes on imec’s 200mm silicon pilot line. Launching its latest GaN-on-Si products (for both wireless base-station and

RF energy applications) at IMS was MACOM, which is also suing Germany’sInfineon and US-based International Rectifier (acquired by Infineon in 2015)to defend its rights to use the patented GaN-on-Si technology developed byNitronex (which was acquired by MACOM for $26m in February 2014). IR collaborated with Nitronex (and hence later MACOM) for many years.MACOM now claims that Infineon has since tried to renegotiate theNitronex–IR agreements to reduce MACOM’s rights (see page 34). Such developments are given impetus by estimates that GaN revenue for

RF applications rose by nearly 50% in 2015, and will rise at a compoundaverage annual growth rate (CAAGR) of 17.5%, more than doubling tonearly $688.5m in 2020, according to Strategy Analytics (see page 7). Mark Telford, Editor [email protected]

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain:● news (funding, personnel, facilities,

technology, applications and markets); ● feature articles (technology, markets,

regional profiles); ● conference reports; ● event calendar and event previews; ● suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2016 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Wide-bandgap broadens out

Page 5: Download V.11, issue 4, May / June 2016 (36 MB)

13966-produktmotive-easiest-to-use-213x282_CS6.indd 1 02.04.15 11:47

Page 6: Download V.11, issue 4, May / June 2016 (36 MB)

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

6

news

The global VLC/Li-Fi market isexpected to grow from just$454.8m in 2015 to $101.3bn by2024, driven by increasing concernover cyber security, according to areport ‘Visible Light Communication(VLC)/Light Fidelity (Li-Fi) MarketAnalysis By Component (LED, Photodetector, Microcontroller), By Application (Retail, Automotive &Transportation, Consumer Electron-ics, Healthcare, Defense & Securityand Aviation) And Segment Fore-casts To 2024’ by Grand ViewResearch Inc. The growing need for ‘green’ tech-

nology solutions with low powerconsumption is encouraging thedevelopment of advanced commu-nication systems, notes the report.VLC is a disruptive technology andis hence expected to seize a sub-stantial share of the Wi-Fi market in

the near future. However, adoptionis at a nascent stage, with use currently for niche applications.Extensive R&D investment canhence help market players to gain a competitive advantage. High illumination, longer life and

low power consumption of has ledto implementation of the LED as acomponent in LiFi systems. Gov-ernment promotion of smart LEDbulbs in developed countries suchas the USA and the UK is expectedto provide a massive infrastructurebase to VLC system providers.The increasing application of

indoor location-based servicesembedded with light fixtures inretail shops and hotels is projectedto be one of the factors driving revenue growth. Several companiessuch as GE Lighting and ByteLightInc as well as Koninklijk Philips N.V.

are deploying VLC installations insupermarkets, which in turn is help-ing retailers to gather customers’shopping histories by tracking theirlocation details. This is helping theretailers to deliver on-site informa-tion tailored to a customer’s needs. Advances in the automotive

industry are projected to enhancecommuter safety. This is being executed through vehicle-to-vehicle(V2V) and vehicle-to-the-roadside(V2R) communication by installingLEDs in the headlights and tail-lights of the vehicle. However, highinstallation and repair costs areprojected to obstruct the large-scale implementation of these systems, especially in emergingeconomies.www.grandviewresearch.com/industry-analysis/visible-light-communication-market

The RF power semiconductor marketis rising at a compound annualgrowth rate (CAGR) of 15.4% from$10.57bn in 2015 to $31.26bn in2022, according to the report ‘RF Power Semiconductor Marketby Product (Power Amplifiers, Passives, Switches, and Duplexers),Material (Silicon, Gallium Nitride,and Gallium Arsenide), Frequency,Application (Consumer, and Aero-space & Defense), and Geography— Global Forecast to 2022’ fromMarketsandMarkets. The use of power amplifiers for

long-term evolution (LTE) hasincreased with the growing demandfor LTE. The transition to LTE requiressignificant investment as the corenetworks also need to be upgradedfor the change in wireless standard.This will also drive demand for RFpower devices, boosting growth.Another major factor drivinggrowth of the RF power semicon-ductor market is the increased use

of smartphones. Key role for RF power amplifiers By device type, RF power amplifiersare expected to comprise the largestmarket share, dominating the RF power semiconductor marketbetween 2016 and 2022 due to the increasing adoption of poweramplifiers worldwide. The growingpreference for wireless connectivityhas driven the use of RF powerdevices in wireless connectivity. RF power amplifiers have appli-cations in sectors such as aero-space & defense, automotive,medical, telecommunications anddata communications, and consumer electronics. Consumer applications heldlargest market share in 2015 By application, consumer appli-cations are expected to comprisethe largest market share, dominat-ing the RF power semiconductormarket between 2016 and 2022.The growing use of smartphones

and the demand for faster datarates are the major drivers in theconsumer sector. The growth of LTEis also one of the major drivers. APAC to hold largest marketshare and grow fastest The Asia Pacific region (APAC) isexpected to hold the largest marketshare (dominating the marketbetween 2016 and 2022) and togrow the fastest (due to the estab-lished electronics industry and theadoption of innovative technolo-gies). The RF power semiconductormarket in Asia-Pacific is expectedto grow at a high rate in consumer,telecommunications and data com-munications, and medical sectors,among others. The increasing number of players in the region isfurther expected to drive growth inAPAC.www.marketsandmarkets.com/Market-Reports/rf-power-semiconductor-market-79671536.html

RF power semi market rising at 15.4% to $31bn by 2022

VLC/Li-Fi market to reach $101.3bn by 2024

Page 7: Download V.11, issue 4, May / June 2016 (36 MB)

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

7

The global LED market is expectedto rise at a compound annualgrowth rate (CAGR) of nearly 17%until 2020, according to the report‘Global LED Market 2016–2020’from Technavio. The report coversfour application segments — general lighting, backlighting, automotive lighting, and others —which in 2015 comprised 40.25%,29.01%, 12.99%, and 17.75% oftotal revenue, respectively. “China supports the largest LED

industry in the world and accountsfor close to 30% of the world pro-duction, thanks to tax benefits andsubsidies provided by the govern-ment to its manufacturers,” saysAsif Gani, one of Technavio’s leadanalyst for semiconductor equip-ment research. “The government ofIndia has launched similar projectsfor promoting energy conservation,and it is likely to draw massiveinvestments into the sector duringthe forecast period,” he adds. General lighting largest market LED revenue for general lighting was$5.76bn in 2015. The residentialmarket is the largest contributor torevenue. Applications of LED lightsfor residential purposes includelighting in bathrooms, dining rooms,

hallways, and kitchens. LED lightshave emerged as the best replace-ment option for fluorescent lights,and are available in different styles,helping to reduce energy usage. In the USA, domestic users enjoy

federal tax credits (residentialenergy-efficiency tax credits) forimproving the energy efficiency oftheir homes. Similar incentives formulated by governments acrossthe world will likely boost marketgrowth until 2020. Backlighting second largest The LED market in the backlightingsegment amounted to $4.15bn in2015. The market is witnessing thegrowing adoption of organic light-emitting diode (OLED) technologyin applications such as smartphonesand televisions. OLEDs providehigh-quality picture content as wellas an overall thin frame. Most LEDcompanies are facing challenges inthe market due to price wars andthe oversupply of LED chips fromthe Chinese market, which hasmade companies shift from tradi-tional LEDs to OLEDs. For example, prominent vendors

like Samsung have drawn theirfocus away from the LED TV market.Similarly, Osram and Lite-On have

found growth opportunities in theinfrared (IR) LED market. Osram’sthird major business segment is IRcomponents, and this is helping the firm to develop comprehensivein-house products such as IR LEDand IR laser technology. LEDs in automotive lighting The LED market in the automotivelighting segment amounted to$1.86bn in 2015. Although LEDcertification for automotive usetakes a long time and the entrybarrier for the supply chain is high,the profits are attractive, notesTechnavio. This will likely drawmany companies into this segment.Daytime running lights (DRLs) andhigh/low-beam headlights are thetwo major applications in automo-tive lighting, which are expected toyield high growth during the fore-cast period. As consumers preferautomotive headlights for their aesthetic appeal and small size, the demand for LEDs is expected tosurge. As the prices of LED lightssee a further decline, the adoptionof automotive LED lighting systemswill gradually shift from premium-to medium-priced car models in thefuture, concludes Technavio. www.technavio.com

Growing adoption of gallium nitride(GaN) technology in RF applications— coupled with the widespreaddeployment of LTE base-stations inChina — drove an increase in RFGaN revenue of nearly 50% in 2015,according to the Strategy AnalyticsAdvanced Semiconductor Appli-cations (ASA) service report ‘RF GaNMarket Update: 2015 — 2020’. Thegrowth in the base-station segmentpushed the commercial portion ofthe RF GaN revenue to more than60% of the total in 2015, withbase-station applications specificallycomprising nearly 55%.

“The performance advantages ofGaN fit nicely with the requirementsof new LTE base-station poweramplifiers,” notes Advanced Semi-conductor Applications servicedirector Eric Higham. “Despite ananticipated decline in the base-station power market, we anticipatethat GaN revenue will increase asmore equipment manufacturersconvert to the technology,” he adds. “As countries undertake new

platform builds and retrofits, RF GaNrevenue in defense applications willgrow faster than the overall market,bringing it on par with commercial

RF GaN revenue by 2020,” says Asif Anwar, service director,Advanced Defense Systems service.So, driven by emerging systemrequirements, RF GaN revenue inthe defense sector will rise fromnearly 38% of the total revenue in2015 to just short of 50% in 2020. Continuing growth in base-station

and defense applications collectivelywill drive RF GaN revenue to rise at acompound average annual growthrate (CAAGR) of 17.5%, more thandoubling to nearly $688.5m in2020, forecasts the report. www.strategyanalytics.com/

RF GaN revenue more than doubling to $688.5m in 2020 China LTE base-station deployments drive commercial applicationsover 60% of market in 2015, but defense to comprise 50% in 2020

LED market to grow at CAGR of nearly 17% until 2020

Page 8: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

8

For its fiscal fourth-quarter 2016(to 2 April), Qorvo Inc of Greensboro,NC and Hillsboro, OR, USA (whichprovides core technologies and RFsolutions for mobile, infrastructureand defense applications) hasreported revenue of $607.1m, down2% on $620.7m last quarter and4% on $633.9m a year ago, butexceeding guidance of $600m (andmuch better than typical seasonal-ity). This reflects increased demandfor the firm’s highly integrated solutions and a return to growth inthe broad target markets for Infra-structure & Defense Products (IDP). IDP revenue grew further to

$142m, up 9% on $130m lastquarter and 1.4% on $140m a yearago. “IDP has repositioned itsdiverse portfolio of businesses toaccelerate growth and we’ve begunto see the benefit of that sharpenedfocus,” notes president & CEO Bob Bruggeworth. In WirelessInfrastructure, revenue grew byover 25% sequentially, indicating acontinued recovery to more historiclevels in base-station business. “We enjoyed increasing demand for Qorvo’s high-frequency andhigh-power solutions across bothinfrastructure deployments andadvanced defense application.” Mobile Products’ revenue fell

further to $465m, down 5% on$489m last quarter (which haditself fallen 15% sequentially aftera late reduction in demand fromQorvo’s largest customer) anddown 5.7% on $493m a year ago.However, Qorvo saw content gainsin the Samsung Galaxy as well assmartphone program ramps. “During the March quarter, Qorvo

enjoyed strong customer demandfor our highly integrated solutions,led by products incorporating ourpremium filters,” notes Brugge-worth, citing the firms expandingfamily of tightly integrated compactRF Fusion solutions that “leverageour BAW [bulk acoustic wave] andtemperature-compensated SAW[surface acoustic wave] filters, our

low-loss, high-throw-count SOI [silicon-on-insulator] switches, andour high-performance, low-noiseamplifiers [LNAs] to deliver perform-ance that’s unmatched by competi-tive solutions”. Specifically, in theChina market, the deployment ofcarrier aggregation and the migra-tion to full-mode devices is increas-ing demand for the firm’s RF Flexsolutions, BAW-based quadplexers,and a broad variety of antenna control solutions. “We expect thesehighly integrated solutions will continue to drive our growth,” saysBruggeworth. “Looking into calendar2017, our customers in China areasking us to integrate our premiumfilters into RF Flex to reduce com-plexity and enhance smartphoneperformance as carrier aggregationproliferates and bands and modesare added,” he adds. “This stronglyfavors our broad product portfolioand integration capabilities.” During the quarter, Qorvo had

three 10%-or-more customers: thelargest (representing the collectivedemand from multiple subcontrac-tors for this end customer) at about33% of revenue (down from 37% ayear ago), plus China-based tele-coms equipment maker HuaweiTechnologies Co Ltd (a customerfor both Mobile Products and Infrastructure & Defense Products),and now joined by South Korea’sSamsung (after greater-than-expected growth).On a non-GAAP basis, gross margin

was 50%, down slightly on 50.4%a year ago but recovering from47.9% last quarter after resolving ayield issue on a few components. Operating expenses were $142.9m,

up from $139.8m last quarter butcut from $150.2m a year ago (andbelow the forecasted $150m),reflecting reduced variable com-pensation expense. Net income has fallen from

$167.2m ($1.11. per diluted share)a year ago and $148m ($1.03 perdiluted share) last quarter to$142.6m ($1.04 per diluted share).

Cash flow from operations was$160.5m. Capital expenditure was$84.4m (above the forecasted$60–70m), primarily to addressgrowth and demand for premiumfilters. Hence free cash flow was$76.1m. After announcing a $500maccelerated share repurchase (ASR)program on 17 February, during thequarter Qorvo repurchased about10 million shares of common stock(although, since the purchaseoccurred about halfway through thequarter, the impact on the March-quarter share count was about 5 million shares). Overall, totalcash and investments hence fellfrom over $1bn to $613m. Strategic highlights of the quarter

are listed as: ● delivering high-volume ship-ments of BAW-based multiplexersenabling carrier aggregation in 4G LTE devices in support of China-based performance-tiersmartphone market; ● securing multiple design winswith a leading China-based smart-phone maker, supporting anupcoming premium-tier marqueesmartphone platform with high-band, mid-band and low-band RFFusion, an envelope tracking (ET)power management integrated cir-cuit (PMIC), multiple switches, andmultiple antenna control solutions; ● expanding its product portfolioand achieving multiple design winsacross antenna control solutions,aperture tuners, impedance tuners,low-noise amplifiers, and discreteswitches; ● robust design-win activity inmobile Wi-Fi with RF Fusion integ-rated front-end modules (iFEMs)and multiple Wi-Fi design wins forautomotive applications; ● securing a reference design onQuantenna’s QSR10G Wi-Fi solution,which enables the industry’s first10G Wave 3 solution through aTrue 8x8 MIMO configuration for5GHz networks with a 4x4 MIMOconfiguration for 2.4GHz networks;and

Qorvo reports better-than-expected quarterly resultsDiversification and highly integrated solutions to drive growth

Page 9: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

9

● expanding the firm’s gallium nitride(GaN) product portfolio and captur-ing large international design winsfor products in both defense andcommercial (base-station) appli-cations (a market that Qorvoexpects will grow at about 25%year-over-year). “Qorvo’s strong financial perform-

ance affords us multiple opportuni-ties to invest our cash,” says chieffinancial officer Steve Buhaly: ● Qorvo acquired GreenPeak Technologies of Utrecht, TheNetherlands (a provider of ultra-low-power, short-range RF solutions) toexpand into the rapidly growingInternet of Things market. “Green-Peak has an expanding business[with markets targeted to grow atabout 60% between now and 2020]and customers all over the globe, agreat number of who are alreadypurchasing high-power solutionsfrom Qorvo,” says Bruggeworth.GreenPeak will become a part of IDP(as part of IDP’s ongoing migrationfrom a high single-digit growth rateto a mid-teens growth rate, compa-rable to the Mobile sector) and willbe run by its founder & CEO CeesLinks, a “recognized industry leaderwho was instrumental in the development and adoption of Wi-Fitechnology”, he adds. “We expectGreenPeak’s ultra-low-power RFsolutions and SOCs [systems-on-a-chip] for the connected home andInternet of Things to nicely comple-ment IDP’s industry-leading portfo-lio of high-power solutions.” ● Qorvo began production of 6” temperature-compensated SAWfilters in Florida and 6” SAW filtersin Greensboro in order to support amarquee smartphone this calendaryear. The firm is also in the finaldays of qualifying its 8” BAWprocess in Texas [Richardson]. “We are investing in our BAWcapacity to support increasing customer forecasts. Our acquisitionof a former Maxim plant just a fewmiles from our existing operation inRichardson will provide low-costcleanroom capacity for the next legof BAW growth,” explains Buhaly.“Our BAW-based hexaplexer proto-

types are demonstrating excellentperformance, and we’ve begun tosample a highly integrated RF Fusionthat incorporates our hexaplexers.We see strong growth and increas-ing customer pull for these types ofsolutions in the coming years,”adds Bruggeworth. “We recentlyacquired cleanroom space near ourRichardson [Texas] campus to support customer demand for BAWfilters, whether they be in discreteimplementations, quadplexers,pentaplexers, hexaplexers, diversityreceive modules or other highlyintegrated RF solutions.” The facilityis already fully operational, andQorvo aims to incrementally convertit to BAW in the second half of calendar 2017 to support customerprograms. “As the industry’s leadingsupplier of SAW, temp-comp SAWand BAW devices, we are addingcapacity to keep pace with theincreasing customer demand for ourhigh-, mid- and low-band products.In fact, Qorvo will soon be in production with low-band PADs,mid-band PADs and high-band PADs[power amplifier duplexers] all inpremium-tier marquee LTE smart-phones,” says Bruggeworth. ● Since the beginning of fiscal 2016,Qorvo has returned about $1.3bnto shareholders through sharerepurchases. Qorvo expects torepurchase an estimated 0.5 millionshares in the June quarter to complete its accelerated sharerepurchase program. The ASR ispart of Qorvo’s $1bn share repur-chase program approved inNovember 2015, of which about$250m remains authorized forfuture repurchases. “We prioritize our uses of cash by

investing in our business to drivegrowth, returning capital to share-

holders through share repurchases,and exploring opportunities forM&A to supplement growth in IDP,”notes Bruggeworth. For fiscal first-quarter 2017

(to end-June 2016), Qorvo expectsrevenue (excluding GreenPeak) togrow 7% sequentially to $650m,with Mobile Products up signifi-cantly (outside of Qorvo’s largestcustomer), driven primarily by continued content growth in China.Gross margin should be steady at50%, despite variable compensationexpense rising back to normal(driving OpEx back above $150m),aided by a higher mix of Mobileproducts seasonally in the Junequarter. Diluted EPS should rise to$1.05. “I think we have, with that growth

rate, a good opportunity to see fiscal year 2017 earnings per sharegetting pretty close to $5 per share,”says Buhaly. Achieving the firm’slong-term model of 55% grossmargin will be aided by the migrationof BAW filters from 6” to 8” wafers,and SAW and TC-SAW filters from4” to 6” wafers (which each reducecost per die by as much as a third).“We’ll be consolidating our GaAsproduction into a single foundryover the next year and a half, andinsourcing assembling & test forpart of our Mobile business (thepart that used to belong to TriQuint)— each of these have a significantimpact,” says Buhaly. “These willoccur throughout the year, but thefinancial impact will be mostly infiscal 2018,” he adds. “We have afair amount of fixed costs, and we will see scale benefits as wecontinue to grow to the market atwhat we expect will be a 10–15%rate.” www.qorvo.com

Qorvo has appointed Mark J. Murphyas chief financial officer, reporting topresident & CEO Bob Bruggeworth.He succeeds Steven J. Buhaly,who previously announced hisretirement from the firm in 2016and will remain as an advisor until31 July.

“Mark brings proven public company finance and operatingexpertise from several large andcomplex global companies and will play a critical role in helping us execute our strategic vision for Qorvo’s growth,” says Bruggeworth.

Page 10: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

10

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure and aero-space/defense applications) haslaunched a family of multi-stagepower amplifiers (PAs) for small-cellbase-stations to improve connectivity,expand capacity and minimize disruptions on LTE/LTE-Advancednetworks. The eight new PAs cover3GPP bands between 1.8–2.4GHzand are pin-for-pin compatible. Qorvo’s newest family of small-cell

PAs targets distributed antennasystems (DAS). The firm says thatsystems using these PAs can elimi-nate the need for linearization ordigital pre-distortion (DPD) correc-tion, reducing system complexity. “Multi-mode, multi-band small-cell

base-stations pose significant RFchallenges in terms of size, power-added efficiency, and co-existencebetween WLAN and cellular fre-quency bands,” says Sumit Tomar,general manager of Qorvo’s Wire-

less Infrastructure business unit.“Qorvo has worked closely withcustomers to address these RFchallenges to create a portfolio ofPAs, low-noise amplifiers (LNAs),filters and duplexers to enable carrier aggregation in small-cellbase-stations,” he adds. “While mobile operators continue

to deploy outdoor macro-cell sitesto increase capacity, the wirelessindustry is transitioning to in-buildingwireless solutions,” comments Earl Lum, president of EJL WirelessResearch LLC. “LTE/LTE-Advanceddrives the need to extend wirelesssignal coverage indoors for corporateoffices, hospitals, shopping malls,and other enterprise clients,” headds. “Distributed antenna systemsand carrier-grade OEM small-cellsolutions like Ericsson Radio Dot,Huawei LampSite, Nokia Flexi Zoneand ZTE’s Qcell are key technologiesthat address the ever growing in-building wireless coverage/capacityproblem.”

Qorvo’s multi-stage PAs integratematching in a low-cost surface-mount package to allow for compact system design. With linearperformance of –47dBc ACLR(adjacent channel power ratio)using a 20MHz LTE signal, theTQP92xx product family(1.805–1.88GHz band 3 TQP9218,1.93–2.0GHz band 2/25 QPA9219,2.01–2.17GHz band 1/4/10/34/66TQP9221 and 2.3–2.4GHz band30/40 TQP9224) provides 24dBmaverage linear power, and theTQP94xx family (1.805–1.88GHzband 3 TQP9418, 1.93–2.0GHzband 2/25 QPA9419, 2.01–2.17GHzband 1/4/10/34/66 TQP9421 and2.3–2.4GHz band 30/40 TQP9424)provides 27dBm average linearpower. All listed products are inproduction and available now. Qorvo’s small-cell products were

showcased at the IEEE InternationalMicrowave Symposium (IMS 2016)in San Francisco (22–27 May). www.qorvo.com

Qorvo’s new small-cell PAs expand capacity andenhance efficiency of LTE/LTE-Advanced networks

At the CES Asia 2016 consumerelectronics show in Shanghai(11–13 May), Qorvo announcedthe availability of a ZigBee 3.0software development kit (SDK)for smart home gateways thatincorporate the new GP712 radiocommunication controller chip fromGreenPeak Technologies (now theLow Power Wireless business unitof Qorvo, after the firm’s acquisitionlast month). The ZigBee 3.0 SDKallows application developers toprogram a GP712-equipped gatewayto communicate seamlessly withvarious sensors, controllers andsystems within the smart home. The ZigBee 3.0 SDK includes

simplified software developmentmodels (APIs) that enable quickdevelopment of GP712-equippedgateway products as part of com-plete, end-to-end systems for the

smart home. The SDK architectureis fully scalable and flexible, enablingsmooth integration of upcomingstandards such as Thread. Whencombined with the GP712, theSDK allows gateway manufacturersto remain protocol agnostic andsupport multiple communicationstacks in a single device. “Our new SDK allows application

developers to quickly build a ZigBee3.0-enabled gateway that functionsas the heart of the integratedsmart home,” says GreenPeak’sfounder & former CEO Cees Links(now general manager of Qorvo’sLow Power Wireless business unit).“GP712-enabled gateways serveas the central point for informationgathering and routing of communi-cation between sensors, controllersand smart phone apps,” he adds.“Smart services like home monitor-

ing, senior lifestyle, HVAC and light-ing that use different communicationstandards will be around for sometime. This SDK adds protocol intel-ligence to the gateway, enabling itto communicate simultaneouslyusing a variety of technologies, andhelps ensure compatibility withcurrent and future standards…This important addition to ourportfolio will allow the smart homemarket to continue to grow in afuture-proof way.” The GP712 radio communication

controller chip enables optimalcoexistence of multiple smart homesystems based on the IEEE 802.15.4standard, supporting ZigBee 3.0,Thread, ZigBee RF4CE and ZigBeeGreen Power in a single radio. Truemulti-channel support enables theprotocol stacks to seamlessly com-municate on different channels.

Qorvo unveils ZigBee 3.0 software development kit

Page 11: Download V.11, issue 4, May / June 2016 (36 MB)
Page 12: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

12

Skyworks Solutions Inc of Woburn,MA, USA (which manufactures analog and mixed-signal semicon-ductors) has launched a suite ofhigh-performance amplifiers specif-ically addressing the rapidly grow-ing small-cell infrastructure market. As mobile devices and applications

supporting the Internet of Things(IoT) transition to 5G technologies,there will be an immediate andsubstantial increase in network loadand an unprecedented deploymentof small-cell systems to helpimprove network performance,says Skyworks. The firm’s newestproducts hence provide infrastruc-ture OEMs with an entire portfolioof solutions for next-generationglobal cellular networks thataddress multiple bands. The new SKY66184-11,

SKY66185-11 and SKY66186-11are compact devices designed for

FDD/TDD small-cell base-stationsand cover major LTE bands. Highgain and high linearity for optimalperformance with what is claimedto be excellent output return lossmake them easy to use and mini-mize the number of external com-ponents. The solutions include anintegrated coupler for output powermonitoring and only require a single3.3V power supply. “Skyworks is enabling small-cell

infrastructure OEMs to radicallysimplify their system architecture,”says David Stasey, VP & generalmanager of Diversified AnalogSolutions forSkyworks.“Specifically,our innovativedevices meetstringent bandrequirementsin the global

landscape and provide our customerswith unmatched amplifier efficiencyand a lower bill of materials,” heclaims. “As a result, service providersand consumers can enjoy betternetwork efficiency and lower costs.” According to a February estimate

by the Small Cell Forum, the globalsmall-cell market is estimated togrow from $1bn in annual equipmentsales in 2015 to $6.7bn by 2020,with small cells accounting for 85%of the radio access network (RAN)hardware in a mobile operator’snetwork. Skyworks showcased its new

products and broad market solutionsportfolio at the IEEE InternationalMicrowave Symposium (IMS 2016)in San Francisco (24–26 May),including giving live demonstrationsof the SKY66184-11. www.skyworksinc.com/Products/969/Amplifiers_for_Small_Cell_Applications

Skyworks’ front-end modules arebeing used by Iotera Inc of Redwood City, CA, USA, which provides wireless technologies forInternet of Things (IoT) appli-cations, across its family of IOTAGPS-enabled tracking products.Initially deployed for tracking pets,the devices are now being utilizedto protect vehicles, bicycles andother personal items. Tracking is becoming an increas-

ingly important opportunity as theInternet of Things explosion prolif-erates and encompasses a widerange of new and previouslyunimagined applications rangingfrom smart meters and connectedvehicles, to security systems andindustrial uses. Skyworks saysthat its connectivity solutionsextend the range and maximizebattery life in these types of devices. “Skyworks is intensely focused on

enabling a broad range of appli-cations spanning the Internet of

Things,” says John O’Neill, VP ofbroad markets. “This partnershipis yet another example of howSkyworks is leveraging its broadproduct portfolio and systemsexpertise to create a first-moveradvantage for new applications,”he adds. “Skyworks has been a vital partner

as we developed our proprietaryplatform,” notes Iotera’s CEO Ben Wild. “Their solutions haveenabled us to build the world’ssmallest, real-time GPS trackingdevices with industry-leading battery life.” Iotera’s IOTA GPS-enabled

trackers use Skyworks’ SE2435L,a highly integrated RF front-endmodule designed for high-powerindustrial, scientific & medical(ISM)-band applications. Otherapplications include smart meters,in-home appliances and smartthermostats. The device includesan integrated power amplifier,

a low-noise amplifier (LNA) andantenna switching withtransmit/receive diversity function. Long-range device tracking with-

out the need for monthly fees isquickly growing in popularity aspeople become aware of theseproducts and services. Accordingto an April Global Market Insightsreport, the consumer global pet-wearable market alone is expectedto exceed $2bn by 2023, drivenlargely by the rising demand forremote monitoring and tracking ofpets. More broadly, IDC is expectingglobal wearable device shipmentsto grow by 128% from 76.1 millionunits in 2015 to 173.4 million by2019. In the industrial segment,General Electric estimates connected industrial machinery willadd $10–15 trillion to the globalGDP within the next 20 years. www.iotera.com www.skyworksinc.com/Product/938/SE2435L

Front-end modules powering Iotera’s GPS-enabled tracking devices

Skyworks launches amplifier family for small-cellinfrastructure market, targeting 5G

The small-cellmarket isestimated togrow from $1bnin 2015 to$6.7bn by 2020

Page 13: Download V.11, issue 4, May / June 2016 (36 MB)

Distribution & Control Department

For sales, product & distributor information, please visit http://www.americas.fujielectric.com/componentsdistribution-control

or contact us: [email protected]

Compact! Ground Fault Protection Built in One Unit for Space Saving Designs

SameFootprint!MCCBs&ELCBsInterchangeablewithoutPanelModifications

18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting

Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489

The New Generation of Low Voltage Earth Leakage Circuit Breakers

Fuji Electric Lambda SeriesNew, Compact, Powerful

Page 14: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

14

For fiscal second-quarter 2016 (to1 April), Skyworks Solutions Inc ofWoburn, MA, USA (which manufac-tures analog and mixed-signalsemiconductors) has reported revenue of $775.1m, down 16% on$926.8m last quarter but up 1.7%on $762.1m a year ago. As a proportion of total revenue,

power amplifiers (PAs) comprised17%, integrated mobile systems(IMS) 58%, and broad markets25%. “We saw healthy growth inboth integrated mobile systemsand broad markets,” says chieffinancial officer & executive VPDonald W. Palette. “Our broad markets portfolio grew over 18%year-over-year.” On a non-GAAP basis, gross mar-

gin was 50.8%, down from 51.4%last quarter but up on 46.7% ayear ago. Operating expenses were less

than the expected $109.5m, fallingback to $108.6m ($73.1m on R&Dand $35.5m on SG&A). “Our gross margin initiatives and

operating expense disciplineenabled us to both expand marginsand earnings in a seasonally downquarter,” notes chairman & CEODavid J. Aldrich. Operating income was $285m

(operating margin of 36.8%), downfrom $366.6m (margin of 39.6%)last quarter but up on $258.9m(operating margin of 34%) a yearago. Likewise, net income was$242.3m ($1.25 per diluted share,$0.01 better than guidance), downon $311.2m ($1.60 per dilutedshare) last quarter but up on$224.6m ($1.15 per diluted share)a year ago. Cash flow generated from opera-

tions was $154.5m. Skyworksinvested $37m in capital expenditure(cut from $79.5m last quarter), withdepreciation of $53.6m. The firmalso repurchased 2 million sharesof its common stock at an averageprice of just over $67.50 a share.During the quarter, cash and cashequivalents hence fell from $1233m

to $1177m (while Skyworks has nodebt). “Given our confidence in ourlong-term business trends, weexpect to continue to be very activewith our share repurchase activityat current levels,” says Palette. Also, Skyworks’ board of directors

has declared a cash dividend of$0.26 per share of common stock,payable on 2 June to stockholdersof record at the close of businesson 12 May. “Driven by our increasing diversifi-

cation and differentiated productportfolio, we delivered another solidfinancial performance for the sec-ond fiscal quarter of 2016, postingyear-over-year growth in revenue,profitability and earnings, even aswe navigated through a combi-nation of inventory adjustmentsand forecast reductions at one ofour major customers,” summarizesAldrich. Business highlights cited during

fiscal second-quarter 2016 includethe following: ● delivering the world’s first front-end solution optimized for LTECat-M (machine-to-machine) appli-cations; ● partnering with leading infra-structure provider to deliver the firstcommercial Gigabit LTE system; ● unveiling SkyBlue (technology thatenhances RF power capability andefficiency in front-end solutions); ● powering Huawei’s P9 flagshipLTE platform with 10 solutions; ● expanding the list of OEMs lever-aging SkyOne integrated platform; ● increasing blended content by20% across Samsung’s Galaxy S7smartphones; ● supporting next-generationlaunches at Lenovo, OPPO, Vivo,Xiaomi and ZTE; ● powering Cisco’s large enterpriseaccess point system with 16 analogsolutions;● enabling Iotera’s GPS industrialtracking devices; and ● leveraging connectivity modulesto power Arris and Liberty Globalset-top boxes.

“Looking ahead, we are well positioned to continue capitalizingon the powerful connectivity andInternet of Things trends as wedeliver higher-value solutions withsuperior performance and functional-ity across new markets, applicationsand customers,” says Aldrich. “We continue to expect IMS to

remain our strongest growth seg-ment, followed by broad markets,while power amplifier products con-tinue to decline as a percentage ofour revenue as the market shiftstowards higher-value integratedsolutions,” says Palette.“During the June quarter we expect

to be impacted by an inventoryadjustment at a large customer,partially offset with gains acrossbroad markets and crisp operationalexecution,” says Palette. Hence, for its fiscal third-quarter

2016, Skyworks expects revenue tofall to $750m (down on $810m ayear previously), with softness atthe firm’s largest customer beingpartially offset by strong year-on-year growth at Samsung, in China,and across the broad markets.Nevertheless, gross margin shouldrise to 51%. “Our strong grossmargin outlook in the face of currentmarket conditions highlights thebenefits of our higher-value integrated systems, along with ourscale and flexible manufacturingoperations,” notes Palette. Operatingexpenses should be roughly level at$108.5m. Diluted earnings pershare should fall to $1.21. “Looking ahead, we see opportunity

for additional margin improvement,as we continue to ramp our customsolutions and leverage our recentcapital investments,” says Palette.“We recommend modeling a 60%incremental gross margin off of thethird-quarter baseline,” he adds.“We continue to target a goal of atleast 53% gross margin for thecompany, and have a number ofinitiatives in place to accelerate ourprogress towards achieving this.” www.skyworksinc.com

Skyworks’ quarterly revenue up slightly year-on-year OpEx control grows margin and earnings in seasonally down quarter

Page 15: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

15

Skyworks Solutions Inc of Woburn,MA, USA (which manufactures analog and mixed-signal semicon-ductors) says that its board ofdirectors has appointed presidentLiam K. Griffin as CEO. Griffin wasalso elected to the board. David J.Aldrich, CEO since 2000, willassume the newly established roleof executive chairman and continueto serve as chairman of the board. Skyworks says that it has sub-

stantially outpaced the broadersemiconductor market, growingrevenue at a 25% annual rate from$1.1bn in fiscal 2010 to over$3.2bn in fiscal 2015. In parallel,the firm has maintained a leader-ship succession planning process toensure that the organization con-tinues to follow this path in future. “Liam Griffin has become Skyworks’

chief executive officer as we capitalize on the enormous globalmobility and Internet of Thingsopportunities ahead of us,” saysAldrich. “Liam has a demonstratedtrack record of success, and ourproven partnership over 15 yearsmakes him my logical successor. Heis a highly energetic and motivatingleader who is widely respected

amongst our customers, partners,suppliers and investors as well asthe entire Skyworks employee base,”he adds. “Liam has the unique abilityto translate challenging operationalplans into market outperformancethrough his intense focus andunyielding tenacity.” As executive chairman, Aldrich will

support the management transitionand work with the leadership teamto develop long-term strategy.Aldrich has served as CEO of Sky-works and its predecessor AlphaIndustries since 2000. Annual rev-enue grew from $126m in 1999,when he became president & CEO,to over $3.2bn as of fiscal 2015,while operating income increased7477%. Griffin joined

Skyworks inAugust 2001 ashead of sales &marketing. Hisresponsibilitieshave continuouslyexpanded with hisleadership of Sky-works’ businessunits, R&D effortsand operations.

In 2011, he was promoted to executive VP and in May 2014 wasnamed president. Griffin has been a key architect of the strategy tocement the firm’s position in mobilecommunications while diversifyingacross the Internet of Things. Before joining Skyworks, Griffin

was VP of worldwide sales at DoverCorp and held product managementand process engineering positionsat AT&T’s Microelectronics and Net-work Systems businesses. Griffinreceived a Bachelor of Sciencedegree in mechanical engineeringfrom the University of Massachusettsand a Master of Business Administra-tion degree from Boston University. “Over the past 17 years, Dave

Aldrich’s vision and leadership havebuilt Skyworks into one of thelargest and fastest-growing semi-conductor companies in the world,”comments Griffin. “We have createdan awesome platform for analog,mixed-signal and RF product integ-ration leveraging our OEM relation-ships and operational scale,” he adds.“I look forward to continuing towork closely with Dave and buildingupon all of his achievements.” www.skyworksinc.com

Skyworks names Liam Griffin as chief executive officer;David Aldrich to become executive chairman

Skyworks has expanded its mobileconnectivity product portfolio byadding several new wireless net-working solutions. The new front-end modules leverage proprietarydesign techniques to extend therange of connected devices andimprove overall system perform-ance — in a much smaller footprintthan alternative options availablecurrently on the market, it isclaimed. According to Cisco VNI Mobile,

smartphones and phablets areexpected to comprise nearly 50%of all global devices by 2020, withmore than half of all traffic from

these platforms being offloaded tofixed networks by Wi-Fi. OEMs arehence seeking high-performancesolutions that can manage thisamount of data and deliver aseamless consumer experience.The new SKY85312-11 and

SKY85720-11 contain integratedpower amplifiers and low-noiseamplifiers, enhancing transmitpower and improving system-on-a-chip receiver sensitivity, respec-tively. Integrated switches andpower detectors also eliminate theneed for external components andenable power setting withoutexternal couplers.

“Skyworks’ next-generation Wi-Fifront-end modules provide a highlyintegrated, turnkey solution forsmartphones and Internet of Thingsapplications,” says Bill Vaillancourt,VP & general manager of MobileConnectivity for Skyworks. “Givenour systems expertise, we simplifyincreasingly complex front-endrequirements for our customersand, in turn, enable unprece-dented connectivity with gains inspeed and range.” www.skyworksinc.com/Product/3160/SKY85312-11www.skyworksinc.com/Product/3161/SKY85720-11

Skyworks adds next-generation Wi-Fi front-end modules to extendconnectivity range and boost smartphone performance

Aldrich willsupport themanagementtransitionand workwith theleadershipteam todevelop long-term strategy

Page 16: Download V.11, issue 4, May / June 2016 (36 MB)

Analog Devices Inc (ADI) of Norwood,MA, USA (which provides ICs foranalog and digital signal processingapplications) has launched a high-power (44W peak) single-pole,double-throw (SPDT) silicon switchthat enables designers to reducehardware size and bias power con-sumption in cellular radio systems. As the next generation of

communications infrastructuretrends toward higher data capacity,cellular radio front-ends must scaledown in size and provide fasterspeeds to meet the demands ofincreased data usage, notes thefirm.

Housed in a small 4mm x 4mmLFCSP SMT package, the newADRF5130 switch meets theserequirements through a high levelof integration that eliminates theneed for external components, saysADI. The switch also reduces powerconsumption to more efficient levelsby operating on a single low-voltagesupply with low current consumptioncompared with existing pin-diode-based solutions, it is claimed. The ADRF5130 is specified at

0.7–3.5GHz frequency band withtypical 0.6dB insertion-loss, highisolation of 50dB, linearity of +68dBmand peak power handling of 44W

during continuous operation mode.The device features robust 2000Velectro-static-discharge protectionon all device pins. It also incorpo-rates a fast CMOS-compatible con-trol interface with switching time ofless than 1µs. Also, a symmetricalcircuit architecture allows the RFinputs to be used interchangeablyin high-power applications. The ADRF5130BCPZ is available

as samples now, and will be in fullproduction in July, priced at $10.04 each in 1000-unit quantities.The ADRF5130-EVAL-Z evaluationboard will be priced at $99. www.analog.com

News: Microelectronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

16

Specialty foundry TowerJazz (which has fabrication plants atTower Semiconductor Ltd in Migdal Haemek, Israel, and at itssubsidiaries Jazz SemiconductorInc in Newport Beach, CA, USA and TowerJazz Japan Ltd) hasannounced volume production of anew RF technology capable ofintegrating a wireless front-endmodule (FEM) on a single chip, tailored to meet Internet of Things(IoT) applications. Analysts estimatethat the number of IoT connecteddevices will grow at 15–20% annu-ally, reaching up to 30 billion unitsby 2020. McKinsey Global Instituterecently estimated that IoT couldgenerate up to $11 trillion by 2025. The TowerJazz process enables

integration of power amplifiers (PAs),switches, and low-noise amplifiersas well as CMOS digital and powercontrol on a single die. TowerJazz isdelivering this product now forsmartphones, tablets and wearables,and the technology also meets themore universal requirements of IoTapplications by providing hat areclaimed to be cost, power, perform-

ance and form-factor benefits versus competing solutions. As an example, TowerJazz has

partnered with Skyworks SolutionsInc of Woburn, MA, USA (which manufactures analog andmixed-signal semiconductors) todeliver a first-of-its-kind integratedwireless FEM using this technology.“We are pleased that our long partnership with TowerJazz on SiGeBiCMOS for PA-based products isnow in volume production for keycustomers of Skyworks,” says Bill Vaillancourt, general manager & VP Skyworks Connectivity Solutions. TowerJazz’s new RF technology

includes a 0.18µm silicon germanium(SiGe) PA device with what is claimedto be best-in-class silicon-basedperformance, a low Ron–Coff switchdevice, a SiGe low-noise amplifier(LNA), 5V CMOS for power control,0.18µm CMOS for integrating MIPIor other digital content as well asthick copper (Cu) metal layers forlow-loss inductors and matchingcomponents. By offering all activecomponents typically required for a

wireless FEM, the technologyenables a new family of productsthat can integrate multiple commu-nication standards (WiFi, Bluetooth,802.15.4 or NFC) that form thebackbone of the IoT fabric todayonto the same chip. “This new technology complements

our existing suite of SiGe PA and RF SOI [silicon-on-insulator] switchtechnology offerings and providescustomers new architectural optionsby enabling the combination ofthese elements on a single die whileoffering best-in-class silicon-based PAperformance,” says Marco Racanelli,senior VP & general manager ofRF/High Performance Analog andUS Aerospace & Defense BusinessGroups, and Newport Beach SiteManager, TowerJazz. TowerJazz exhibited and demon-

strated its process technologies for specialty IC manufacturing atIEEE’s International MicrowaveSymposium (IMS 2016) in San Francisco (22–27 May). www.ims2016.org www.towerjazz.com www.skyworksinc.com

TowerJazz begins mass production of integrated SiGe-based ‘front-end module on a chip’ RF platformtailored for Internet of Things

Analog Devices’ silicon SPDT switch cuts size and powerconsumption in cellular radio RF front ends

Page 17: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

17

Mouser Electronics Inc has signedan exclusive global distribution dealwith Guerrilla RF Inc of Greensboro,NC, USA, which provides monolithicmicrowave integrated circuits(MMICs) to wireless infrastructureoriginal equipment manufacturers. Guerrilla’s RF product line comprises

four series of low-noise amplifiers. The GRF205x series ultra-LNAs

claim exceptional noise figure, gainand linearity, and support a widerange of LNA applications. Thedevices’ flexible application circuitsresult in simple, low-cost implemen-tations that allow for optimal effi-ciency and reuse, says Guerrilla RF. The GRF2501 high-gain ultra-LNA

is designed for IEEE 802.11a/n/ac/papplications in the 5GHz band(4.9–6.0GHz). The device exhibitsde-embedded noise figures (NFs)as low as 0.80dB across the band.It uses a single supply voltage of2.7-5.0V, with typical bias conditionof 3.3V and 12mA. The GRF2505 is

a broadband ultra-low-noise linearamplifier for demanding 802.11acand wireless backhaul LNA andpower amplifier (PA) driver appli-cations. It exhibits what is claimedto be outstanding broadband NF,linearity and return losses over4.0–6.0GHz with a single match.The GRF2505 operates from a singlepositive supply of 1.8–5.0V with aselectable IDDQ range of 20–70mAfor optimal efficiency and linearity.It is internally matched to 50Ω atthe input and output ports, requiringonly seven external resistor, inductorand capacitor (RLC) components. The GRF400x series of broadband

low-noise gain blocks is designed forsmall-cell, wireless infrastructures.The devices exhibit what is claimedto be outstanding RF performanceover multiple GHz bandwidths withlittle or no external RF matching,with supply voltages of 1.8–5.0V.Bias currents can be set independ-ently from Vdd, allowing a linear

solution with optimal efficiency. Theamplifiers suit applications requiringfirst- or second-stage LNA, or canbe used as linear transmit drivers.The GRF4042 is a high-performanceamplifier that offers bypass func-tionality and incorporates GuerrillaArmor technology, which provideshigh off-state isolation even in thepresence of high-RF input powers.This isolation is especially useful inTDD systems in which significanttransmitter RF power can leak intothe transceiver receive path. The GRF50x0 Power LNA series

consists of high-power, low-noisedevices that, with simple matching,can be tuned to cover 100MHz upto 6.0GHz (100MHz to 3.8GHz forthe GRF5040) with fractional band-widths greater than 20%. Devicesare suitable for multi-stage LNAapplications, microwave backhaul,and distributed antenna systems. http://guerrilla-rf.com www.mouser.com/guerrilla-rf

Mouser to distribute Guerrilla RF’s products globally

Page 18: Download V.11, issue 4, May / June 2016 (36 MB)

MACOM Technology Solutions Holdings Inc of Lowell, MA, USA(which makes semiconductors,components and subassemblies foranalog RF, microwave, millimeter-wave and photonic applications)has begun sample shipments of itsMAMF-011057 and the MADC-011014highly integrated E-band transceiver (Tx) and receiver (Rx)modules, which are designed tomeet the needs of the emergingwideband cellular backhaul and 5G millimeter-wave market. Helping to accelerate the evolution

to higher-capacity backhaul, MACOMsays that its surface-mountable E-band Tx and Rx modules simplifythe design and manufacturing oflow-cost E-band point-to-pointradios. The firm’s E-band modulesolution was featured in a 5G millimeter-wave product demon-stration at the IEEE InternationalMicrowave Symposium (IMS 2016)in San Francisco (24–27 May). The MAMF-011057 is a transceiver

featuring a balanced IR mixer, x8LO multiplier, envelope detector, RFbuffer amplifier, RF power amplifierand output power detector in asmall-form-factor surface-mount

module. The module operates at71–86GHz and is designed to beused in direct conversion applications.The output of the Tx module is aWR12 interface for ease of use. The MADC-011014 is a receiver

module integrating a balanced IRmixer with a x8 LO multiplier andlow-noise amplifier (LNA) into asmall-form-factor surface-mountmodule. The device operates at71–86GHz and is designed to beused in direct down-conversionapplications.The RF inputto the Rxmodule is aWR12 inter-face for easeof use. MACOM

complementsthese E-bandmoduleswith what itclaims arebest-in-classphase-noisevoltage-controlledoscillators(VCOs)

matched to the module require-ments for a total solution. MACOM reckons that these

modules simplify the design ofwireless backhaul E-band radios andthat their high integration levelshelp to reduce overall E-band radiobill-of-materials (BOM) costs. The firm hence anticipates that the modules will play a key role inlowering E-band cost of ownershipand growing the footprint of E-bandradios within the network operatorwireless backhaul space. “Market and customer feedback

on our initial samples of the E-bandTx and Rx modules has been verypositive, as evidenced by multipledesign wins at tier-1 OEMs,” saysPreet Virk, senior VP & generalmanager, Carrier Networks, MACOM.“The E-band market is primed forexplosive growth and our innovativemodules, offering best-in-class performance, are seen as a keycomponent enabling the viableestablishment of E-band as a high-capacity solution for cellular back-haul,” he adds. “We look forward toseveral production ramps expectedto commence in late 2016.” www.macom.com/wirelessinfra

MACOM samples new E-band Tx and Rx modulesto accelerate evolution to higher-capacity backhaul

MACOM Technology SolutionsHoldings Inc of Lowell, MA, USA(which makes semiconductors,components and subassemblies foranalog RF, microwave, millimeter-wave and photonic applications)has launched the MAAP-011232, a two-stage, 1W driver amplifierfeaturing gain shut off and operating from 100MHz to 3GHz.The device is suited to both driverand power requirements across abroad range of applications,including land-mobile-radio, military communications, sensors,telemetry, test & measurementand satellite communications. The MAAP-011232 features a

typical gain of 23dB and up 40%power-added efficiency. The devicecan be operated at a drain supplyvoltage between 5V and 9V andconsumes less than 300mA at amaximum output power of 1W. The MAAP-011232 is fully matchedwhile operating in the 100MHz to1GHz frequency range and can be tuned with input matched toperform up to 3GHz. The device isoffered in a 3mm 16-lead PQFNplastic package. “The MAAP-011232 was designed

to offer customers excellent power and drive performance overa broad frequency range,” saysPaul Beasly, product manager,

RF & Microwave. “The high gainand enable function can replacethe need for additional gain blocksand/or attenuators in customers’systems, which makes the devicean ideal candidate for applicationsthat require a small footprint andsurface-mount solution,” Beaslyadds. Production quantities and

samples of MAAP-011232 areavailable from stock. MACOM featured its MMIC portfolio atIEEE’s International MicrowaveSymposium (IMS) 2016 in San Francisco (22–27 May). www.macom.com/products/product-detail/MAAP-011232

MACOM adds 3GHz two-stage, 1W driver amplifier to MMIC portfolio

Helping toaccelerate theevolution tohigher-capacitybackhaul,MACOM says that its surface-mountable E-band Tx and Rxmodules simplifythe design andmanufacturing oflow-cost E-bandpoint-to-pointradios

News: Microelectronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

18

Page 19: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

19

In a newly announced collaboration,the RF products of Peregrine Semi-conductor Corp of San Diego, CA,USA — a fabless provider of radio-frequency integrated circuits(RFICs) based on silicon-on-insulator(SOI) — will be added to the onlinesimulation tool and hardware proto-typing system of modular systemdesign firm X-Microwave LLC ofRound Rock, TX, USA. X-Microwave’s modular building-

block system X-MWsystem simplifiesthe modular design process andenables RF engineers to easily simulate and prototype RF andmicrowave circuits. To kick off thenew collaboration, 16 Peregrineproducts are being added to the X-Microwave system as drop-in X-MWblock components. At the heart of X-Microwave’s

system is an X-MWblock portfolio ofhundreds of physically compatible,drop-in or drop-on components,

which are highly characterized andmodeled with X-parameters and S-parameters at the system blocklevel. To create a modular design,X-Microwave provides a free, non-linear online simulation toolthat leverages Keysight’s GenesysSpectrasys engine. After simulation,X-MWblock drop-in components areused to prototype systems throughX-Microwave’s prototype station fortesting, aligning and configuringintegrated microwave assembliesup to 50GHz. Finally, the same X-MWblock components can bemoved from the prototype stationdirectly to machined housings forproduction hardware, eliminatingthe need for custom layouts. “From a concept to final production

hardware, X-Microwave streamlinesthe complete design process,” saysX-Microwave’s president & CEOJohn Richardson. “Peregrine’simpressive RF product portfolio is an

important addition to the X-Microwavebuilding-block system,” he adds.“Our users will benefit from theability to drop in Peregrine’s productsinto their X-Microwave-based modular designs.” The Peregrine products now avail-

able in the X-Microwave systeminclude RF switches, digital stepattenuators (DSAs), tuning controlswitches, power limiters, andmonolithic phase and amplitudecontrollers (MPACs). “X-Microwave offers a solution for

RF engineers who need a sophisti-cated evaluation platform for systemdesign simulations and quick proto-typing,” comments Peregrine’sdirector of marketing Kinana Hussain.“X-Microwave’s innovative systemprovides another avenue for ourcustomers to evaluate our industry-leading RF products, especially inhigher frequencies.” www.psemi.com

X-Microwave adds Peregrine’s RF products to onlinesimulation and prototype system

Anokiwave Inc of San Diego, CA,USA, which provides highly integ-rated silicon core chips and III-Vfront-end integrated circuits formillimeter-wave (mmW) marketsand active electronically scannedarray (AESA)-based terminals, haslaunched the Ka-band Tx Core ICfamily for earth terminal SATCOMapplications. Coupled with Anoki-wave’s K-band SATCOM Rx ICs, theTx IC family provide a completeK/Ka-band earth terminal solutionenabling auto-alignment of fixedearth terminals and auto pointingfor SATCOM-on-the-move appli-cations using GEO satellite spaceassets. Operating at 27.5–30.0GHz, the

AWMF-0109 supports four dual-polarization elements with full pro-grammable polarization flexibilityand 5-bit phase and gain control,

while the AWMF-0113 supportseight single-polarization elements.Each device provides 22dB of gainper channel with +12dBm outputpower per polarization. Additionalfeatures include system-controlledgain compensation over tempera-ture and temperature reporting viathe serial control interface bus.Anokiwave’s patent-pending IPblocks implemented in silicon tech-nology enable planar antenna designat K- and Ka-band with reducedsystem size, weight and cost. “The Ka-band Tx IC family is an

important addition to our productoffering for SATCOM and we believethis solution will set a new standardin the industry for AESA multi-ele-ment beam-forming SATCOM ICs,”says CEO Robert Donahue. “Afford-able AESAs are critical to enablerapidly emerging SATCOM-on-the-

move terminals that leverage theever increasing capacity of GEOsatellites.” The AWMF-0109 is a highly integ-

rated transmit IC in a commercialQFN-style surface-mount plasticpackage with dimensions of 6mm x6mm x 0.9mm, easily fitting withinthe typical 5mm lattice spacing at30GHz. The IC is controlled thougha 5-wire serial-to-parallel interface(SPI) bus and has ESD protectionon all pins.Anokiwave offers innovator kits

and evaluation kits for early accessto the technology. Kits includeboards with the Ka-band IC, a USB-SPI interface module with drivers,and all required cables. Pilot pro-duction deliveries are available inJune, with full production quantitiesavailable in fourth-quarter 2016. www.anokiwave.com

Anokiwave extends SATCOM Core IC family to Ka-bandTx in quad or octal element configuration

Page 20: Download V.11, issue 4, May / June 2016 (36 MB)

A new highly efficient power amplifier(PA) based on silicon-on-insulator(SOI) CMOS could help to makepossible next-generation cell phones,low-cost collision-avoidance radarfor cars and lightweight micro-satellites for communications, sayresearchers at Purdue University. Fifth-generation (5G) mobile

devices (expected around 2019) willrequire improved power amplifiersoperating at very high frequencies.The new phones will be designed todownload and transmit data andvideos faster than existing phones,provide better coverage, consumeless power and meet the needs ofan emerging Internet of Things inwhich everyday objects have net-work connectivity, allowing them tosend and receive data.Because existing cell-phone power

amplifiers for transmitting signalsare made of gallium arsenide (GaAs),they cannot be integrated into thephone’s silicon-based complemen-tary metal-oxide-semiconductor(CMOS) technology. Since the newamplifier design is CMOS-based, itcould allow researchers to integratethe power amplifier with the phone’selectronic chip, reducing manufac-turing costs and power consumptionwhile boosting performance.“Silicon is much less expensive

than gallium arsenide, more reliableand has a longer lifespan, and if youhave everything on one chip it’salso easier to test and maintain,”says Saeed Mohammadi, associateprofessor of electrical and computerengineering at Purdue University.“We have developed the highest-efficiency CMOS power amplifier inthe frequency range needed for 5Gcell phones and next-generationradars.” The amplifier achieves anefficiency of 40%, comparable toamplifiers made of galliumarsenide, it is reckoned. Findings are detailed in two papers

presented on 24 May at the IEEEInternational Microwave Symposium

(IMS2016) in San Francisco,authored by former doctoral studentSultan R. Helmi together withMohammadi. They also authoredanother paper with former doctoralstudent Jing-Hwa Chen, to appearin an issue of IEEE Transactions onMicrowave Theory and Techniques. The researchers created the new

type of amplifier using silicon-on-

insulator (SOI) CMOStechnology. The newamplifier design hasseveral silicon transis-tors stacked togetherand reduces the number of metal inter-connections normallyneeded between tran-sistors, reducing para-sitic capacitance (whichhinders performanceand can lead to damageto electronic circuits).“We have merged transistors, so we areusing less metallizationaround the device, andthat way we havereduced the capacitanceand can achieve higherefficiencies,” saysMohammadi. “We aretrying to eliminate metallization betweentransistors.” The new amplifiers

could also bring aboutlow-cost collision-avoid-ance radars for cars andelectronics for light-weight communicationsmicrosatellites. Specifi-cally, the CMOS ampli-fiers could allowresearchers to designmicrosatellites that areone-hundredth theweight of existing tech-nology. The research was

funded partially by theUS Defense Advanced

Research Projects Agency (DARPA),and three US patents related to theamplifier have been issued.The researchers are currently

working on a new version of theamplifier that is twice as powerful.Further work will be needed tointegrate the amplifier into a cell-phone chip. www.purdue.edu

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

20

Purdue develops high-efficiency SOI CMOS poweramplifier for 5G cell phones and next-generation radar Efficiency of 40% comparable to GaAs-based amplifiers

Standard layout of transistors in cell-phone poweramplifiers (left) and new highly efficient amplifierdesign (right). The new design could help to makepossible next-generation cell phones, low-costcollision-avoidance radar for cars, and lightweightmicrosatellites for communications.

Page 21: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

21

To support its rapid growth,Custom MMIC has moved itsoffices from Westford, MA to300 Apollo Drive, Chelmsford,MA 01824. All other contactinformation, including phonenumbers, remains the same. The new headquarters pro-

vides a threefold increase inoffice space for the firm’sgrowing staff as well asincreasing lab space. “Our sep-arate engineering and manu-facturing labs, along with ournew automated equipment,

will allow for efficientdevelopment and pro-duction of our growingportfolio of high per-formance MMICs,” sayspresident Paul Blount. www.custommmic.com

Monolithic microwave integratedcircuit developer Custom MMIC ofWestford, MA, USA has developedthe BroadRange Distributed Ampli-fier family, with bandwidths fromDC to 50GHz. The product line consists of over

ten released products, togetherwith five more unveiled at IEEE’sInternational Microwave Symposium(IMS) in San Francisco (22–27 May).

The amplifiers are provided inlow-profile die or QFN packagedand offer single positive supplyoperation, positive gain slope overfrequency, and 50Ω matching forease of design, smaller and lower-power systems. The amplifiers have low bias volt-

age requirements down to 3V andbias currents as low as 32mA.With DC to 50GHz bandwidth,

output third-order intercept point(OIP3) of 22–32dBm, outputpower at 1dB gain compression(P1dB) of 12–29dBm, and saturated output power (Psat) of12–29dBm, the BroadRange Distributed Amplifier family is suit-able for electronic warfare (EW),wideband communication, andinstrumentation applications. www.ims2016.org

Custom MMIC launches BroadRange distributed amplifier family

Custom MMIC moves to new corporate HQ, includingexpanded engineering and manufacturing Labs

Custom MMIC’s newheadquarters inChelmsford, whichprovides a threefoldincrease in office spaceas well as increased labspace.

At the IEEE International MicrowaveSymposium (IMS) in San Francisco(24–27 May), Custom MMIC featureda range of new high-performancemicrowave amplifiers and switcheswith ultra-wideband operation. DC–18GHz SP3T and SP5T non-reflective switches Leveraging a non-reflective design,the SP3T CMD234C4 switch provideshigh isolation of 40dB at 10GHz andlow insertion loss of 2dB. It includesan on-board binary decoder circuit,requiring only two complimentarycontrol voltage logic lines of 0/–5V.Similar to the SP3T design, the SP5TCMD235C4 features a low-powerintegrated 3:8 TTL decoder forenhanced digital control. The switchprovides an insertion loss of 2.5dBand high isolation of 40dB at 10GHz. The CMD235C4 and CMD234C4

have small-size and Pb-free RoHs-compliant 4x4 SMT QFN packages,and a switching speed of only 66ns.The GaAs switches are suited totest & measurement, military andtelecoms applications. DC–22GHz and 2–22GHz distributed amplifiers Offering ultra-wideband performancefrom DC to 22GHz, the CMD240 isa GaAs MMIC amplifier with a noisefigure down to 2.2dB and gain of15dB. Also highly linear, the CMD240demonstrates a P1dB (output powerat 1dB gain compression) of 19dBmat 10GHz and an OIP3 (outputthird-order intercept point) of 28dBmwith only 80mA supply current.With slightly less current draw anda gain of 13.5dB at 2–22GHz, theCMD241 has a noise figure of 2.3dBand features enhanced linearity

performance with an output P1dBof 21dBm. Both the CMD240 and CMD241

eliminate the need for an externalDC block and are matched to 50Ω.The low-noise distributed amplifiersare suitable for military, aerospaceand microwave/millimetre-wavetelecoms applications. 26–35GHz balanced driveramplifier In applications with small size andhigh-linearity requirements, theCMD243 wideband balanced driveramplifier offers 15.5dB of gain andoperates at 26–35GHz. Providing aP1dB of 21dBm, a low noise figureof 4.4dB, and 50Ω matched, it issuitable for communications, military and space applications.www.ims2016.org www.custommmic.com

DC–18GHz switches and DC–22GHz and 26–35GHz amplifiers

Page 22: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

22

GlobalFoundries of Santa Clara, CA,USA (one of the world’s largestsemiconductor foundries, withmore than 250 customers andoperations in Singapore, Germanyand the USA) has announced anext-generation radio-frequency(RF) silicon solution for its silicon germanium (SiGe) high-performance technology portfolio.The technology is optimized forcustomers who need improved per-formance solutions for automotiveradar, satellite communications, 5G millimeter-wave (mmWave)base-stations and other wireless andwireline communication networkapplications. The SiGe 8XP technology is the

latest extension to the firm’s130nm high-performance SiGefamily and enables customers todevelop RF solutions that deliverfaster data throughput, overgreater distances, while consumingless power. The technology offersimproved heterojunction bipolartransistor (HBT) performance withlower noise figure, higher signalintegrity, and up to a 25% increasein maximum oscillation frequency(fMAX) to 340GHz compared to itspredecessor SiGe 8HP. The complexity and performance

demands of high-bandwidth communication systems operatingin the mmWave frequency bandshave created the need for higher-performance silicon solutions, saysGlobalFoundries. This createsopportunities for SiGe solutions in

the RF front end of 5G smartphonesand other mmWave phased-arrayconsumer applications in additionto the existing applications thatdepend on SiGe for high perform-ance such as communications infra-structure base-stations, backhaul,satellite and fiber optic networks. “5G networks promise to bring a

new level of innovation to RF SOC[system-on-chip] design to supporthigh-bandwidth data delivery andmeet the demands for increaseddata rates and low-latency appli-cations,” says Dr Bami Bastani,senior VP of the RF business unit.“SiGe 8HP and 8XP technologiesoffer an outstanding balance ofperformance, power and efficiencythat enable customers to developdifferentiated RF solutions in next-generation mobile and infrastruc-ture hardware,” he claims. “GlobalFoundries’ SiGe technology

leadership and comprehensivePDKs [process design kits] enableour designers to develop perform-ance-optimized, differentiated millimetre-wave solutions quickly,”comments Anokiwave’s CEO RobertDonahue. “Utilizing SiGe 8XP allowsus to take performance to evenhigher levels in future-readymmWave solutions designed tohelp providers stay ahead of thedemands for reliable connectivity,from anywhere, while handlingexploding volumes of mobile datatraffic.” With future 5G deployments

poised to drive a proliferation of

base-stations with smaller cell areas,SiGe 8HP and 8XP are designed tohelp offer a balance of value, poweroutput, efficiency, low noise, andlinearity at microwave and millimeter-wave frequencies for differentiatedRF solutions in next-generationmobile infrastructure hardware andsmartphone RF front ends. TheSiGe 8HP and 8XP high-performanceofferings enable chip designers tointegrate significant digital and RFfunctionality while exploiting amore economical silicon technologybase compared to gallium arsenide(GaAs) and higher performancethan CMOS, says GlobalFoundries. In addition to high-performance

transistors for efficient operation atmmWave frequencies, SiGe 8HPand 8XP introduce technology inno-vations that can reduce the die sizeand enable area-efficient solutions.A new copper (Cu) metallizationfeature provides improved current-carrying capabilities with five timesthe current density at 100°C, or upto 25°C higher operating tempera-ture at the same current densitycompared to standard Cu lines. In addition, GlobalFoundries’ pro-duction-proven through-silicon via(TSV) interconnect technology isavailable. SiGe 8XP design kits areavailable now. GlobalFoundries exhibited its

130nm SiGe technology solutionsat the IEEE’s InternationalMicrowave Symposium (IMS 2016)in San Francisco (22–27 May). www.globalfoundries.com/SiGe

GlobalFoundries releases 130nm SiGe 8XPperformance-enhanced RF technology,optimized for 5G wireless networks

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 23: Download V.11, issue 4, May / June 2016 (36 MB)

News: Microelectronics — IMS 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

23

Integrated Device Technology Inc(IDT) of San Jose, CA, USA haslaunched two high-isolation, low-loss, high-linearity broadband RFswitches for a wide variety of appli-cations. Operating at 50–8000MHz,the F2932 and F2933 are silicon-based, low-distortion 50Ω absorptivesingle-pole, double-throw (SPDT)switches. With industry-standard4mm x 4mm 16-pin QFN packagesand drop-in compatible footprintsand control, their combination ofspecs make the devices suitable forcommunications and public safetysystems, radar and general purposeswitching.

At 4GHz the F2932 and F2933deliver: high isolation of 66dB; low distortion of 64dBm IIP3 (third-order intercept point) @15dBm tones, 1MHz channel spacing;insertion loss of 0.93dB; and P1dB (output power at 1dB gaincompression point) of >35dBm. IDT claims that the inherent

benefits over most competitiveproducts — particularly comparedto typical gallium arsenide (GaAs)-based switches — are: better RFperformance; greater reliability;easier integration; and lower totalsolution cost. “These two new devices offer one

of the industry’s highest isolationvalues and, when combined withtheir low distortion and low inser-tion loss, they provide superior performance for many differenthigh-isolation applications,” saysChris Stephens, general managerof IDT’s RF division. The F2932 and F2933 offer similar

RF performance, pin-out and control, but the F2932 has an additional enable/disable feature,allowing all RF paths to be put intoan off-state and disabling the VCTLfeature. www.idt.com/products/rf-products/rf-switches

At the IEEE’s InternationalMicrowave Symposium (IMS 2016)in San Francisco (22–27 May),Arralis Ltd of Limerick, Ireland, adeveloper of RF, microwave andmillimetre-wave technology (focus-ing on the W-band), launched whatare claimed to be the first fullyintegrated transmit and receive94GHz core chips. Fabricated from gallium arsenide

pseudomorphic high-electron-mobility transistors (pHEMTs) andmeasuring just 5.2mm x 2.2mm,the monolithic integrated circuits(MMICs) make the highly desirableattenuation window of 94GHz avail-able for commercial applicationssuch as fully autonomous radar fordrones and self-driving vehicles aswell as ‘wireless fiber’ communica-tion speeds for 5G backhaul. The new MMICs function as an

up-converter and a down-converter,greatly simplifying system integ-ration and widening market accessat the center frequency of 94GHz.The up-converter consists of amixer with integrated medium-power amplifier. It offers conversiongain, high image rejection and anoutput power of more than 13dBm.The down-converter consists of a

low-noise amplifier (LNA) and amixer, giving a noise figure of lessthan 5dB and a gain of more than10dB. “Our focus at Arralis is scale and

integration, which for our customersmeans easier system developmentand lower cost. These transmit andreceive chips deliver both,” sayschief technology officer Mike Gleaves.“This delivers on our promise to ourcustomers that we would make ouraerospace technology integratedand available for commercial appli-cations,” he adds.The new devices are currently in

the hands of Arralis’ lead customers,in advance of full commercial roll-

out in the com-ing months.The launch

adds to Arralis’family of W-bandmillimeter-waveMMICs. Tradi-tionally, due tohigh cost andthe difficulty ofintegration,94GHz has beenused almostexclusively in the military

radar market. The 90–100GHz frequency range is attractive due toits ultra-small system size, highresolution and unique propagation(long-range) features. Arralis says that its developments

in technology and scale enable thisfrequency range to be used in awide range of commercial appli-cations including 5G, mega constel-lations, drones, driverless vehiclesand the Internet of Things (IoT). In addition, the company manufac-tures complementary electronicbeam-forming and scanning antennas, including the use ofmeta-materials. www.arralis.com

IDT launches silicon-based 50–8000MHz 50ΩΩSPDT RF switches, drop-in compatible with GaAs

Arralis launches first 94GHz transmit & receive core chips

Arralis 94GHz transmit & receive core chip.

Page 24: Download V.11, issue 4, May / June 2016 (36 MB)

Richardson Electronics Ltd ofLaFox, IL, USA (a global channelpartner for electron devices, powerelectronics, and RF & microwavecomponents) has signed a newfranchise agreement with GlobalPower Technologies Group (GPTG),a full-service manufacturer of sili-con carbide (SiC) semiconductorsfor the commercial power market.The agreement aligns with GlobalPower Technologies Group’s effortsto identify new opportunities usingSiC technology, as market demandcontinues to expand for power elec-tronics and green energy technolo-gies. Richardson has this extensiveportfolio of components in stockand ready for distribution. Global Power Technologies Group

is a portfolio company of the GlobalOpportunities Fund (GOF), which isa venture fund uniquely focused onthe application of wide-bandgapmaterials technology for the development of high-frequency,high-temperature and efficientpower semiconductor devices.GPTG produces 100mm and 150mmepitaxial wafers, SiC discretediodes, SiC discrete MOSFETs, SiC modules and sub-systems formultiple market sectors. “We are pleased to align with a

key innovator of SiC discrete powerdevices and SiC-based power mod-ules and subsystems for the electricvehicle/hybrid electric vehicle, server,solar inverter, lighting, and powerindustries,” says Greg Peloquin,

executive VP of Richardson Electronics’ Power & MicrowaveTechnologies group. “Global PowerTechnologies Group’s focus onhighly efficient and compact prod-ucts with high integration and per-formance at a low cost results ingame-changing power electronicsfor our customers.” “Richardson Electronics performs

a unique service to the power semi-conductor industry and is an idealfit, with a highly technical salesforce that concentrates on achievingdesign-wins,” comments MichaelDigangi, executive VP & chief business development officer ofGlobal Power Technologies Group. www.gptechgroup.com www.rellpower.com

Silicon carbide (SiC) power semi-conductor device developer Ascatron AB of Kista, Stockholm,Sweden (which was spun out ofresearch institute Acreo in 2011,and supplies SiC epitaxial material)has completed A-round financingintended for the final developmentof its first own SiC products. The total of €4m consists of €3m in equity capital and €1m in aninnovation grant. Ascatron says that SiC-based

power semiconductors can radicallyreduce losses in electrical trans-formers. With conventional technol-ogy, losses can be up to 20% whenelectricity is converted to adjustvoltage and frequency. The firmtherefore focuses on high-voltageapplications, where the use of SiCcan yield very large energy savings. “We have started to implement

our advanced material technology

in production equipment for SiCepitaxy,” says chief technology officerAdolf Schöner. “The next step is tooptimize our device design and out-source the remaining manufac-turing of the chip to a foundry withcapacity for volume production,” he adds.The investors include four Italy-

and China-based venture capitalinvestors Quadrivio (through its

venture capital fund TTVenture)and Como Venture (which togethertook a 16.7% stake in Ascatron lastOctober) as well as Rise LeaderInvestment and InteBridge Tech-nology, together LPE SpA (whichdesigns and makes epitaxial reac-tors for power electronics). Thegrant comes from the EuropeanInstitute of Innovation and Technol-ogy (EIT) through KIC InnoEnergy(which supports innovation projectsin sustainable energy).“Our investors have a good mix of

understanding both the advancedmaterial technology needed forhigh-performance SiC power devices,and how to address volume marketsfor semiconductors,” says CEOChristian Vieider. “40% of the market for power electronic compo-nents is in China, and there is a lotof interest in SiC for energy saving.” www.ascatron.com

Ascatron raises €4m in A-round funding forfinal development of its first SiC products Material technology implemented in SiC epi production equipment;next step to optimize device design and outsource chip fabrication to foundry

Ascatron’s 1200V SiC power diode.

Global Power Technologies signs franchise agreementwith Richardson Electronics

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

24

Page 25: Download V.11, issue 4, May / June 2016 (36 MB)

At PCIM (Power Conversion andIntelligent Motion) Europe 2016 inNuremberg, Germany (10–12 May),Toshiba Electronics Europe of Düsseldorf, Germany (TEE, theEuropean electronic componentsbusiness of Japan’s Toshiba Corp)unveiled new Schottky barrier diodes(SBDs) based on the firm’s second-generation silicon carbide (SiC)technology. The SBDs deliver currentdensities up to 50% higher thanfirst-generation devices and canhandle significantly higher forwardsurge currents. With its second-generation SiC

process, Toshiba has been able toreduce die thickness to develop

SBDs with current densities about1.5x higher than first-generationdevices. In addition, they also offerhigher non-repetitive forward surgecurrent (IFSM) ratings. The first products in the second-

generation line-up will be 650V

devices with current ratings of 4A(TRS4E65F), 6A (TRS6E65F), 8A(TRS8E65F), and 10A (TRS10E65F)in TO-220 2-pin and TO-220 isolated 2-pin packages. TheseTRS…A65F diodes are suitable forhigh-speed switching power con-version designs including powerfactor correction (PFC) schemes,photovoltaic inverters and uninterruptible power supplies(UPS). Toshiba’s SiC SBDs can alsobe used to improve the efficiency ofswitching power supplies throughthe replacement of conventional silicon diodes. www.mesago.de/en/PCIM/main.htm www.toshiba.co.jp

Toshiba’s second-gen SiC Schottkys deliver 50% greatercurrent density and improved surge current ratings

News: Wide-bandgap electronics — PCIM Europe 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

25

At PCIM (Power Conversion andIntelligent Motion) Europe 2016 inNuremberg, Germany (10–12 May),Littelfuse Inc of Chicago, IL, USA(which provides circuit protectiontechnologies) introduced theLFUSCD Series of silicon carbide(SiC) Schottky diodes as the latestaddition to its growing line of powersemiconductor products. Compared to standard silicon

bipolar power diodes, LFUSCD SeriesSiC Schottky diodes allow designersto reduce switching losses, accom-modate large surge currents withoutthermal runaway, and operate athigher junction temperatures, all ofwhich enable substantial increasesin system efficiency and robustness. The merged p-n Schottky (MPS)

device architecture of the LFUSCDSeries ensures enhanced surgecapability and reduced leakage cur-rent, says Littelfuse. Available involtage ratings of 650V and 1200Vat current ratings ranging from 4Ato 30A, they are suitable for abroad range of markets includingindustrial power supplies, solarinverters, industrial drives, weldingand plasma cutting, and electricvehicle/hybrid electric vehicle

(EV/HEV) charging stations.LFUSCD Series SiC Schottky

diodes are said to improve the effi-ciency, reliability and thermal man-agement of applications, such as: ● power factor correction (PFC); ● buck or boost stages in DC–DCconverters; ● free-wheeling diodes in inverterstages (switch-mode power supplies,solar, UPS, industrial drives); and ● high-frequency output rectification. “With best-in-class forward voltage

drop and stored capacitive charge,Littelfuse SiC diodes will enable ourcustomers to optimize the efficiencyof their designs while increasingsystem robustness and reliability,”claims Dr Kevin Speer, business

development manager for thePower Semiconductor technology line. LFUS Series SiC Schottky diodes are

said to offer the following benefits:● best-in-class capacitive storedcharge and near-zero reverserecovery make the devices suitablefor high-frequency power switching,ensure negligible switching losses,and reduce stress on the opposingswitch; ● best-in-class forward voltagedrop ensures low conduction losses; ● maximum junction temperatureof 175°C provides for a largerdesign margin and relaxed thermalmanagement requirements; and ● a merged p-n Schottky (MPS)device architecture enhances surgecapability and provides extremelylow leakage.LFUS Series SiC Schottky diodes

are available in tubes in TO-220two-lead and TO-247 three-leadpackages. Sample requests can beplaced through authorized Littelfusedistributors worldwide. www.mesago.de/en/PCIM/main.htmwww.littelfuse.com/products/power-semiconductors/silicon-carbide/sic-schottky-diode-discretes www.monolithsemi.com

Littelfuse launches SiC Schottky diodes

Page 26: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — PCIM Europe 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

26

STMicroelectronics of Geneva,Switzerland says its silicon carbide(SiC) metal–oxide–semiconductorfield-effect transistor (MOSFET)devices have enabled the ZapChargerPortable (claimed to be the world’ssmallest electric-car charging station)to be created by Zaptec of Stavanger, Norway, which wasfounded in 2012 to commercialize10 years of R&D in super-compactpower electronics. ST says that its SiC MOSFETs’

power-conversion capabilities haveenabled Zaptec to design an electric-car charger with energy efficiencyof 97% that weighs 3kg and measures 45cm x 10cm x 10cm(ten times smaller and lighter thanproducts with comparable perform-ance). With a built-in electronic transformer

that allows it to work with any electric car on any grid, ZapChargeris fully galvanically insulated andcontinuously monitors the grid it isconnected to. It dynamically

adjusts the amount of power itdelivers and can shut down imme-diately if it detects a fault, in orderto protect the car. The charger offersGPRS connectivity and operatesover an extended temperaturerange from –40°C to +55°C. The ZapCharger contains 32

high-voltage SiC power MOSFETsfrom ST. Compared with traditional(silicon) solutions, these componentscan sustain much higher voltages,currents, and temperatures, andtheir power-conversion circuitsoperate faster, enabling smaller,lighter designs, higher system efficiency, and reduced coolingrequirements, says ST. “The key for us was to find a

power technology with a very highefficiency so we could reduce theoverall size of the charger withoutcompromising performance,” saysZaptec’s chief operating officerJonas Helmikstøl. “The support ofST as a strong and reliable partnerhelped us transform our invention

into a product that dramaticallychanges the user experience and,by allowing consumers to take theirchargers anywhere, eliminates‘range anxiety’ and can acceleratethe adoption of electric vehiclesworldwide,” he adds. “Solutions like ZapCharger that

can enable drivers to safely chargetheir vehicles anywhere are set tocatalyze the growth of the e-carmarket and the smart-energyecosystem as a whole,” believesPhilip Lolies, EMEA VP, marketing &application, STMicroelectronics. In addition to electric-car charging,

Zaptec’s patented electronic-trans-former technology targets newapplications in industrial, marine,and space. After successful field tests,

ZapCharger is starting pilot produc-tion now, with volume ramp-upscheduled at the end of third-quarter2016. www.zaptec.com/zapcharger www.st.com/sicmos

At PCIM (Power Conversion Intelli-gent Motion) Europe 2016 inNuremberg, Germany (10–12 May),Japan’s Rohm Semiconductorshowcased its latest power productsfor high-speed switching and high-power performance, while meetingthe need for greater energy savingsand higher efficiency. 3rd-gen SiC Schottky barrierdiodes (SBDs) for enhancedperformance and reliabilityContinuing to develop its portfolio,Rohm introduced its new third-generation silicon carbide (SiC)Schottky barrier diode (SBD) forenhanced performance. The firstproducts are rated at 650V/6A, 8Aand 10A (supplied in a TO220ACpackage), and realize the lowest VFand lowest IR within the entire

temperature range among all SiCSBDs available on the market, it isclaimed. They also feature highsurge current capability, which issuitable for power supply appli-cations. As this 3rd-Gen productcontains a PN junction structurealong with a Schottky barrier, durability in bipolar operation isensured, says the firm. These features contribute to the ongoingtrend of high efficiency, high powerdensity and highly robust designs. 3rd-gen 1200V/180A full-SiCmodule for efficient high-fre-quency operation After pioneering commercial powermodules equipped with SiC-MOSFETsand SiC-SBDs, Rohm presented its new BSM180D12P3C007 SiCpower module, which is rated at

1200V/180A. The half-bridge SiCmodule integrates mass-producedtrench-type SiC MOSFETs andembedded SiC SBDs in the samefootprint as previous modules.Based on the UMOS structure, thenew module achieves 77% lowerswitching loss than conventionalsilicon-based IGBT (insulated-gatebipolar transistor) modules and42% lower switching loss than SiC modules utilizing SiC-DMOSstructures. This not only enableshigh-frequency operation but alsocontributes to smaller cooling sys-tems and peripheral components,which in turn results in greaterenergy savings and end-productminiaturization, says Rohm.www.rohm.com/web/global/sic-mosfet

STMicroelectronics’ SiC MOSFETs used in Zaptec’sportable electric-car charger

Rohm showcases third-generation 650V SiC Schottkysand 1200V/180A full-SiC modules at PCIM

Page 27: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

27

STMicroelectronics of Geneva,Switzerland has announced high-efficiency silicon carbide (SiC) powersemiconductors for hybrid and elec-tric vehicles (EVs), with a timetablefor qualification to the automotivequality standard AEC-Q101. ST says that, in EVs and hybrids

(where better electrical efficiencymeans greater mileage), its latestSiC technology enables auto mak-ers to create vehicles that travelfurther, recharge faster, and fit better into owners’ lives. The firm isamong the first to present new-generation rectifiers and MOSFETsfor high-voltage power modulesand discrete solutions addressingall the vehicle’s main electricalblocks. These include the tractioninverter, on-board battery charger,and auxiliary DC–DC converter. Existing power modules typically

rely on standard silicon diodes andinsulated-gate bipolar transistors(IGBTs). As a wide-bandgap tech-nology, SiC allows smaller devicegeometries capable of operatingwell above the 400V range of today’selectric and hybrid drivetrains. Thesmaller SiC diode and transistorstructures present lower internalresistance and respond more quicklythan standard silicon devices, whichminimizes energy losses and allowsassociated components to be smaller,saving even more size and weight. “Major carmakers and automotive

tier-1s are now committing to SiCtechnology for future productdevelopment to leverage its higheraggregate efficiency compared tostandard silicon in a wide range ofoperating scenarios,” says MarioAleo, group VP & general manager,Power Transistor Division. “Our SiCdevices have demonstrated superiorperformance and reached anadvanced stage of qualification aswe support customers preparing tolaunch new products in the 2017timeframe,” he adds. ST has been among the first firms to

produce SiC high-voltage MOSFETs,with its first 1200V SiC MOSFET

introduced back in 2014, achievinga 200°C rating for more efficientand simplified designs. The firm is fabricating SiC MOSFETs

and diodes on 4-inch wafers. But,to drive down the manufacturingcosts, improve the quality, anddeliver the large volumes demandedby the auto industry, ST is scalingup its production of SiC MOSFETsand diodes to 6-inch wafers, and is on schedule to complete bothconversions by the end of 2016. ST has already qualified its 650V

SiC diodes to the AEC-Q101 stan-dard, and will complete qualificationof the latest 650V SiC MOSFETs and1200V SiC diodes in early 2017.The qualification of the new-gener-ation 1200V SiC MOSFETs will becompleted by the end of 2017. The STPSC20065WY 650V SiC

diode is in full production now inDO-247. The range also includeslower current ratings and smaller-form-factor TO-220 packageoptions. The STPSC10H12D 1200VSiC diode is sampling now to leadcustomers in the TO-220AC packageand goes into production in May,with volume production of theautomotive-grade version plannedfor fourth-quarter 2016. Multiplecurrent ratings from 6A to 20A and packaging options will also beavailable. The SCTW100N65G2AG 650V SiC

MOSFET is sampling now to leadcustomers in the HiP247 package,and will ramp up in volumes in first-half 2017. To enable more compactdesigns, a 650V SiC MOSFET in thesurface-mount H2PAK will also bequalified to AEC-Q101 in first-half2017. Using the 650V SCTW100N65G2AG

SiC MOSFET in the EV/HEV maininverter (typical frequencies up to20kHz) increases the efficiencycompared with an equivalent IGBTsolution by up to 3%, the firmclaims. This improvement translatesinto longer battery life and autonomy,and a smaller and lighter power unitwith lower cooling requirements.

The SiC MOSFET reduces powerlosses in the inverter (up to 80%lower at light/medium load),enabling designers to use higherswitching frequencies for morecompact designs. Additionally, aSiC-based solution offers highlyrobust intrinsic-body diodes, elimi-nating the need for the freewheel-ing diodes necessary with IGBTs,further saving cost, size, andweight, adds ST. In other EV/HEV applications like

the OBC (on-board charger) andDC–DC converter, the inherentlyfaster switching performance ofSiC, compared with standard silicondevices, allows much higher switch-ing frequencies, reducing the sizeof passive components. Further-more, the SiC MOSFET increasesdesign flexibility as it can be usedin diverse topologies, says the firm. Such advances are helping to

propel the state of the art in hybridand electric vehicles, says ST. Thefirm claims that its manufacturingprocesses deliver advantages overcompeting SiC devices, such assuperior stability over a wide oper-ating temperature range, translat-ing to more dependable vehicleperformance and range. Housed in a proprietary high-

thermal-efficiency HiP247 package,ST’s SiC MOSFETs also feature whatis claimed to be the industry’s high-est junction temperature rating of200°C and show very small variationin on-state resistance even at hightemperatures. This leads to highersystem efficiency, which reducescooling requirements and PCB formfactors, simplifying thermal man-agement, says the firm. The new 650V and 1200V SiC

diodes have what is claimed to be thebest-in-class forward voltage drop(VF) of all devices on the market,minimizing the energy dissipated asheat by EV/HEV power converters.These thermal properties help tofurther improve overall vehicle reliability, the firm adds.www.st.com/auto-sic-diodes

ST unveils 650V and 1200V SiC power devices for EVs MOSFET & diode production to scale from 4” to 6” wafers by end-2016

Page 28: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

28

Infineon Technologies AG ofMunich, Germany has unveiled asilicon carbide (SiC) MOSFET tech-nology allowing product designs toachieve what are claimed to be pre-viously unattainable levels of powerdensity and performance. CoolSiCMOSFETs are targeted at helpingdevelopers of power conversionschemes to save space and weight,reduce cooling requirements, improvereliability and lower system costs. The new MOSFETs are based on a

state-of-the-art trench semiconduc-tor process and represent the latestevolution of Infineon’s comprehen-sive family of CoolSiC technologies.This family includes Schottky diodesand 1200V J-FETs and a range ofhybrid solutions integrating a siliconinsulated-gate bipolar transistor(IGBT) and SiC diode in a module. “For more than 20 years, Infineon

has been at the forefront of devel-oping SiC solutions which addressdemands for energy savings, sizereduction, system integration andimproved reliability,” says Dr HelmutGassel, president of Infineon’sIndustrial Power Control Division.“Infineon has manufactured millionsof products containing SiC devices,while our Schottky diode and J-FETtechnologies have allowed designersto achieve power density and performance not possible with conventional silicon,” he adds. “The strategy has now taken a sig-nificant step forward encompassingpower MOSFETs that raise the ben-

efits available from SiC technologyto a new level, which has neverbefore been possible.” SiC MOSFET functionality allows

power conversion schemes that canoperate at triple or more the switch-ing frequency in use currently. Thisleads to benefits such as reducingthe copper and aluminium materialsused in magnetics and systemhousing, facilitating smaller andlighter systems for less transporta-tion effort and easier installation.New solutions supporting energysavings can be realized by thedesigners of power conversionapplications, which can hence har-ness performance, efficiency andsystem flexibility in a completelynew dimension, says Infineon. The new 1200V SiC MOSFETs

have been optimized to combinereliability with performance. Theyoperate with ‘benchmark’ dynamiclosses that are an order of magnitudelower than 1200V silicon IGBTs.This initially supports systemimprovements in applications such as photovoltaic inverters,uninterruptible power supplies(UPS) or charger/storage systems,while later configurations will alsoextend support to industrial drives. The MOSFETs are fully compatible

with the +15V/–5V voltages typically used to drive IGBTs. Theycombine a benchmark thresholdvoltage rating (Vth) of 4V withshort-circuit robustness required bythe target applications and fully

controllable dv/dt characteristics.Key benefits over silicon IGBT alternatives include temperature-independent switching losses andthreshold-voltage-free on-statecharacteristics. The first discrete 1200V CoolSiC

MOSFETs feature on-resistance(RDS(ON)) ratings of just 45mΩ.They will be available in 3-pin and4-pin TO-247 packages targeted atphotovoltaic inverters, UPS, batterycharging and energy storage appli-cations. Both devices are ready foruse in synchronous rectificationschemes due to the integration of acommutation robust body diodeoperating with nearly zero reverserecovery losses. The 4-pin packageincorporates an additional (Kelvin)connection to the source, which isused as a reference potential for thegate driving voltage. By eliminatingthe effect of voltage drops due tosource inductance, this furtherreduces switching losses, especiallyat higher switching frequencies. Infineon has also announced

1200V ‘Easy1B’ half-bridge andbooster modules based on the SiCMOSFET technology. CombiningPressFIT connections with a goodthermal interface, low stray induc-tance and robust design, eachmodule is available with RDS(ON)

rating options of 11mΩ and 23mΩ. Infineon will sample for target

applications in second-half 2016,with volume production in 2017. www.infineon.com/coolsic

Infineon unveils CoolSiC MOSFET technology

Advantech Wireless Inc of Montreal,Canada (which makes satellite, RFequipment and microwave systems)has launched the 2500-G Series50W Ku-band gallium nitride (GaN)-based airborne-grade solid-statepower block/block up-converter(SSPB/BUC) designed to meetstringent commercial airbornerequirements and specifications. The new SSPBs/BUCs provide high

power density in a compact size and

are suitable for use in the rapidlygrowing market for in-flight connec-tivity and satellite mobility services.“Advantech Wireless’ solid expert-

ise in earth station SSPBs has nowbeen combined with the specificfeatures and operational require-ments of airborne operation,” says VPbusiness development Cristi Damian.“These new advanced GaN-basedunits are ideal for the next-generationairborne SatCom terminals. They

provide high power with extremelyhigh linearity and efficiency, whilemaintaining very high reliability.” With a design based on the firm’s

industry-proven solid-state high-power amplifiers, the 2500-G Series’rugged and conservative thermaldesign allows operation over anextreme temperature range. Thenew Ku-band BUCs are designed tomeet DO-160G specifications.www.advantechwireless.com

Advantech launches 50W Ku-band airborne-grade SSPB/BUC

Page 29: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

29

Texas Instruments Inc has madeavailable 600V gallium nitride (GaN)70mΩ field-effect transistor (FET)power-stage engineering samples,making TI the first semiconductormanufacturer to publicly offer ahigh-voltage driver-integrated GaNsolution, it is claimed. Coupled with the firm’s analog and

digital power-conversion controllers,the new 12A LMG3410 power stageenables designers to create smaller,more efficient and higher-perform-ing designs compared to siliconFET-based solutions, TI says. Thesebenefits are especially important inisolated high-voltage industrial,telecom, enterprise computing andrenewable energy applications. “With over 3 million hours of relia-

bility testing, the LMG3410 givespower designers the confidence torealize the potential of GaN and torethink their power architectureand systems in ways not feasiblebefore,” says Steve Lambouses, VPof high-voltage power solutions.“Expanding on TI’s reputation formanufacturing capability andextensive system-design expertise,the new power stage is a significantstep,” he adds. With its integrated driver and fea-

tures such as zero reverse-recoverycurrent, the LMG3410 provides reliable performance, especially inhard-switching applications whereit can reduce switching losses by asmuch as 80%, it is reckoned.Unlike stand-alone GaN FETs, theeasy-to-use LMG3410 integratesbuilt-in intelligence for temperature,current and under-voltage lockout(UVLO) fault protection. The LMG3410 is the first integrated

circuit to include GaN FETs manu-factured by TI. Building on years ofexperience in manufacturing andprocess technologies, TI creates itsGaN devices in a silicon-compatiblefactory and qualifies them withpractices that are beyond the typicalJoint Electron Device EngineeringCouncil (JEDEC) standards to ensure

the reliability and robustness ofGaN for demanding applications, TIsays. Easy-to-use packaging canhelp to increase the adoption ofGaN power designs in applicationssuch as power factor controller (PFC)AC/DC converters, high-voltage DCbus converters and photovoltaic(PV) inverters, the firm adds.Features of the LMG3410 include:

● Double the power density.The 600V power stage delivers50% lower power losses in atotem-pole PFC compared withstate-of-the-art silicon-based boostpower-factor converters. Thereduced bill of materials (BOM)count and higher efficiency enablea reduction in power-supply size ofas much as 50%, it is reckoned.● Reduced packaging parasiticinductance. The new device's8mm x 8mm quad flat no-lead (QFN)package decreases power loss,component voltage stress and electromagnetic interference (EMI)compared to discrete GaN solutions. ● New topologies. GaN’s zeroreverse-recovery charge benefitsnew switching topologies, includingtotem-pole PFC and LLC topologies toincrease power density and efficiency.

Expanding the GaN ecosystemTo support designers who are tak-ing advantage of GaN technology intheir power designs, TI is alsointroducing new products toexpand its GaN ecosystem. TheLMG5200POLEVM-10, a 48V to 1Vpoint-of-load (POL) evaluationmodule, will include the newTPS53632G GaN FET controller,paired with the 80V LMG5200 GaNFET power stage. The solutionallows for efficiency as high as 92%in industrial, telecom and datacomapplications, the firm reckons.

TI will offer adevelopmentkit that includesa half-bridgedaughtercardand fourLMG3410 ICsamples. A sec-ond kit containsa system-levelevaluation

motherboard. Used together, thesetwo kits enable immediate benchtesting and design. The two devel-opment kits are available now, pricedat $299 and $199, respectively. www.ti.com/lmg3410-pr

The LMG3410is the firstintegratedcircuit toinclude GaN FETsmanufacturedby TI

TI launches 600V driver-integrated GaN 70mΩFETpower stage for power conversion

Page 30: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — PCIM Europe 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

30

At PCIM (Power Conversion Intelligent Motion) Europe 2016 inNuremburg, Germany (10–12 May),Wolfspeed of Research Triangle Park,NC, USA introduced the first fullyqualified commercial power modulefrom its operation in Fayetteville, AR. The 62mm module represents a

new generation of all-SiC powermodules that enable unprecedentedefficiency and power density forhigh-current power electronics,such as converters/inverters, motordrives, industrial electronics, andhigh-performance electric vehicle(EV) systems. The module allowssystems designers to realize lighter-weight systems that are up to 67%smaller by achieving efficiencies ofover 98% and improvements inpower density of up to 10 timesversus systems built with silicon-based technologies, it is reckoned.“Wolfspeed’s launch of this fully

qualified, next-generation SiC powermodule represents the culminationof an engineering developmentprogram that began with the firm’searly SiC MOSFET module designs,”says chief technology officer JohnPalmour. “Our 2015 acquisition ofArkansas Power Electronics Inter-national (APEI) [a provider of SiCpower modules and power electron-

ics applications] enabled our teamto deliver this advanced powermodule design on an aggressivetimeline by combining leading-edgeSiC device technology with theindustry’s most advanced wide-bandgap packaging innovation,” headds. “The resulting module is thefirst of many products that promisean accelerated disruption of thepower electronics market.” Compared to conventional silicon

insulated-gate bipolar transistor(IGBT) power modules, or evenprevious-generations of SiC MOSFETmodules, the new module can offermuch higher power density forapplications in which volume andweight are critical limitations, saysWolfspeed. Operating at a higherswitching frequency without anycompromise in system efficiency

means a reduction in the numberand size of magnetic and passivecomponents required for the bal-ance of the system. The thermalcharacteristics of SiC devices, alongwith the packaging design andmaterials, enable the module tooperate at 175°C, which is a keyadvantage for many industrial, aero-space and automotive applications. The newly commercialized Wolf-

speed module design achieves a66% reduction in module inductanceto 5.5nH, compared with competitivepower products at 15nH, it is reckoned, enabling faster switchingspeeds, higher-frequency operation,and ultra-low losses.Available as CAS325M12HM2, the

power module is configured in ahalf-bridge topology consisting ofseven 1.2kV 25mΩ C2M SiC MOSFETs and six 1.2kV 50A Z-RecSchottky diodes. The companiongate driver (CGD15HB62LP) isspecifically designed for integrationwith the module to fit within the62mm mounting footprint. An engi-neering evaluation kit that includesboth the module and the gatedriver is also available so designengineers can quickly and easilytest the performance of the newdevice in their systems.

Wolfspeed launches all-SiC half-bridge power module & gate driver combination

The CAS325M12HM2 power module.

Participating with its distributionpartner MeV, at PCIM Europe 2016Wolfspeed highlighted examples ofthe smaller, cooler, more efficient20kW DC–DC converters madepossible through the design, circuitand performance improvementsand overall system savings of SiCpower devices and modules. Italso showcased the expansion ofits 900V SiC MOSFET platform.“As the wide-bandgap power

semiconductor market continuesto expand, we’re going to belaunching more devices that aredesigned and developed specifi-

cally to optimize SiC’s inherentperformance advantages,” saysGuy Moxey, senior director ofpower products. During PCIM, Wolfspeed gave the

following presentations: ● business development & programmanager Jeffrey Casady presenteda paper ‘Ultra-low (1.25µΩ) On-Resistance 900V SiC 62mmHalf-Bridge Power Modules UsingNew 10mΩ SiC MOSFETs’; ● director of business developmentDr Ty McNutt took part in a Power Electronics Market Briefinghosted by Yolé Développement;

● chief technology officer Dr JohnPalmour presented ‘SiC Volume,Production & Cost’ as part of theBodo’s Power System Forum; ● technical marketing manager Dr Marcelo Schupbach presented ‘A Digital Predictive Constant Fre-quency Controller For High Fre-quency 3-Phase Silicon CarbidePFC Rectifier’; and ● product marketing engineerEdgar Ayerbe presented ‘Wolfspeed900V MOSFETs for Fast BatteryCharger Applications’. www.mesago.de/en/PCIM/main.htmwww.wolfspeed.com/power/products

Wolfspeed presents SiC power device portfolio at PCIM

Page 31: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — IMS 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

31

Wolfspeed has introduced a freeonline circuit simulation tool thatallows power electronics designengineers to simulate and evaluatethe performance of SiC-basedpower circuits, and to aid in theselection of the optimum SiCdevices for each application. “The SpeedFit simulation tool

allows designers to determine theright SiC device for their power sys-tem in mere seconds, a processthat previously required hoursexamining datasheets and makingcalculations,” says Guy Moxey, senior director of power marketing.“This tool will increase the rate andease of SiC-device adoption world-wide,” he believes. Developed using the proven

PLECS web-based simulation platform from Plexim, the SpeedFitcircuit simulation tool features asimple, intuitive interface thatpower electronics design engineers

can use to simulate any SiC-basedpower converter circuit topology:DC/DC, AC/DC, or DC/AC. Userssimply input their specific applicationparameters, and the SpeedFit toolgenerates a comprehensive analysisof SiC device performance, including:voltage and current waveforms,average switching and conductionlosses, and maximum junctiontemperature for specific operatingconditions.Engineers have the ability to

select a topology, specify their recommended SiC power device orchoose one from the library, definetheir thermal interface parametersand cooling method, and see theresults immediately. Speedfit alsoallows users to specify externalgate resistance, as well as majorpassive component values presentin the circuit, allowing them tocompare performance results forseveral different circuit configur-

ations and devices. The toolquickly, easily and accurately summarizes system parameters,device part numbers and simulationresults in a single, concise report. “Since the SpeedFit simulation

tool was successfully developedusing our widely adopted simulationengine, Wolfspeed has made filescontaining loss and thermal imped-ance information for their SiCdevices available on their websiteso current PLECS platform userscan download and access modelsfor Wolfspeed SiC power devices,”says Plexim’s business developmentmanager Kristofer Eberle. “OurPLECS Standalone tool features afree demo mode that providesaccess to a collection of pre-builtdesigns, and can be accessed —along with free PLECS trial licensesfor custom model development —on the Plexim website.” www.wolfspeed.com/speedfit

Wolfspeed launches SpeedFit online circuit simulationtool to speed SiC device evaluation and adoption

At the IEEE InternationalMicrowave Symposium (IMS 2016)in San Francisco (May 22–27),Wolfspeed of Research Triangle Park,NC, USA — a Cree Company thatmakes GaN-on-SiC high-electron-mobility transistors (HEMTs) andmonolithic microwave integratedcircuits (MMICs) — highlighted itslatest RF and microwave devicesand foundry services. The firmalso supported the IMS 2016 STEM(Science, Technology Engineering& Math) program, and several on-site student design competitions. Live product demonstrations of

the latest Wolfspeed RF technologyincluded the new CGHV14800, forL-band radar applications andreckoned to be the highest outputpower 50V GaN HEMT demon-strated to date (providing a mini-mum of 800W of pulsed power at1.2–1.4GHz with better than 65%drain efficiency).

Another was the newCGHV59070 GaN HEMT for C-bandradar systems, which delivers 50% drain efficiency at high gain,making it a suitable driver for the350W, 5.2–5.9GHz CGHV59350device released last year. Wolfspeed also showcased a wide-

band LTE Doherty power amplifier,developed using the firm’s high-performance 0.4µm 50V GaN RFfoundry process. The amplifieroperates from 1.8–2.2GHz instan-taneously, with 55% power-addedefficiency at 7.5dB backed off toimprove linearity.Wolfspeed says that, compared

to conventional silicon and galliumarsenide devices, its GaN-on-SiCdevices deliver higher breakdownvoltage, higher-temperature operation, higher efficiency, higherthermal conductivity, higher powerdensity, and wider bandwidths.These qualities allow the firm’s

GaN devices to enable smaller,lighter and more efficient microwaveand RF products, including: radar,broadband, public safety, and ISM(industrial, scientific & medical)amplifiers; broadcast, satellite andtactical communications amplifiers;unmanned aerial vehicle (UAV)data links; cellular infrastructure;test instrumentation; and two-wayprivate radios. In addition to supporting the IMS

2016 STEM program, Wolfspeedsupported two of the on-site studentdesign competitions — one forHigh Efficiency Power AmplifierDesign, and another that focuseson Power Amplifier LinearizationThrough Digital Pre-Distortion(DPD) — by both speaking withparticipating students and donatingGaN HEMTs, large-signal models,and Doherty amplifiers built withWolfspeed GaN HEMTs. www.wolfspeed.com/RF

Wolfspeed highlights GaN-on-SiC RF HEMTs at IMS 2016

Page 32: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

32

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure and aero-space/defense applications) haslaunched three gallium nitride onsilicon carbide (GaN-on-SiC) poweramplifiers (PAs) optimized for use inmilitary radar, communications andelectronic warfare (EW) systems: ● TGM2635-CP — an X-band 100WMMIC amplifier operating at7.9–11GHz for satellite communi-cations, data links and radar appli-cations that provides 50dBm ofsaturated output power, with22.5dB of large signal gain and35% power-added efficiency (PAE).Available in a pure copper-basebolt-down package, the TGM2635-CPoffers superior thermal management

for added system flexibility. ● TGA2307-SM — a C-band 50WMMIC amplifier operating at 5–6GHzthat produces more than 47dBm ofsaturated output power with a PAEgreater than 44% and a large-signalgain greater than 20dB. Packagedin a small low-cost 6mm x 6mmplastic overmold QFN package, theTGA2307-SM provides size, weightand power (SWAP) benefits for C-band radar applications. ● TGA2963 — a 20W widebandMMIC amplifier (in die form) oper-ating at 6–18GHz for widebandcommunication platforms, radarsystems, electronic warfare andtest instrumentation that providesmore than 43dBm saturated outputpower with PAE of more than 20%and a large-signal gain greater

than 20dB. “Qorvo’s newest GaN PAs improve

performance and reduce size andcost for defense radar, communica-tions and EW systems by offering a combination of power, PAE andgain that is unmatched over theirrespective frequency bands,” claimsRoger Hall, general manager ofQorvo’s Defense & Aerospace Products business unit. Qorvo is a Defense Manufacturing

Electronics Agency accredited 1ATrusted Source, having completedthe Defense Production Act Title IIIGaN-on-SiC program in 2014. Thefirm remains the only GaN supplierto have achieved ManufacturingReadiness Level (MRL) 9. www.ims2016.org www.qorvo.com

In conjunction with NanoSemi Incof Waltham, MA, USA (a developerof digital linearization and compensation algorithms), Qorvohas demonstrated industry-leadingultra-wideband linearizationresults with its gallium nitride(GaN) power amplifiers for wirelessinfrastructure. Qorvo’s QPA2705 is an integrated

GaN driver and GaN Dohertypower amplifier with 30dB gain,P3dB output power of 44dBm,power-added efficiency (PAE) of35% at 37dBm average outputpower in a compact 6mm x 10mmsurface-mount package for next-generation massive MIMOapplications. Using the QPA2705integrated GaN driver and GaNDoherty power amplifier withNanoSemi’s proprietary digitalcompensation algorithms, the twofirms have achieved adjacentchannel power ratio (ACPR) of–58dBc for a 60MHz signal band-width and 3x20MHz LTE carrierconfiguration, and –55dBc for a

100MHz signal bandwidth and5x20MHz LTE carrier configuration. Leading cellular operators are

enhancing wireless spectrum efficiency and boosting networkcapacity by more than 500% byadopting technologies includingmassive multi-input multi-output(MIMO), active antenna systems(AAS) and LTE-Pro. Qorvo saysthat, by combining its ultra-compactpower amplifier (PA) modules withNanoSemi’s digital pre-distortion(DPD) intellectual property, operators are able to implementhighly efficient, small-form-factormassive MIMO transceivers fornext-generation base-stations. “Active antenna systems with 64

transceivers pose significant chal-lenges to design power amplifierswith the small form factors, highefficiency and linearity needed formulti-carrier configurations,” saysSumit Tomar, general manager ofQorvo’s Wireless Infrastructurebusiness unit. “The combination ofQorvo’s ultra-compact advanced

PA modules and NanoSemi’s DPDIP enables customers to implementhigh-efficiency, smaller-form-factormassive MIMO transceivers suitablefor next-generation base-stations,”he adds. “We are excited to collaborate

with Qorvo and demonstrate best-in-class results with our digital compensation technology,”says NanoSemi’s CEO Helen Kim.“NanoSemi’s unique approach todigital pre-distortion (DPD) andCFR [crest factor reduction]enables our customers to tunetheir products to the sweet-spot ofperformance, resource needs,power and targeted bandwidth.” NanoSemi and Qorvo have also

demonstrated linearization of theQPA2705 cascaded Doherty driveramplifier and QPD2730 dual-channelGaN Doherty PA final stage with–58dBc for 60MHz LTE signals, and–55dBc for 100MHz LTE signals. www.nanosemitech.com www.ims2016.org www.qorvo.com

Qorvo and NanoSemi demonstrate ultra-wideband linearization for massive multi-input multi-output transceivers

Qorvo launches GaN-on-SiC PAs for military radar,communications and electronic warfare applications

Page 33: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — IMS 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

33

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure anddefense applications) says that its15W gallium nitride on silicon car-bide (GaN-on-SiC) wideband input-matched transistor has completedstringent environmental testing,demonstrating its reliability for usein defense and emergencyresponse communications equip-ment. “Qorvo has experience applying

GaN technology to handheld communications equipment, likewideband handheld radios for firstresponders, with products thatmust operate reliably in challengingenvironmental conditions,” saysRoger Hall, general manager of theDefense & Aerospace Productsbusiness unit. “Qorvo’s QPD1000recently passed JEDEC’s JESD22-A110D Highly Accelerated

Temperature/Humidity Stress Test(HAST), proving its reliability inharsh environmental conditions,including severe temperature,humidity and bias.” HAST measures a device at

130°C, 85% relative humidity andhigh atmospheric pressure for aminimum of 96 hours. Additionaltesting is ongoing, demonstratingthe QDP1000’s ability to exceed theindustry standard test. The QPD1000 wideband input-

matched GaN transistor is offeredin a low-cost, space-saving surface-mount plastic DFN package andoperates at 30–1215MHz. With anintegrated wideband input-match-ing network, the QPD1000 enableswideband gain and power perform-ance along with high efficiency. The output can be matched on theboard to optimize power and effi-ciency for any region within theband. The QDP1000 can be used in

continuous wave and pulsed signalsfor defense and public safety com-munications applications. Qorvo is the leading GaN RF

supplier for the defense and cableindustries, according to marketresearch firm Strategy Analytics.Since 1999, Qorvo has been offeringproven GaN circuit reliability andcompact, highly efficient products.Qorvo is a Defense ManufacturingElectronics Agency accredited 1ATrusted Source, having completedthe Defense Production Act Title IIIGaN on SiC program in 2014. Thefirm remains the only GaN supplierto have achieved ManufacturingReadiness Level (MRL) 9. Qorvo’s GaN products were

showcased at the IEEE MTT-S International Microwave Symposium(IMS 2016) in San Francisco(22–27 May). www.ims2016.org www.qorvo.com

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure andaerospace/defense applications)has launched six 50V galliumnitride (GaN) transistors designedto optimize power performance forcommercial and defense radar,communications systems andavionics. “This new family of 50V GaN

transistors improves system per-formance by offering more powergain and power-added efficiency,”says Roger Hall, general managerof Defense & Aerospace Products.“Qorvo can better enable defenseequipment such as phased-arrayradars, to deliver higher perform-ance while managing size, costand power.”

The new family of 50V GaN tran-sistors is said to offer significantoperational and system cost sav-ings from greater system-levelefficiency. The small device sizeand higher impedance input/out-put leads help to optimize boarddesigns for radar, communications,avionics, wideband amplifiers andtest instrumentation. The new family of GaN transistors

operates from 10W up to 125WPsat power levels. The 4GHz 15WQPD1009 and 10W QPD1010 arenow available in low-cost 3mm x3mm plastic QFN packages, whilethe 3.2GHz, 125W QPD1008(L)and 65W QPD1015(L) are nowavailable in industry-standard,thermally enhanced NI-360 air-cavity ceramic packages, in botheared (L) and earless versions.

Qorvo is the leading GaN RF supplier for the defense and cableindustries, according to marketresearch firm Strategy Analytics.Since 1999, Qorvo has been offer-ing proven GaN circuit reliabilityand compact, highly efficient prod-ucts. Qorvo is a Defense Manufac-turing Electronics Agencyaccredited 1A Trusted Source,having completed the DefenseProduction Act Title III GaN on SiCprogram in 2014. The firmremains the only GaN supplier tohave achieved ManufacturingReadiness Level (MRL) 9. Qorvo’s GaN products were

showcased at the IEEE Interna-tional Microwave Symposium (IMS 2016) in San Francisco(22–27 May). www.ims2016.org

Qorvo’s new GaN 50V transistors boost power gain and efficiency forwideband defense & commercial radar, communications & avionics

Qorvo’s GaN transistor passes stringentenvironmental tests, proving readiness forpublic safety and defense applications

Page 34: Download V.11, issue 4, May / June 2016 (36 MB)

MACOM Technology Solutions Holdings Inc of Lowell, MA, USA(which makes semiconductors,components and subassemblies foranalog RF, microwave, millimeter-wave and photonic applications)has initiated legal action againstGermany’s Infineon Technologies AGand US-based International Rectifier(acquired by Infineon in 2015) todefend its rights to use the patentedgallium nitride on silicon (GaN-on-Si)technology developed by Nitronex LLCof Durham, NC, USA (acquired byMACOM for $26m in February 2014)in MACOM’s core markets. GaNtechnology promises to improvenetwork data service and cell cover-age of 4G/LTE and 5G base-stationswhile reducing their energy con-sumption and associated carbonfootprint, says MACOM. In the lawsuit filed in the US District

Court for the Central District of California in Los Angeles, it is allegedthat Infineon has attempted tointerfere with and usurp MACOM’srights under certain agreements

between Nitronex and InternationalRectifier. “Nitronex and IR, andlater, MACOM and IR, successfullycollaborated for many years. Prob-lems developed only after Infineonacquired IR and began to try to‘renegotiate’ the Nitronex–IRagreements to reduce MACOM’srights,” says MACOM’s president &CEO John Croteau. “When MACOMdeclined to accede to Infineon’sdemands, Infineon concoctedclaims to interfere with our rightsunder the agreements,” he alleges. “Infineon’s behavior is clear valida-

tion that MACOM’s GaN technology —the product of 15 years and over$100m in investment — is at thetipping point of market adoption,threatening large incumbents likeInfineon,” continues Croteau. “Thishas caused Infineon to engage instrong-arm tactics designed to retard,rather than accelerate, innovation,”he believes. “We submit that suchbusiness practices are unethical andanti-competitive and will strenuouslyoppose them as a matter of course.”

MACOM’s suit against Infineonincludes claims for breach of con-tract, breach of the covenant ofgood faith and fair dealing, declara-tory judgment of contractual rights,and intentional interference withcontract. Among other relief,MACOM is asking the court to grantit declaratory and injunctive reliefconfirming its rights under theNitronex-IR agreements and order-ing Infineon to assign to MACOMseveral Nitronex GaN patents. MACOM asserts that it has built a

successful business that has bene-fited both the electronics industry,consumers and the firm’s share-holders through the acquisition andintegration of companies and intel-lectual property rights that supportits strategic plans. Defending itsintellectual property rights is coreto MACOM’s success and it has previously prevailed against largecompany infringement of its rights,the firm adds. www.infineon.com www.irf.com

At IEEE’s International MicrowaveSymposium in late May, MACOMshowcased its GaN-on-Si portfolioand other products, optimized forcommercial, industrial, scientificand medical RF applications: ● a GaN 60W average-powerDoherty module live demonstrationwith Xilinx DPD (digital pre-distortion)for base-station applications; ● a GaN for RF energy applications; ● new E-band TX and RX SMDmodules and portfolio of widebandmillimeter-wave products foraddressing the emerging 5Gaccess and backhaul markets; ● high-power (120W), broadbandPIN diode transmit–receive (T/R)switch demonstrating low TX IL,

low RX IL, high RX isolation, smallphysical size, plastic SMT packageacross DC–1GHz for MILCOM andland mobile applications; ● a W-band power amplifier mono-lithic microwave integrated circuit(MMIC) covering 80–100GHz withwhat is claimed to be leading gainand power performance; and ● high-reliability and componentproducts for mission-critical spaceand aerospace applications. Also, MACOM participated in vari-

ous sessions at IMS, including: Workshop ‘Use of Nonlinear Vector NetworkAnalyzer Measurements in theDevelopment of GaN on Silicon for BTS Applications’ in session

‘Large Signal Network Analysis:From Instrumentation Architecturesto Software Applications for YourRF Design Flow Improvement’; ‘Transceivers for Highly SpectralEfficient Multi-Gbps radio links’ insession ‘E-Band Communications:Market, Technology and IC Design’. Technical Session WE1B-3‘Soldered Hot-via E- and W-bandPower Amplifier MMICs for Millimeter-wave Chip Scale Packaging’. Microapps pavilion‘GaN on Silicon Power Amplifier Biasand Decoupling Techniques’; and ‘GaN on Si Thermal Behavior andIts Impact on PA Performance,Reliability and Cost’. www.macom.com/gan

MACOM demonstrates Gen4 GaN-on-silicon portfolio at IMS

News: Wide-bandgap electronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

34

MACOM sues Infineon over Nitronex’s GaNtechnology for 4G/LTE and 5G networks Infineon tried to renegotiate International Rectifier–Nitronexagreements to reduce MACOM’s rights, it is alleged

Page 35: Download V.11, issue 4, May / June 2016 (36 MB)

MACOM Technology Solutions Hold-ings Inc of Lowell, MA, USA (whichmakes semiconductors, componentsand subassemblies for analog RF,microwave, millimeter-wave andphotonic applications) has launchedthe MAGe-102425-300, a 300Wgallium nitride on silicon ruggedpower transistor in cost-effectiveplastic packaging optimized for com-mercial-scale solid-state RF energyapplications. Based on MACOM’sGen4 GaN technology, it deliversperformance that defies the inherentpower efficiency and density limita-tions of LDMOS at an equivalentprice profile at scaled volume pro-duction levels, says the firm. The intersection of GaN perform-

ance and silicon cost structuresopens up an opportunity to leveragesolid-state RF energy as a highlyefficient and precise heat andpower source for a wide range ofcommercial applications includingmicrowave ovens, automotive

ignition, lighting systems, andindustrial, scientific & medical (ISM)applications such as RF plasmalighting, material drying, and bloodand tissue heating and ablation.The RF devices that underpin thesesystems must strike an optimal balance of performance, power effi-ciency, small size, and reliability, at a price point that promotesmainstream commercial adoption. Providing 300W output power and

70% efficiency at 2.45GHz, theMAGe-102425-300 meets the coretechnical requirements for next-generation power amplifiers pro-posed by the RF Energy Alliance (a non-profit technical association).Meanwhile, the cost structure andvolume supply-chain benefits ofMACOM’s Gen4 GaN technologyposition the MAGe-102425-300 tomeet aggressive cost targets on apar with LDMOS, the firm says. “Solid-state RF energy technology

holds the promise to transform entire

market segments, providing wide-ranging benefits from consumergoods to ISM systems and infra-structure,” says senior director ofmarketing Mark Murphy. “The MAGe-102425-300 sets a new price andperformance benchmark for RFpower devices, affirms MACOM’s GaNtechnology and application expertise,and signals a clear inflection pointin the evolution toward mainstreamRF energy adoption,” he reckons. “The RF Energy Alliance recently

published the RF Power Amplifier (PA)Roadmap, which sets parameters forfuture PA module generations thatare viable alternatives to magnetron-based solutions,” notes the RFEnergy Alliance’s executive directorKlaus Werner. “The MAGe-102425-300’s breakthrough in efficiency isin step with our PA Roadmap,enabling new markets for residentialsolid-state RF energy applications.” www.rfenergy.org www.macom.com/rfenergy

MACOM launches 300W plastic-packaged GaN-on-Sipower transistor for commercial RF energy applications

MACOM has launched new entriesin its MAGb series of GaN-on-Sipower transistors for use in macrowireless base-stations. Based onits Gen4 GaN technology, theMAGb-101822-240B0P and -120B0Ppower transistors harness the performance benefits of GaN inrugged, low-cost plastic packaging,enabling improved cost efficienciesover legacy LDMOS silicon for base-station applications, says MACOM. The plastic TO-272-packaged

-240B0P and -120B0P provide320W and 160W output peak power,respectively, in the load-pull systemwith fundamental tuning only, andcover all cellular bands and powerlevels in the 1.8–2.2GHz range.The ability to operate over 400MHzof bandwidth precludes the needfor multiple LDMOS products, opti-mizing cost and design efficiencies.

Plastic-packaged MAGb powertransistors deliver power efficiencyup to 79% — an improvement ofup to 10% compared to LDMOS —with only fundamental tuningacross the 400MHz RF bandwidth,and with linear gain of up to 20dB.The transistors provide an alterna-tive to ceramic-packaged deviceswithout compromising RF perform-ance or reliability — thermalbehavior is improved by 10% versusceramic-packaged MAGb offerings. The power transistors enable the

implementation of a simple sym-metric Doherty amplifier designwhile maintaining superior RF per-formance compared to complexasymmetric Doherty topologiesimposed by LDMOS transistors.With MAGb series transistors,Doherty amplifiers show the samelevel of digital pre-distortion (DPD)

friendliness as LDMOS solutions.MACOM hosted joint demonstrationswith Xilinix’s DPD solution at theInternational Microwave Symposium. “DPD is critical to increase the

efficiency of power amplifiers for4G and 5G base-station appli-cations and has a significantimpact on network operators’operating expenses and capitalexpenditures,” says Dr Chris Dick,chief DSP architect at Xilinx. “Our collaboration with Xilinx

demonstrates the linearity andease of correction of our MAGb,especially with signals that areknown to be challenging to correctusing GaN-based solutions likemulti-carrier GSM and TDD-LTEsignals,” said Preet Virk, senior VP& general manager, Carrier Net-works, at MACOM. www.macom.com/wirelessinfra

MACOM’s latest 320W and 160W plastic-packaged GaN powertransistors achieve 79% efficiency for wireless base-stations

News: Wide-bandgap electronics — IMS 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

35

Page 36: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — IMS 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

36

NXP Semiconductors N.V. of Eindhoven, The Netherlands hasexpanded its portfolio of broadbandGaN RF power transistors suitablefor electronic warfare (EW) andbattlefield radio applications,including launching six new driveror final-stage amplifiers with frequency coverage as broad as1–3000MHz.The new GaN-on-SiC transistors

combine high power density,ruggedness and very flat frequencyresponse over wide bandwidths. All are input matched to optimizeoperating frequency range, and canwithstand a VSWR (voltage stand-ing wave ratio) greater than 20:1with 3dB overdrive without degra-dation. They are also part of NXP’sProduct Longevity Program. The transistors’ broadband fre-

quency coverage from HF to S-bandallows them to cover virtually allfrequencies used by radios or thelower-frequency sections of elec-tronic systems. This reduces thenumber of RF power transistors

required to build an amplifier with aspecific RF output level, whichdecreases the amplifier size and billof materials, says NXP. The new transistors include:

● MMRF5011N (28V) andMMRF5013N (50V): operating at1–3000MHz with RF output powerup to 12W CW, 15dB gain, and60% efficiency, housed in an OM-270-8 over-molded plastic package; ● MMRF5015NR5: operating at1–2700MHz with RF output powerup to 125W CW, 16dB gain, and64% efficiency, housed in an OM-270-2 over-molded plastic package; ● MMRF5019N: operating at1–3000MHz with RF output powerup 25W CW, 18dB gain, and 40%efficiency, housed in an OM-270-8over-molded plastic package; ● MMRF5021H: operating at1–2700MHz with RF output powerup to 250W CW, 16dB gain, and58% efficiency, housed in a NI-780H-4L air-cavity ceramic package; ● MMRF5023N: operating at1–2700MHz with RF output power

up to 63W CW, 16dB gain, and60% efficiency, housed in an OM-270-2 over-molded plastic package. “Our customers want to reduce the

size, weight and power of militarysystems even at the device level,”says Paul Hart, executive VP & general manager of NXP’s RF Powerbusiness unit. “Our new GaN tran-sistors meet these requirementsand can provide improved rugged-ness, broad operating bandwidthand high efficiency,” he adds. The new transistors join NXP’s

expanding portfolio of RF powertransistors suitable for defense sys-tems that operate in HF, VHF, UHFand L-band radar, IFF transpondersand avionics systems. As well as GaNdevices, NXP offers over 40 LDMOStransistors covering 1–3000MHzwith RF output up to 1500W. The six new transistors are either

sampling or in production. Appli-cation circuits are available thatsupport CW operation in frequencieswithin the range 30–2600MHz. www.nxp.com/RFmilitary

NXP launches GaN transistors for EW & communications

NXP has expanded its portfolio of48V GaN RF power transistors forDoherty power amplifiers for usein cellular base-stations. The fournew transistors collectively coverbands from 1805MHz to 3600MHz,meeting the needs of wireless carriers for superior performanceat higher frequencies. With the wireless spectrum short-

age, carriers are exploring higherfrequencies to accommodate theexponential annual increases intraffic, notes NXP. These networksrequire RF power transistors andamplifiers that deliver higher per-formance over wider signal band-widths, as well as higher efficiencyand ruggedness, higher outputpower and smaller footprints. Designed to meet these challenges,

the new GaN transistors have highefficiency and gain, and areextremely rugged, with the ability to

deliver their rated performance withan impedance mismatch (VSWR)greater than 10:1. Designed foruse in Doherty power amplifiers,the transistors are optimized forintegration with digital pre-distortionlinearization systems. The new products are:

● A2G22S251-01S: Ultra-widebandsymmetrical Doherty two devicesolution covering 1805–2170MHz(365MHz bandwidth). In a symmetricDoherty, it delivers average RFoutput of 71W (450W peak), gainof 16.5dB, and drain efficiency of46% in concurrent multi-bandoperation at 8dB back-off config-ured. The device is housed in a NI-400S-2S air-cavity ceramic package. ● A2G26H281-04S: NXP’s first in-package Doherty transistor covering2496–2690MHz, with average RFoutput power of 50W (288W peak),gain of 15.3dB, and drain efficiency

of 57% configured in a NI-780S-4Lair-cavity ceramic package. ● A2G35S160- & A2G35S200-01S:Two-transistor Doherty amplifiersolution covering 3400–3600MHzwith 53W average RF output power(331W peak), gain of 13.8dB, anddrain efficiency of 46%. Each ofthese transistors is housed in a NI-400S-2S air-cavity ceramic package. “Cellular customers are actively

pursuing GaN technology especiallyin higher frequency bands,” saysPaul Hart, general manager ofNXP’s RF power business unit.“Given its leadership in the cellularbase-station market, NXP is com-mitted to being a dominant sourceof top-quality GaN products,” headds. “Our new transistors fullyharness the inherent strengths ofGaN enabling broad bandwidth,efficient and compact solutions.” www.nxp.com/products/rf

GaN RF power transistors for Doherty amplifiers in base-stations

Page 37: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

37

The collaborative project ACCESS(Advanced E Band Satellite LinkStudies) has set a record in terres-trial radio transmission, achieving adata rate of 6Gb/s over a distanceof 37km (exceeding the state of theart by a factor of 10).Comprising a research group

headed by professor Ingmar Kallfassfrom the Institute of Robust PowerSemiconductor Systems (ILH) atthe University of Stuttgart, theInstitut für Hochfrequenztechnik undElektronik (IHE) at the KarlsruheInstitute of Technology (KIT), industrial partner Radiometer PhysicsGmbH (A Rohde & Schwarz Com-pany), and the Fraunhofer Institutefor Applied Solid State Physics IAF,the ACCESS team realised therecord data transmission on a stretchbetween stations located on the 45-storey Uni-Center in Cologne andthe site of the Space ObservationRadar TIRA at the Fraunhofer Institute for High FrequencyPhysics and Radar Techniques FHRin Wachtberg 36.7km away. The high data rate of 6Gb/s was

achieved by using efficient trans-mitters and receivers at radio fre-quencies of 71–76GHz in theE-band (regulated for terrestrialand satellite broadcasting). Only inthis millimeter-wave frequencyrange are the required high effec-tive bandwidths available. A furtherdifficulty is the weake-ning of thesignals over larger distances. Thetransmission must be especiallypowerful, and the amplifiers mustbe correspondingly efficient. Thekey to the unique combination ofgigabit data rates and great distanceis efficient transmitters and receiversin the form of fully monolithicallyintegrated millimetre-wave circuits(MMICs) based on two differenttransistor technologies developedand manufactured by project partner Fraunhofer IAF.

In the transmitter the broadbandsignals are amplified to a compara-tively high transmission power ofup to 1W with the help of poweramplifiers based on gallium nitride(GaN). A highly directive parabolicantenna emits the signals. Built intothe receiver are low-noise amplifiers(LNAs) based on high-speed transistors using indium galliumarsenide (InGaAs) layers with veryhigh electron mobility, ensuring thedetection of the weak signals atgreat distance. Radio transmission of high amounts

of data over great distance servesmany important application areas.For example, the next generation ofsatellite communication requires anever-increasing data offload fromearth observation satellites down toearth. The trial shows that supply-ing rural areas and remote regionswith fast Internet is possible: 250Internet connections can be sup-plied with 24Mb/s ADSL. Terrestrialradio transmissions in the E-bandare suitable as a cost-effectivereplacement for the deployment ofoptical fiber or as ad-hoc networksin the case of crises and catastrophe,and for connecting base-stations inthe backhaul of mobile communica-tion systems.

The ever-increasingdemand forhigher data ratesin fiber-basedand wirelesscommunicationnetworks canonly be met bytechnical innova-tions in networkinfrastructure.Developmentssuch as theInternet ofThings (IoT) andIndustry 4.0 are

still in their early stages, and willdemand unprecedented aggregateddata quantities. Their processingand transmission in cloud-basedservices is already taking the communication infrastructure to itslimits. In satellite communicationas well, the progress in earthobservation and space research aswell as plans for a planet-scalesatellite network are leading to as-yet unsolved challenges for thecommunication infrastructure. The ACCESS project was completed

at the end of April and is being continued in the follow-up projectELIPSE (E-band Link Platform andTest for Satellite Communication),targeting the next generation ofcommunication systems for the fastconnection of satellites. However, afurther application lies in terrestrialfixed wireless links.The ACCESS project was funded

by the Federal Ministry for Economy and Energy (BMWi) onthe basis of a resolution by the German Bundestag. Support was provided by Fraun-

hofer FHR, the Uni-Center Cologneand the Südwest-Rundfunk (SWR),who granted access to their buildings. www.iaf.fraunhofer.de

ACCESS achieves record 6Gb/s terrestrial radiotransmission over 37km GaN power amplifiers and InGaAs LNAs enable 71–76GHz E-bandwireless to exceeding state of the art by factor of 10

E-band transmitters with parabolic antenna.

Page 38: Download V.11, issue 4, May / June 2016 (36 MB)

Richardson RFPD Inc (an ArrowElectronics company) — which specializes in the RF and wirelesscommunications, power conversionand renewable energy markets —is to sell the gallium nitride in silicon(GaN-on-Si) power devices of GaNSystems Inc of Ottawa, Ontario,Canada, on a global basis, excludingIsrael. GaN Systems is a fabless firm that

provides a range of gallium nitridehigh-power transistors for consumer, enterprise, industrial,solar/wind/smartgrid, and

transportation power-conversionapplications.“GaN Systems adds another

innovative technology to ourpower-conversion linecard,” saysRichardson RFPD’s president RafaelR. Salmi Ph.D. “GaN Systemsbrings GaN industry leadership toour portfolio, which alreadyincludes silicon carbide industryleaders. This ensures we can bettersupport our customers that want toleverage the benefits of wide-bandgap semiconductors,” he adds. “GaN Systems’ products have

been adopted globally across con-sumer, industrial, data-center andtransportation markets,” says GaNSystems’ VP of sales & marketingLarry Spaziani. “To respond to theincreased demand for our productsand to provide the highest level ofservice for customers and prospects,we are pleased to partner withRichardson RFPD, a leading globaldistributor with a strong energyand power focus and dedicatedresources in the markets we serve.” www.richardsonrfpd.com www.gansystems.com

Intersil Corp of Milpitas, CA, USA, aprovider of power managementand precision analog solutions,says that it plans to extend its radi-ation-tolerant portfolio to includegallium nitride (GaN) power con-version ICs for satellites and otherharsh-environment applications. Intersil intends to couple its radia-

tion-hardened field-effect transistor(FET) drivers with GaN FETs todeliver performance that leapfrogsexisting products that rely on tradi-tional high-reliability FET technolo-gies. GaN provides better

conductivity and switching charac-teristics that enable several systembenefits, including a reduction insystem power losses. “Intersil has decades of experi-

ence developing state-of-the-artradiation-tolerant devices and along heritage supplying space flightapplications,” says Philip Chesley,senior VP of Precision Products atIntersil. “When combined with thedemonstrated ability of GaNdevices to operate reliably underharsh environmental conditions, we will provide customers with a

far superior alternative to existingFET technology,” he reckons. Intersil is collaborating with

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA — thefirst firm to introduce enhancement-mode gallium-nitride-on-silicon(eGaN) FETs as a replacement forpower MOSFET, and a provider ofE-mode GaN power transistors.Intersil’s new products based onthe eGaN technology will be sampling this summer. www.intersil.com www.epc-co.com

Richardson to distribute GaN Systems’ power devices

At PCIM (Power Conversion Intelligent Motion) Europe 2016 inNuremberg, Germany (10–12 May),GaN Systems showcased a lineupof its customers’ systems that areenabled by gallium nitride transistors. The customer products featured

included: ● a 1kW energy storage systemthat is 50% smaller than prior silicon-based solutions; ● high-current power modules usedin the industrial and automotiveindustries;

● a 1.2kW battery charger deliver-ing 33% more power in the samevolume as its silicon predecessor; ● a 12kW stop-start generatorshowcasing a 5x size reduction; ● a >97% efficient, ultra-compactelectric vehicle (EV) charger; ● a multi-voltage, high-speed1.5kW motor controller; and ● a high-power, high-efficiencytraction inverter using GaN powermodules. As well as commercial products,

GaN Systems exhibited the inverter

enabled by its transistors whichrecently won Google’s Little BoxChallenge. The team Red ElectricalDevils from CE+T Power of Wandre,Belgium, won the US$1m firstprize for designing, building, anddemonstrating an inverter with thehighest power density in thesmallest volume: 143W/cubic inchin only 14 cubic inches. Also onshow is be a half-bridge evaluationboard that simplifies GaN transistortesting.www.mesago.de/en/PCIM/main.htm

GaN Systems showcases customers’ products using its transistors

Intersil extending radiation-tolerant portfolio to GaN power conversion ICs for satellite applicationsIntersil collaborating with EPC to sample eGaN-based products this summer

News: Wide-bandgap electronics — PCIM Europe 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

38

Page 39: Download V.11, issue 4, May / June 2016 (36 MB)

At PCIM (Power Conversion Intelligent Motion) Europe 2016 inNuremberg, Germany (10–12 May),Panasonic Automotive & IndustrialSystems Europe showcased itstechnology lineup, highlightinginnovations including passive components, semiconductors andthermal management solutions thatprovide increased efficiency andreliability for a range of applications.These included an X-GaN gate driver.With GaN moving into mainstream

production, Panasonic exhibitedapplications that harness the powerof its transistors. Optimized forhigh-frequency switching, the newly

released X-GaN gate driver unlocksthe full performance of the X-GaNtransistor while keeping designefforts to a minimum. With finecontrol possible (0.5–99.5% @50kHz), the device benefits from a built-in precise current sourceoptimized for GiT transistors and abuilt-in negative voltage source. Panasonic also exhibited example

GaN applications: GaN-based compact power supply units and aGaN-based inverter for motors.Also featured were a GaN evaluationenvironment, GaN power devices,power modules (GaN- and SiC-based)as well as a bi-directional AC/DC

converter for power storage systems(as an example SiC application). In addition, for the first time in

Europe, to showcase one of itsapplications Panasonic presentedits prototype of assist robots thatapplies X-GaN technologies. Powerassist suits for industrial applicationshave been developed by ActivelinkCo Ltd (one of Panasonic’s in-houseventure companies). Panasonic hasapplied X-GaN inverter technologiesto ActiveLink’s assist suit (which isequipped with motors that assisthuman body mechanics). https://eu.industrial.panasonic.com/products/semiconductors/gan-power

Panasonic showcases GaN-based technologies at PCIM

Akoustis Technologies Inc ofHuntersville, NC, USA (in the Piedmont technology corridorbetween Charlotte and Raleigh),which manufactures patent-pendingBulkONE single-crystal piezoelectricbulk acoustic wave (BAW) RF filtersfor mobile wireless applications,says that chief device scientist Dr Rama Vetury was a presenter atthe IEEE Radio Frequency IntegratedCircuits Symposium (RFIC 2016) inSan Francisco (22–24 May) as partof Microwave Week 2016.

During the presentation ‘SingleCrystal AlGaN Bulk Acoustic WaveResonators on Silicon Substrateswith High Electromechanical Coupling’, Vetury highlighted thefirm’s single-crystal RF resonatortechnology, recent technical milestones, and its application towide-bandwidth, high-frequency RFfilter applications for mobile com-munications.Akoustis is also providing an

update on the development of anew class of BAW-based RF filters

based on patented, highly differen-tiated high-purity single-crystalpiezoelectric materials. Targetingthe fast-growing multi-billion-dollarmarket of device OEMs, networkproviders and consumers, thefirm’s BulkONE technology aims toreduce front-end phone heat, battery drain and signal loss —which are all considered to bedirectly related to the limitations ofexisting RF filter technologies. http://rfic-ieee.org www.akoustis.com

Ampleon Netherlands B.V. ofNijmegen, The Netherlands (for-merly NXP Semiconductors N.V.’sRF Power business, acquired byChina’s Jianguang Asset ManagementCo Ltd last December) has launchedits second generation of 50V, 0.5μmgallium nitride on silicon carbide(GaN-on-SiC) RF power transistors,dedicated for mobile broadbandapplications. Providing a 5% improvement in

power efficiency compared withLDMOS-based silicon devices, andenabling high-power multi-bandapplications, the new GaN familyalso offers a size reduction of

30–50% compared with similarLDMOS transistors. Designers ofpower amplifiers (PAs) can nowmore easily find a suitable fit foreach particular set of requirements(efficiency, size, power and cost)while getting the full benefit ofAmpleon’s experience in PA andtransistor design, and manufac-turing, says the firm. The new portfolio will include

transistors with 15–600W of peakpower for all major cellular bandsbetween 1.8GHz and 3.8GHz. The CLF2H27LS-140 is a single-

ended transistor providing 140W ofpeak power in band 41. Other

devices currently sampling includethe CLF2H1822LS-160 andCLF2H1822LS-220 suitable for1.8–2.2GHz multi-band applicationsand the CLF2H38LS-140 andCLF2H38LS-40 (driver) for3.4–3.8GHz applications with 140W and 40W outputs at P3dB. The family is suitable for RF PA

designers developing high-efficiencyor multi-band Doherty PAs for usein wireless infrastructure networks. Ampleon also provides application

support, including ready-to-goDoherty reference designs optimizedfor mass-production.www.ampleon.com

Ampleon’s 2nd-gen 50V, 0.5μm RF power transistors

Akoustis presents AlGaN-on-Si BAW filter technology

News: Wide-bandgap electronics — PCIM Europe 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

39

Page 40: Download V.11, issue 4, May / June 2016 (36 MB)

News: Wide-bandgap electronics — PCIM Europe 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

40

Gallium nitride (GaN) technologystart-up Exagan of Grenoble, Francehas begun a strategic partnershipto develop and commercialize GaN-on-silicon products withHIREX Engineering, a company ofAlter Technology Group (TÜV NordGroup’s Aerospace and Electronicsbusiness unit). TÜV NORD is amulti-national technical servicesprovider to aerospace, industrial,mobile communications and ITmarkets. Based in Grenoble, France (with a

branch office in Toulouse), Exaganwas spun off in 2014 from Soitecand micro- and nanotechnologyresearch center CEA-Leti (withfinancial support from each), andlicenses materials and technologyfrom both organizations. Exagan aimsto accelerate the power-electronicsindustry’s transition from silicon-based technology to GaN-on-Sitechnology, enabling smaller andmore efficient electrical converters.The firm’s GaN power switches aredesigned for full compatibility withmanufacturing in standard 200mm-wafer silicon foundries to deliverhigh-performance, high-reliabilityproducts through a robust supplychain.

The goal of the partnership withHIREX is to establish the reliabilityof GaN-on-Si while also demon-strating to users the performanceimprovements to be gained and thelow risk of integrating the energy-efficient technology in their ownproducts. Located near Toulouse, France,

HIREX conducts reliability testingand qualification of ICs and discretesemiconductors for aerospace andindustrial high-reliability appli-cations. Together, the firms will test and qualify Exagan’s G-FETproducts, which are fabricatedusing standard 200mm silicon processing and proprietary G-Stacktechnology. G-FETs can be used inmaking smaller, more efficientpower converters that have a broad range of applications in high-growth markets including plug-inhybrid and full-electric vehicles(HEV/EV), solar energy and industrialapplications as well as efficientcharging of all mobile electronicdevices. “This dynamic partnership will help

to propel GaN market developmentby pioneering test methodologiesand measurement processes thatmake it easier for makers of electrical

converters to implement GaN inimproving their products,” saysExagan’s president & CEO FrédéricDupont. “This timing is perfect tocombine Exagan’s strengths withthose of the top European specialistin high-reliability testing. GaN tech-nology has matured to deliver thehigh performance of silicon carbide(SiC) devices at silicon IC price andquality levels, and our key marketsare ready for this next-generationsolution,” he adds. “Through its participation, HIREX

Engineering will expand its expert-ise and business portfolio to includeadvanced power GaN technologyand the end products it enables,”says Alter Technology Group’s CEOLuis Gomez. “We hope to establishrobust and easy-to-reference prod-uct parameters for GaN that willallow fast integration in electricalconverters,” he adds. “We are confident that GaN’s

bulletproof reliability will presentremarkable advantages in the fast-growing power electronics market,”comments TÜV Nord Group’s CEODr Guido Rettig. www.altertechnology.com/atn/en/hirex-engineering/about-hirex-438.htm www.tuev-nord-group.com

Exagan partners with TÜV Nord Group’s Aerospaceand Electronics business unit to establish GaN-on-Si’s reliability for power converters

At the PCIM (Power ConversionIntelligent Motion) Europe 2016trade show in Nuremberg, Germany(on 10 May), Exagan of Grenoble,France, a gallium nitride (GaN)technology start-up that enablessmaller and more efficient electricalconverters, announced its lateststrategic partnership to developand commercialize GaN-on-siliconproducts. The new partnership will be the

third major alliance to beannounced by Exagan in the past

12 months. In the span of twoweeks last May, the firm entered astrategic partnership with CEA-Letito accelerate the GaN-on-Si tech-nology integration roadmap and ajoint development agreement withX-FAB Silicon Foundries AG ofErfurt, Germany to develop a high-volume production processfor GaN-on-Si devices using200mm wafers. GaN-on-Si technology’s capabili-

ties in power integration andenergy efficiency can increase the

performance and decrease the sizeof power converters, says Exagan,making these systems suitable fora wide range of applications —from electric vehicles and solarenergy to industrial electronicsand re-charging consumers’mobile devices. Also at PCIM Europe, Exagan

showcased its latest innovations in GaN-on-Si technology and its G-FET fast-switching, high-efficiencypower transistors. www.exagan.com

Exagan showcases GaN-on-silicon technology and announces strategic partnership at PCIM Europe

Page 41: Download V.11, issue 4, May / June 2016 (36 MB)

Epiwafer foundry and substratemaker IQE plc of Cardiff, Wales, UKhas announced a strategic partner-ship on gallium nitride-on-silicon(GaN-on-Si) technology with nanoelectronics research centreimec of Leuven, Belgium. GaN technology offers faster

power-switching devices withhigher breakdown voltage andlower on-resistance than silicon,suiting advanced power electroniccomponents. The partnership buildson promising results achieved in arecent project, in which imec andIQE collaborated to fabricate GaNpower diodes using imec’s propri-etary diode architecture and IQE’shigh-voltage epiwafers. imec’s GaN-on-Si Industrial Affilia-

tion Program offers joint R&D onGaN-on-Si 200mm epitaxy andenhancement-mode device tech-nology to companies includingintegrated device manufacturers(IDMs), equipment and materialsuppliers, fabless design housesand packaging companies. The pro-gram includes research on novelsubstrates to improve the quality ofepitaxial layers, new isolation mod-ules to enhance integration levels,and advanced vertical device devel-opment. As a GaN-on-Si Programpartner, IQE gains access to next-generation epitaxy, devices andpower electronics processes,including imec’s complete 200mmCMOS-compatible GaN process line. “The importance of GaN-on-Si for

power devices cannot be under-stated, particularly as we enter anera of electrically propelled trans-portation and increasing demandsfor energy-efficient power controlsystems that require high-voltageand high-power capabilities,” saysWayne Johnson, head of IQE’sPower business unit. “IQE’s proventrack record in developing andmanufacturing GaN-based epiwafers,coupled with imec’s unquestionablereputation for world-leading researchin nanoelectronics, makes for apowerful collaboration in this rapidly

growing technology space,” he adds. In its earlier collaborative project,

imec worked with IQE to createstate-of-the-art GaN power diodes.Imec hasapplied itsproprietarygated edgeterminated(GET)Schottkydiode devicearchitectureto IQE’shigh-voltageGaN bufferson 200mmsilicon substrates.The mainchallenge onpowerdiodes is toobtaindevices thatsimul-taneouslyshow lowleakage current andlow turn-onvoltage. Dueto the GET

diode device architecture and tothe low buffer leakage current ofIQE wafers, the large GaN powerdiodes (10mm), which were fabricated in imec’s 200mm siliconpilot line, showed a low leakagecurrent (up to 650V) and low turn-onvoltage. The power Schottky diodesreaches forward and reverse speci-fications across the full tempera-ture range, spanning from 25ºC to150ºC with a tight distribution. “Our joint results show that the

IQE epiwafers are of excellent qual-ity and are well aligned to meet thespecifications for power Schottkydiodes,” comments Rudi Cartuyvels,executive VP smart systems andenergy technology at imec. We lookforward to collaborating with IQE toadvance our promising results,which demonstrate that our proprietary GET Schottky diodedevice architecture and processtechnology can be transferred toexternal wafers like those providedby IQE,” he adds. “Our 200mmGaN-on-Si process is available toour program partners and is engineered to fit partner specificproduct needs.” www.iqep.com www.imec.be

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

41

Forward and reverse diode current at high temperature (150ºC). The diodeshave 10mm anode width and an anode-to-cathode distance of 10µm.

IQE joins imec’s GaN-on-Si Industrial Affiliation Program IQE and imec demonstrate 650V power diodes on 200mm wafers

The mainchallenge onpower diodes is to obtaindevices thatsimultaneouslyshow lowleakage currentand low turn-onvoltage. Due tothe GET diodedevicearchitecture andto the low bufferleakage currentof IQE wafers,the large GaNpower diodesshowed a lowleakage current(up to 650V) andlow turn-onvoltage

Page 42: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

42

At the International Conference on Compound SemiconductorManufacturing Technology (CS MANTECH 2016) in Miami, FL,USA (16–18 May), epiwafer foundryand substrate maker IQE plc ofCardiff, Wales, UK has announcedtwo key developments. Transfer of cREO growth tech-nology to North Carolina facilityIQE has successfully transferred thecREO (rare earth oxide) molecularbeam epitaxy (MBE) depositionequipment and associated processof Translucent Inc of Palo Alto, CA,USA to its facility in Greensboro,North Carolina. Translucent (a sub-sidiary of Australian-listed companySilex Systems Ltd) provides cREOengineered silicon substrates forlow-cost epitaxy. Following the exclusive license of

cREO technology from Translucentlast September and the initiallicense fee payment of $1.4m (in IQE shares) this March, IQE hastransferred two of Translucent’sreactors to Greensboro. The first(production) system has beeninstalled and is now producingcREO templates on silicon. Thetemplate structural and morpholog-ical characteristics are said to be an excellent match to results previously achieved by Translucent.The second (R&D) system is due tobe online in about a month.

The production tool will producestandard templates for the IQEgroup and select commercial partners, with an initial focus onIII-N materials for RF (wireless)communications and power elec-tronics applications. Demonstration of interfacecharge tuning using cREO forGaN products Using cREO templates, IQE hasdemonstrated that it can tune theinterfacial characteristics for galliumnitride on silicon (GaN-on-Si). For RF applications, GaN-on-Si

typically exhibits an undesirable p-type channel at the GaN/Si interface (a parasitic channel) thatdetrimentally affects RF efficiency.Using its patented technology, IQEhas demonstrated that the parasiticchannel can be completely elimi-nated. In addition, the firm hasshown that growth conditions canbe tuned to generate and rationallyengineer an n-type layer betweenthe GaN and silicon. This enablesapplications that require buriedconductors for III-N on Si applications. “We have demonstrated that we

are able to rationally manipulatethe cREO characteristics to tune the conductivity of the III-N/Siinterface,” says Dr Rodney Pelzel,vice president, IQE Group Technology.“This is a significant enabler forGaN HEMT [high-electron-mobility

transistor] technology on silicon forRF applications. In addition, it is anenabler for other III-N technologyon Si such as RF filter technology,”he adds. “IQE is committed to fully exploiting

cREO technology for GaN as well asother III-V and group IV materials.This technology offers excitingopportunities for fully realizing III-Vgrowth on Si, thereby eliminatingthe cost-prohibitive issue withnative substrates such as InP,”Pelzel continues. “Furthermore, itenables hetero-integration at theepi level, allowing previouslyincompatible materials systems tobe successfully combined. cREO isan excellent complement to IQE’swell-established wireless, photonics,power, and CMOS products and will enable novel solutions for ourcustomers.” Under the terms of the license and

assignment agreement signed lastSeptember, IQE has 30 months inwhich to decide to acquire Translu-cent’s technology with the paymentof a further $5m. Upon commer-cialization of the technology, a perpetual royalty of 3–6% of IQE’srevenues generated from the technology would be payable toTranslucent. www.translucentinc.com www.csmantech.org www.iqep.com

IQE transfers Translucent’s cREO growth capabilityto North Carolina facility Interface charge tuning demonstrated using cREO for GaN-on-Si

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 43: Download V.11, issue 4, May / June 2016 (36 MB)
Page 44: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

44

For first-quarter 2016, AXT Inc ofFremont, CA, USA — which makesgallium arsenide (GaAs), indiumphosphide (InP) and germanium(Ge) substrates and raw materials— has reported revenue of $18.7m,down 7% on $20.1m a year ago but3.3% up on $18.1m last quarter andabove the expected $17.5–18.5m. Of total revenue, 64% came from

Asia Pacific, 23% from Europe, and13% from North America. Only onecustomer generated more than10% of revenue, and the top fivegenerated 41% of total revenue(down from 45% two quartersago), reflecting diversification ofboth products and customers. InP was again the largest product

category (AXT’s strongest InP revenue in recent years) — greaterthan semi-insulating GaAs, semi-conducting GaAs and germaniumproducts — contributing more than30% of total revenue (up fromunder 30% last quarter). “InP is still an emerging material

— the drivers for its demand aresignificant and are becoming cleareras activity steadily increases,” saysCEO Dr Morris Young. “InP salescontinued to be driven by lasers anddetectors for fiber-optic communi-cations and passive optical networkapplications such as EPON andGPON,” says Young. “These short-haul networks are used for Internetaccess, voice-over-Internet protocol(VoIP), digital TV delivery in metropolitan areas, and backhaulconnections for 4G cellular base-stations,” he adds. “In addition, silicon photonics

continue to grow rapidly as a driverfor indium phosphide. A number ofleading companies including Cisco,HP, IBM and Intel are developingtheir technology for next-generationdata-centers to improve connectivityboth within and between that,” says Young. “Here again, InP is amaterial of choice for the transmitterand receiver at each end of thedata stream,” he adds. “Finally, we are seeing increasing

evidence that high-performancesubstrates will be a key element for5G wireless technology. In order tosupport ultra-high-definition and3G video, video frequency front-ends are moving towards multi-mode, multi-band power amplifiersthat can operate at significanthigher frequencies. These will bechallenging for silicon or even gallium arsenide. As a result, RFsuppliers are actively evaluatingindium phosphide for its performancecapabilities in meeting the morestringent requirement of 5G wireless,” continues Young. “We arenow receiving request from multiplecustomers for several quantities of6-inch InP substrates. InP-basedderivatives for all of these appli-cations at time specificationrequirements, limiting the field ofcompetitors that can address them.As we havenoted, opto-electronicsdevicesrequire verylow etch-pitdensity(EPD) material, aspecificationin whichAXT’s VGF[verticalgradientfreeze]technologystronglyexcels,” headds. “In addi-

tion, per-formance isa muchlarger factorthan price.These con-tribute to amuch morestable mar-gin profileand pricingstability

than we see total today in galliumarsenide,” Young notes. “AXT’ssuperior performance has allowedus to take a market-leading positionand enjoy healthy growth as customer demand continues toincrease,” he claims.Semi-insulating gallium arsenide

revenue was down, but AXT didachieve some yield improvementsin manufacturing. “This area of ourbusiness has reached a relativelystable level, having weathered amajor technology transition in previous years,” says Young. “Forsemiconducting gallium arsenide,we continue to play modestlybecause of the serious competitivelandscape and corresponding pricingenvironment in the general market.However, we continue to pursuehigher-end applications such asbacklighting, signage and automo-tive, where title specification allowsus to come in at better margins.” In addition, AXT is seeing increas-

ing interest in sample wafers forvertical-cavity surface-emittinglasers (VCSELs), driven by appli-cations such as gesture recognitionand 3D sensing. “VCSELs bringtogether the advantage of low costand optical efficiency within a smallfootprint,” says Young. “Unlike otheroptoelectronic devices, VCSELshave highly stringent EPD require-ments. We believe that the VCSELmarkets could become a significantdriver for semiconducting galliumarsenide, sometime next year.” For raw materials, the overall

market remains challenging. Revenue fell in Q1, due largely topricing pressures. In particular, themarket for gallium has experiencedthe greatest decline in both salesvolume and pricing in recent quartersas a result of oversupply. “However,we believe that several suppliersare now considering cutting theirproduction in an effort to balancedemand. We are hopeful that thiswill result in greater pricing stabilityin the coming quarters,” says Young.“Overall, raw material pricing

AXT’s greater-than-expected growth in Q1 driven by InP Higher-margin product mix drives firm into profit

Front-ends aremoving towardsmulti-mode,multi-band poweramplifiers thatcan operate atsignificant higherfrequencies. Thesewill be challengingfor silicon or evenGaAs. RF suppliersare activelyevaluating InP forits performancecapabilities inmeeting the morestringentrequirement of 5Gwireless. We arenow receivingrequest frommultiplecustomers forseveral quantitiesof 6-inch InP

Page 45: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

45

remains reflective of the continuedgeneral weakness worldwide incommodities. However, these joint ventures had been a positivecontributor to our business for thelast 15 years, and we believe theycontinue to represent an importantpart of our value proposition andcompetitive differentiation,” he adds. “Q1 was a strong quarter for AXT

in which we exceeded our revenueand profitability guidance, andposted a strong increase in both ourgross and operating margins fromthe prior quarter,” notes Young.“Our manufacturing team continuesto work on efficiency and yieldimprovement, and these efforts —along with our product mix [favoringInP] — helped improve our grossmargin.” Gross margin was 28.1%,rebounding strongly from 17.1%last quarter (when AXT took alower cost of market inventorywrite-down charge from one of itsgallium raw material subsidiaries,reducing margin by about 3 per-centage points). “If you look at ourgross margin over the four quarters

before Q4/2015, gallium write-downhas been averaging close to 24%and then in Q4 it dropped,” noteschief financial officer Gary Fischer.“Q1 is a good rebound, driven bymore indium phosphide sales andmore efficient manufacturing.” Operating expenses were $4.8m,

level with last quarter but cut from$6.5m a year ago, due mainly toselling, general & administrative(SG&A) expenses falling from$5.3m to $3.4m. Net profit was $42,000 ($0.00 per

share), compared with a net loss of$1.2m ($0.04 per share) last quarterand $1m ($0.03 per share) a yearago (and better than the expectedloss of $0.03–0.05 per share) dueto the increased InP in the productmix, increased revenue, andimproved gross margin. Capital expenditure (CapEx) was

$0.8m (up from $0.5m last quarter).During the quarter, cash and invest-ments fell from $44m to $43.3m. For Q2/2016, AXT expects further

growth in revenue to $19.5–20.5m(led by InP) and between breakeven

and a profit of $0.02 per share. “The Beijing city government has

announced that it will expand itsoffices into the area where ourmanufacturing facility is located.They want to upgrade this area andthey’re applying pressure on manu-facturing companies to relocate,”notes Fischer. “We’re cooperatingwith them and have reached a verbal understanding to reduce ourusage of arsenic at the current siteby 31 March 2017, and we haveagreed to stop production of gallium arsenide wafers at this siteby 31 December 2017,” he adds.“There is no similar agreementaddressing InP or germanium, butinternally we believe that they willinsist that we also stop manufac-turing InP and germanium at thislocation sometime in 2018. We’rein the process of looking at alterna-tive sites and looking for a plant for an orderly phased relocation,”Fischer continues. “Eventually, if wedo totally vacate our current site,we will probably put it up for sale.” www.axt.com

Riber S.A. of Bezons, France, whichmanufactures molecular beam epitaxy (MBE) systems as well asevaporation sources and effusioncells, says that its quarterly revenuehad almost halved year-on-yearfrom €2.7m in first-quarter 2015,down 52% to €1.4m in first-quarter2016 (30% from Asia, 33% fromEurope, 37% from the USA). While no revenue was recorded

for MBE systems (compared with€1.5m a year ago), this reflects adelivery schedule for system ordersthat is focused on second-half 2016and is not indicative of the firm’sperformance over the full year.Sales of services, accessories and

cells show 17% growth year-on-yearfrom €1.2m in Q1/2015 to €1.4min Q1/2016, driven by sustaineddemand in the USA and the goodcommercial performance achieved

following the acquisition at the endof March 2015 of MBE Control Solutions of Santa Barbara, CA, USA(which provides MBE system maintenance and refurbishmentservices). Specifically, revenue forservices & accessories has risen by11% from €0.9m to €1m, whilerevenue for cells & sources hasrisen by 33% from €0.3m to €0.4m. The total order book has almost

trebled, rising by 176% from just€4.5m at the end of Q1/2015 to€12.4m at the end of Q1/2016. Following the high level of orders

recorded in second-half 2015, inQ1/2016 Riber received orders fora production system in Asia and aresearch system in Europe, high-lighting the gradual upturn in MBEbusiness. The system order bookhence comprises two productionmachines for delivery in 2016

(worth €5m) compared with nonein 2015, and five research systemsfor delivery in 2016 (worth €4.5m)compared with just two in 2015.The system order book specificallyhas hence almost quadrupled, rising by 265% from €2.6m at theend of Q1/2015 to €9.5m at theend of Q1/2016. In addition, the order book for

services, cells and sources hasrisen by 45% from €2m to €2.9m.Specifically, the order book forservices & accessories has almostdoubled, rising by 85% from €1.3mto €2.4m, while the order book forcells & sources has fallen by 28%from €0.7m to €0.5m. ● Riber noted in early May that, to“stream line it’s treasury position”,it is undertaking a bargain agreementregarding selling part of its facility.www.riber.com

Riber’s Q1 revenue almost halves year-on-year …but orders almost treble, driven by recovery in MBE systems

Page 46: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

46

For first-quarter 2016, epitaxialdeposition and process equipmentmaker Veeco Instruments Inc ofPlainview, NY, USA has reportedrevenue of $78m, near the high endof the $70–80m guidance range.However, this is down 21% on$98.3m a year ago and down 27%on $106.5m last quarter, drivenlargely by the decline from Lighting& Display markets (where LED chipmakers continued to work throughindustry oversupply conditions). Of total revenue, the Lighting,

Display & Power Electronics segment— primarily metal-organic chemicalvapor deposition (MOCVD) systems— has hence fallen further, from67% in Q3/2015 and 47% inQ4/2015 to 29% in Q1/2016, mostof which came from the EMEA(Europe, the Middle East & Africa)region. The Advanced Packaging, MEMS &

RF segment has risen further, from10% in Q3/2015 and 20% in Q4 to30% of total revenue in Q1/2016,driven by strong growth in ship-ments to the RF (mobile device)market and capacity ramps for fan-out wafer-level packaging, whichhas been boosted by the PrecisionSurface Processing (PSP) business— formerly Solid State EquipmentHoldings LLC (SSEC) of Horsham,PA, USA until its acquisition inQ4/2015. The Scientific & Industrial segment

has risen to 19% of revenue (from17% in Q4/2015 and 10% in Q3). The Data Storage segment has

risen to 22% of revenue (from 16%in Q4/2015 and 13% in Q3).

Correspondingly, on a geographicbasis (compared with last quarter),China fell from 48% to 11% of totalrevenue, while the USA and EMEArepresented 35% each (rising from18% and 12%, respectively), withthe rest of the world comprising theremaining 19% (down from 22%).“Many countries outside of the USAare experiencing slow growthimpacted by weak currencies, highunemployment rates and geopoliti-cal tensions,” comments chairman& CEO John R. Peeler. “These fac-tors continue to weigh on consumerspending and, in turn, the end mar-kets in which we participate.” On a non-GAAP basis, gross margin

was 41.7%, up from 36.8% lastquarter and 37.7% a year ago, andabove the 37–39% guidance (andwell above the target of 40%) dueto a favorable product mix as wellas margin expansion in MOCVD andPSP products. Operating expenses have risen

from $37.1m a year ago but weresteady quarter-over-quarter at$38m (at the low end of the guidance $38–40m range). “We aremaintaining tight controls overexpenses while continuing to makestrategic R&D investments,” sayschief financial officer & executiveVP-finance Sam Maheshwari. “LED industry conditions remain

weak. As we navigate this challeng-ing environment, we are assessingour cost structure to align with thecurrent business outlook whilepositioning the company for futuregrowth,” notes Peeler. “We are pri-oritizing investments in areas that

offer meaningful growth. We’refocused on qualifying our PrecisionSurface Processing (PSP) systemsfor additional Advanced Packagingapplications and have made progressin our customer engagements forthrough-silicon via (TSV) applications.We are also leveraging our expertisein MOCVD to capture emergingopportunities for gallium nitride(GaN)-based power devices and tostrengthen our position forarsenic/phosphide applicationsincluding automotive lighting.These efforts support our strategyto enhance growth and improve thestability of our revenue stream,”Peeler concludes. Net loss was $5.7m ($0.15 per

share), versus net income of $0.6m($0.01 per share) last quarter, butbetter than the expected $14–10m($0.35–0.25 per share). Adjustedearnings before interest, taxes,depreciation and amortization(EBITDA) was cut to –$2.1m, com-pared with +$4.4m last quarter,but better than the expected loss of$9–5m due to the strong grossmargin performance. “Although business conditions

remain challenging, Veeco executedwell in the first quarter. We achievedrevenue at the high end of ourguided range, expanded non-GAAPgross margin to nearly 42%, aswell as exceeded expectations foradjusted EBITDA and earnings pershare,” summarizes Peeler. Cash flow used by operations was

$19m (up from $6m last quarter).Capital expenditure rose slightly to$4m. “We remain committed to

Veeco’s revenue falls 21% in Q1 to $78m Cost reduction plans to lower EBITDA breakeven to $75–80m revenue

17%Scientific &

Industrial

19%Scientific &

Industrial

16%Data storage

22%Data storage

11%China

35%USA

35%EMEA

19%Rest of

the World

20%Advanced Packaging, 30%

Advanced Packaging,

47% 29%Lighting, Display Lighting, Display

& Power Electronics

MEMS &RF MEMS &RF

& Power Electronics

Page 47: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

47

Infrared detector and laser diodemaker SemiConductor Devices (SCD)of Haifa, Israel has bought a VeecoGEN200 molecular beam epitaxysystem to meet the growing demandfor the production of IR detectors. SCD has a vertical R&D, integration

and production structure thatallows close control of products,throughout the various processes,from crystal growth to devicepackaging and testing. In-housecapabilities include semiconductorprocessing, LPE and MBE growth,VLSI design, dewar and vacuumtechnology, assembly and radio-metric modeling and testing. “The addition of another Veeco

GEN200 MBE system is attributed

to our great experience with Veecoproduction MBE systems and theirclear, demonstrated expertise inepitaxial deposition,” says Dr EliWeiss, VP of SCD Epitaxial Materials.“Our capacity expansion usingVeeco’s superior technology enablesus to meet the growing demand forIR detectors based on our patentedXBn and T2SL technologies.” The worldwide market for com-

mercial and dual-use IR systems isexpected to rise at a compoundannual growth rate (CAGR) of 10%from $3.2bn in 2015 to $5.6bn in2021, according to market researchfirm Maxtech International Inc,which also reports that newer IRdetectors are increasingly being

produced using MBE technology. “We are pleased that SCD, an

industry innovator, has selectedanother Veeco GEN200 MBE systemto ramp their production of IRdetectors,” says Gerry Blumenstock,VP of Veeco MBE Operations.“Compared to other MBE systems,the novel design of the GEN200provides excellent productivitywith superior material quality ingrowing III/V antimonide-basedwafers for IR sensors and laserdiodes,” he adds. “In addition, the GEN200 has Veeco’s patentedsource technology for difficult-to-evaporate corrosive materials suchas antimony.” www.scd.co.il

Israel’s SemiConductor Devices chooses Veeco GEN200 MBE systemfor infrared detector production expansion

investing in next-generation engineering programs, and theseinvestments are made to enhanceour ability to develop products forthe Power Electronics andAdvanced Packaging markets,” saysMaheshwari. Veeco also repur-chased $13m of common stock.Cash and short-term investmentshence fell from $385m to $349m. After more than doubling sequen-

tially to $107m last quarter, orderbookings fell back to $62m (downfrom $102m a year ago), reflectingthe ongoing impacts of global eco-nomic uncertainty, a slowdown inconsumer spending and the weakLED industry environment. Lighting, Display & Power Electronics

rose from 30% of total orders to39% (although still down on 59% ayear ago). “While EPIK makes upthe bulk of our MOCVD orders, weare beginning to generate customerinterest for our recently launchedK475i tool for red, orange and yellow(R/O/Y) LEDs, where we bookedorders with a second customer inQ1,” says Maheshwari. Advanced Packaging, MEMS & RF

fell sharply from a spike to 37% oforders in a record Q4/2015 to just11% in Q1. “We are working withdevice manufacturers and OSAT

[outsourced semiconductor assembly& test provider] customers to expandour PSP position and remainencouraged by the progress we aremaking in Advanced Packaging,”says Maheshwari. “In RF and MEMSmarkets, we have seen a slowdownin the pace of new capacity orderswhich coincides with weaker-than-expected demand for high-endsmartphones,” he adds.Scientific & Industrial rebounded

from just 17% of total orders to 36%,supported by strong demand for MBEproducts. “We continue to win thelion’s share of MBE opportunities foradvanced materials research, andrecently booked our 20th GENxplorAdvanced Research System,” saysMaheshwari. “Roughly half of MBEorders were for production appli-cations, where Veeco’s technologyis enablinghigh-powerfiber lasersand high-endRF devices formobile appli-cations… Wehave a healthypipeline ofopportunitiesfor MBE andoptical tools.”

Data Storage fell further, from 16%of total orders to 14%, impacted by declining PC demand and theongoing transition to flash drives(so customers are limiting invest-ments to critical technology buys). During the quarter, total order

backlog fell by $17m from $186mto $169m (down from $289m ayear ago). “Business conditionsremain challenging,” notes Peeler. For second-quarter 2016, Veeco

expects revenue to be steady at$70–83m. Due to product mix,gross margin is expected to fall to39–41% (although this is still aheadof the previous gross margin targetat these low business volumes).Operating expenses should besteady at $37–39m. Veeco alsoexpects net loss to worsen to$11–5m ($0.29–0.14 per share),and adjusted EBITDA loss ofbetween –$6m and breakeven. Based on current visibility, third-

quarter revenue is trending to be in the same range as Q2/2016,concludes Veeco. “We are planningexpense reduction initiatives in thenear future which target loweringour EBITDA breakeven by year-endto quarterly revenue levels of$75–80m,” notes Maheshwari. www.veeco.com

We arebeginning togeneratecustomerinterest for our recentlylaunched K475itool for R/O/YLEDs

Page 48: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

48

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany has entered intoan agreement for Grand ChipInvestment GmbH (CGI), an indirectsubsidiary of Fujian Grand ChipInvestment Fund LP (FGC), to takeit over. Fujian Grand Chip Investment

Fund LP is a Chinese investmentfund, 51% of which is held by Chinese businessman and privateinvestor Zhendong Liu and 49% byXiamen Bohao Investment Ltd (an investment entity indirectlycontrolled by Chinese privateinvestors Zhongyao Wang andWanming Huang). Grand Chip Investment is hence

to launch a voluntary publictakeover offer to acquire all of theoutstanding ordinary shares of Aixtron SE, including all ordinaryshares represented by Aixtron ADS.Under the terms of the agreement,Aixtron shareholders will be offered€6 per ordinary share. The transac-tion values Aixtron’s equity (includ-ing net cash) at about €670m andreflects a 50.7% premium to thethree-month volume weightedaverage share price prior toannouncement. About RMB1.7bn(€231m, at €1 = RMB 7.3456) ofequity financing will be provided byFGC, and the remainder will befinanced by debt facilities. The agreement sets out the pur-

pose and the principal terms of thetransaction with FGC and the futurestrategy. FGC says that it intends tosupport Aixtron’s strategy goingforward. Aixtron and FGC add that they view

the transaction as an opportunity togrow and to expand the companyand its workforce — the transactionis not directed towards cost or staffreductions. The transaction aims tosupport Aixtron’s long-term R&Dactivities to bring new products andtechnologies to market, support theexecution of the firm’s current

strategy and technology roadmaps,and improve its ability to competeand grow in China. Specifically, R&D competency and

Aixtron’s existing technology will bemaintained at the existing technol-ogy centers. FGC has also agreedthat Aixtron will further strengthenits technology and IP portfolio,which will remain vested with Aixtron, including in Germany. In addition, Aixtron’s existing globalset up will be maintained andexpanded at its three technologyhubs in Herzogenrath (Germany),Cambridge (UK) and Sunnyvale, CA(USA). Further international tech-nology hubs may be established.Aixtron’s legal domicile and head-quarters will remain in Herzogen-rath, Germany. Executive and Supervisory Boards

support the transaction. “It providesimmediate value to our shareholderswhile also enabling Aixtron to bringtheir new products to market,”commentsSupervisoryBoardchairmanKim Schin-delhauer.“With FGCwe havefound apartner thatwill providelocal marketinsights tosupport ourbusinessobjectivesin Asia,” headds. “The

transactionallows us toaddress ourshort-termchallenges,and tostrengthenour long-

term future prospects by enablingus to execute on our roadmapsacross all our technology areas,”says CEO Martin Goetzeler. “It willprovide us with a long-term horizonto promote the further develop-ment of new products,” he adds.“In addition, our customers willbenefit from stronger support andexecution of their roadmaps.” “Through this transaction we will

support Aixtron in gaining strongeraccess to the Chinese market andestablishing Aixtron as a localprovider amongst Chinese partners,”says Mr Liu, managing partner atFGC. “We are committed to supportthe necessary investments for Aixtron and to enhance its productportfolio… Aixtron is a cornerstonein our overall investment strategy,”he adds. “Enabling future growthfor Aixtron will lead to a strength-ening of the employee base. Customers will continue to be pro-vided with the excellent standard inquality that Aixtron is known for aswell as state-of-the art new tech-nologies and products.” GCI expects the offer to commence

in July after approval of the offerdocument by the German FederalFinancial Supervisory Authority(Bundesanstalt für Finanzdienst-leistungs-aufsicht/BaFin). The offerwill be subject to certain closingconditions, including regulatoryapprovals and a minimum accept-ance threshold of 60% of Aixtron’sissued shares, including shares represented by ADS. Closing isexpected in second-half 2016. In the event that the takeover is

successful, Martin Goetzeler willremain chief executive officer ofAixtron and Dr Bernd Schulte willremain chief operating officer. Following closing of the transactionit is anticipated that Grand ChipInvestment will nominate four candidates to Aixtron’s six-memberSupervisory Board. www.aixtron.com

Aixtron agrees €670m takeover by Fujian Grand ChipInvestment Fund Offer of €6 per share targets second-half 2016 close

With FGC we havefound a partnerthat will providelocal marketinsights tosupport ourbusinessobjectives in Asia.

The transactionallows us toaddress our short-term challenges,and to strengthenour long-termfuture prospectsby enabling us toexecute on ourroadmaps acrossall our technologyareas

Page 49: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

49

For first-quarter 2016, depositionequipment maker Aixtron SE of Herzogenrath, near Aachen, Germanyhas reported revenue of €21.4m,almost halving (down 47%) from€40.3m a year ago and down byalmost two-thirds (62.5%) fromlast quarter’s €62.5m. This was duein particular to the ongoing weakdemand for production systems forgallium nitride (GaN)-based LEDapplications and the correspondinglow order intake in Q3/2015 andQ4/2015. Of total revenue, 56% came from

equipment sales and 44% (€9.5m)from sales of spare parts & services(much higher than normal due tothe very low product sales). On aregional basis, over 70% of revenuecame from Asia, 13% from Europe,and 17% from the USA.“We continue to diversify our rev-

enue base, which can be seen inour revenue mix,” notes president& CEO Martin Goetzeler. Aixtron’slargest market was optoelectroniccomponents (including lasers,transmitters, etc) at 36% of sales(up from 5% a year ago), followedby silicon at 26%, LEDs atjust 15% (down from 26%last year), and power elec-tronics at 15%. Despite a favorable product

mix, gross margin of 15% isdown on 31% last quarterand 22% a year ago due tothe low utilization. “Based on the order back-

log as of 31 December 2015,Q1/2016 developed on amodest scale. As a result ithas been more important thanever to increase productivityas well as to control costs,”says Goetzeler. Althoughroughly level with €17.6m ayear ago, operating expensesof €17.8m are lower than€21.1m last quarter, as the

additional€1.3m quarterlyoperating costsof PlasmaSi ofFremont, CA,USA (acquiredin Q2/2015) —which provideslow-temperaturesilicon nitrideplasma-enhancedchemical vapordeposition(PECVD) systems for theencapsulation oforganic thin films— plus €4.7min comparativenegative currency-relatedtranslationaland valuationeffects were offset by higher productivity, better cost control,and a contractual settlement. EBITDA (earnings before interest,

tax, depreciation and amortization)has hence fallen from €1.3m last

quarter to –€11.7m (comparedwith –€6.4m a year ago). Operating cash flow was –€19.4m

(below –€10.1m a year ago, but animprovement on –€32.1m lastquarter), due mainly to paying the

Aixtron’s Q1 revenue hit by ongoing weak LED-related demand …but 42% rebound in orders in Q1 to drive revenue growth in second-half 2016

Revenue

Aixtron’s 24-monthbusiness development

Page 50: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

50

second installment in returningthe advanced payment fromSan’an Optoelectronics Co Ltd(China’s largest LED maker),which did not qualify Aixtron'snew AIX R6 metal-organicchemical vapor deposition(MOCVD) system. Capitalexpenditure (CapEx) was just€0.9m (down from €2.9m lastquarter and €3.5m a yearago). Hence, despite still beingdown on –€12.1m a year ago,free cash flow improved from–€35m last quarter to –€20.3m.However, free cash flow would havebeen close to break-even withoutrepaying the second installment toSan’an. Due partly to the repayment to

San’an and an agreed milestonepayment of €4.1m for the acquisitionof PlasmaSi in 2015, cash and cash equivalents were €181.9m atthe end of March, down on €209.4mat the end of December and€263.2m a year ago. Aixtron hasno financial debt. Although still down by 9% on

€48.9m a year ago, total orderintake rose by 42% from €31.3mlast quarter to €44.4m, due mainlyto stronger demand for Aixtron’splanetary reactor technology acrossa variety of applications. Aixtron says that there were

positive developments in the business, particularly related tooptoelectronics and power elec-tronics, caused by growing demand for high-performance andenergy-efficient components formarkets such as communications,data storage and electric mobility.In addition, in Q1/2016 Aixtron sawincreased demand for AIX 2800G4Planetary Reactor production MOCVDsystems for gallium arsenide/indium phosphide material in red-orange-yellow (ROY) LEDs.Also, the G4 system has been trans-ferred to Aixtron’s latest controlsplatform IC 2, giving compatibilityto the firm’s latest auto-mation features. Demand for systems in silicon-related applications wasslow, but the firm expects a recoveryin the coming quarters.

In March, Aixtron said that its AIX R6 MOCVD system had beenqualified by Epistar Corp of HsinchuScience-based Industrial Park, Tai-wan (the world’s largest manufac-turer of LED epiwafers and chips).“We expect the tool to be qualifiedin other LED manufacturers, andwe believe that we will conse-quently see orders for the AIX R6,depending on market conditions,”says chief operating officer BerndSchulte. “With our G5 PlanetaryReactor, our customers have madesignificant progress in developinggallium LEDs on large silicon wafers,enabling the potential to reduceLED costs significantly,” he adds. “The significant increase in our

order intake in the first three monthsof 2016 demonstrates that we wereable to convince our customerswith our system technologies forthe production of future-orientedmaterials such as gallium nitride-on-silicon, silicon carbide or graphenein particular,” says Goetzeler. “In the area of opto and powerelectronics, we have performedwell with our planetary systems,especially with our AIX G5+ C clustertool and our revised AIX G4-TMsystem,” he adds. “Furthermore,we put great emphasis on coopera-tion projects with leading researchinstitutions such as imec and Institut Lafayette. Thus, we supportour goal to achieve a diversifiedtechnology and product portfolio.” During the quarter, equipment

order backlog hence rose signifi-cantly, by 58% from €42.9m to€67.7m (although still down on€79m a year ago).

This development supports theexpectation of revenue growth forthe remainder of 2016, reckonsAixtron. Consequently, despite aslow start to the year, based on itscurrent order situation Aixtron reit-erates the full-year 2016 guidancegiven in February for revenue of€170–200m (compared with 2015’s€197.8m), with significantly strongerrevenue generation in second-half2016 compared to first-half 2016.Currency adjusted, order intake (in Euros) is expected to be at thesame level as in 2015. Aixtron forecasts that it can gen-

erate Spares & Services revenue of€11m per quarter over Q2–Q4/2016,i.e. €33m for the remainder of2016. So, in addition to shippableorder backlog of €68m by end-Q1,Aixtron needs to secure additionalshippable orders of €48–78m for2016. “These orders we expect primarily from application areas likesilicon, opto, power electronics andfrom LEDs,” says Goetzeler. Based on the internal budget rate

of US$/€1.10 and depending on thesuccessful completion of qualificationprocesses, market-entry efforts aswell as the achievement of revenuesat the high end of the revenueguidance range, Aixtron expectsEBITDA, EBIT, net result and freecash flow to improve slightly com-pared to 2015 but to remain nega-tive for full-year 2016. EBITDAshould be positive for full-year 2017.“We expect 2017 to be a strongeryear, driven by growing demandacross all the markets we areaddressing,” concludes Goetzeler. www.aixtron.com

Page 51: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

51

Matheson Tri-Gas Inc of BaskingRidge, NJ, USA, together with itsparent company industrial gas supplier Taiyo Nippon Sanso Corp(TNSC) of Tokyo, Japan, says thatSandia National Laboratories hasqualified and accepted the SR-4000HTcommercial-grade gallium nitride(GaN) metal-organic chemical vapordeposition (MOCVD) system for itscontinued compound semiconductor

device developmental work withaluminium gallium nitride (AlGaN)and aluminium nitride (AlN). “As a prominent leading national

institution, this customer’s qualifi-cation and acceptance of the SR-4000HT MOCVD system is asignificant step forward for theentire MOCVD market focused onAlGaN and AlN technology,” saysTNSC executive corporate officer

Koh Matsumoto. Matheson and TNSC expect the

SR-4000HT MOCVD system to bethe platform for AlGaN and AlNapplications. The two firms aim tocontinue developing its MOCVDsystem for the deep ultravioletlight-emitting diode (UVC-LED) andpower electronics applications. www.mathesongas.com www.tn-sanso.co.jp/en

Sandia qualifies and accepts Nippon Sanso’s SR-4000HT commercial MOCVD system

MRSI Systems of North Billerica,MA, USA, which manufactures fullyautomated, ultra-precision die bond-ing and epoxy dispensing systems,is supplying its flagship MRSI-M3precision die bonding assemblywork cell to the Fabrinet West facilityin Santa Clara, CA, USA. Thailand-based Fabrinet Co Ltd

(which also has engineering andmanufacturing resources and facili-ties in China) is a provider of opticalpackaging and precision optical,electro-mechanical and electronicmanufacturing services to originalequipment manufacturers of prod-ucts such as optical communicationcomponents, modules and subsys-tems, industrial lasers and sensors. MRSI notes that optoelectronic

and microelectronic component andmodule products are experiencingrecord volumes driven by the rapidgrowth in cloud computing, datatransfer and storage, and the adop-tion of the Internet of Things. Thishigh volume and high growth trendhas challenged component andmodule providers to produce proto-types quickly during product devel-opment and subsequently to scaleto high-volume manufacturing, fastand risk free, adds the firm. To respond to these challenges,

Fabrinet is setting up an opticalpackaging center in its Santa Clarafacility to provide fast prototyping

and process-development capabilityfor customers of both Fabrinet andMRSI. Equipped with the MRSI-M3die bonder (along with other capa-bilities such as active optical align-ment, wire bond, epoxy underfill,laser dicing, and various metrologytools), MRSI says that the applicationcenter will further assist its customersin advanced product developmentand fast ramping to volume manu-facturing. Fabrinet and MRSI haveagreed to form a partnership toprovide support to West Coast cus-tomers, with both easy access to astate-of-the-art packaging facilityand the ability to accelerate newproduct development and risk-freescaling to volume production. The MRSI-M3 is said to deliver a

combination of accuracy, speed andreliability for complex eutecticbonding with scrubbing and/or force,integrated epoxy dispensing andstamping, thermal and UV epoxybonding, and flip-chip bonding.MRSI says that the system providesflexibility, handling multiple diesizes and carrier geometries forcomplex multi-die packaging orfabrication of multiple products.Real-time closed-loop force controlenables placement of delicate components either mechanicallyfragile or structurally complex forphotonics, RF and microwave, sensor,MEMS and other applications.

Die can be picked from waffle pack,Gel-Pak, wafer, and tape & reel.The MRSI-M3 can be configured forflexible applications during proto-typing or more dedicated processesduring volume manufacturing. “MRSI Systems has been serving

optoelectronic and microelectroniccustomers for the past 32 years,”says MRSI Systems’ presidentMichael Chalsen. “Fabrinet is theindustry leader in contract manu-facturing for advanced packaging ofcomplex products and has been agreat customer to us. Both compa-nies also serve the same customerbase and market segments,” he adds. “MRSI Systems is the industry

leader in providing equipment andsolutions for one of the most criticalprocesses in advanced packaging —ultra-precision and high-speed diebonding,” comments Dr Hong Hou,executive VP & chief technologyofficer at Fabrinet. “Fabrinet and itscustomers have been working withMRSI Systems for many yearsbecause of their product’s perform-ance, reliability and field service,particularly their platform design inmeeting unique needs from proto-typing to high-volume manufac-turing,” he adds. “We are lookingforward to further our collaborationin this application center.” www.fabrinet.com www.mrsisystems.com

MRSI providing die bonding assembly work cell forFabrinet’s Silicon Valley optical packaging center

Page 52: Download V.11, issue 4, May / June 2016 (36 MB)

Materials, component and precisionsystem supplier Ferrotec Corp ofSanta Clara, CA, USA (whoseTemescal division of Livermore, CA,USA makes electron-beam-basedevaporative coating systems) saysthat a Temescal UEFC series evapo-rator — the first Auratus Enhancedsystem to be installed in China —will be delivered to the new GaAsfoundry Hangzhou LiangdongxinMicroelectronics, which has beenestablished by China-based powersemiconductor firm Hangzhou Li-OnMicroelectronics Corp (founded in2002 with processing, quality andmanagement technology transferredfrom On Semiconductor). With theaddition of the Temescal UEFC sys-tem to the Liangdongxin foundry,customers can benefit from near-perfect uniformity and reducedmaterial consumption, says Ferrotec. “With the growth of mobile devices

in China and the expansion of IoT(Internet of Things), the demand for

the next generation of semiconductordevices based on GaAs material isgrowing,” says Liangdongxin’s chiefoperating officer Dr William Wang.“We need a tool that could deliver highproduction throughput, consistentprocess uniformity, and operationalefficiency to minimize our total costof ownership. After a rigorous eval-uation process, we concluded thatFerrotec’s Temescal UEFC system isthe best evaporator in meeting ourspecifications for thickness, composi-tion and particulate control,” he adds. “The Chinese

governmenthas committedto becomingmore self-sufficient inthe manufac-turing ofsemiconduc-tor devices,and HangzhouLiangdongxin

Microelectronics’ new GaAs-basedfoundry will enable the production ofleading-edge devices that powermobile and IoT,” says Gregg Wal-lace, managing director ofTemescal Systems at Ferrotec.“Ferrotec’s Temescal UEFC evapo-rator is a workhorse in the produc-tion of compound semiconductordevices, and our Auratus Deposi-tion Process Enhancement Method-ology delivers unmatched productquality and operating efficiency,” he claims. “We look forward to strong growth fromHangzhou Liangdongxin Microelec-tronics and this tool will be anexceptional fit with their expandingGaAs foundry business.” In China, Temescal evaporators

are supported by long-term representative MPS (Micro-PowerScientific Corp). www.Li-On.com www.temescal.net www.ferrotec.com

Liangdongxin orders first Temescal UEFC seriesevaporator in China for new GaAs foundry

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

52

Plasma process equipment makerPlasma-Therm LLC of St Petersburg,FL, USA has earned two first-placeawards in the 2016 Customer Satisfaction Survey conducted bymarket research firm VLSIresearch,scoring higher than in any previousyear and the 17th consecutive yearthat the firm has earned a topranking (after participating in theindependent survey since 1998). Plasma-Therm was ‘RANKED 1st’

among equipment suppliers in boththe ‘Etch and Clean Equipment’ and ‘Fab Equipment’ categories. In addition, the firm placed secondoverall in the ‘10 BEST FocusedSuppliers of ChipMaking Equipment’rankings. “As we expand our product and

application portfolio, we are grate-ful to see that our customers, from

small labs to high-volume manu-facturing, continue to praisePlasma-Therm’s overall perform-ance year after year,” says CEOAbdul Lateef. “The higher scores that

Plasma-Therm earned in the Customer Satisfaction Survey showthat their customers appreciateboth the reliability of Plasma-Therm’stools and the responsiveness of itscustomer service team,” commentsVLSIresearch’s chairman & CEO G. Dan Hutcheson. “The company’sfocus on technology innovation andcustomer service is widely recog-nized.” The ‘10 BEST’ awards recognize

the top-rated suppliers of all typesof equipment used in semiconductordevice manufacturing, includingfabrication, testing and assembly

equipment. The awards are presented in two categories basedon company size: ‘Large’ suppliersand ‘Focused’ suppliers, based on athree-year average of revenues. ‘THE BEST’ awards recognize

top-rated equipment suppliersacross a range of equipment types,including Fab (chip fabrication),Test, and Assembly. Survey participants worldwide

were asked to rate equipment suppliers in 15 categories based on three key factors: supplier performance, customer service,and product performance. In 2016,VLSIresearch received feedbackfrom more than 95% of the chipmarket, with a total of 3619 surveysreturned, resulting in 54,282 totalresponses. www.plasmatherm.com

Plasma-Therm tops Customer Satisfaction Survey for‘Etch and Clean Equipment’ and ‘Fab Equipment’

The Chinesegovernment hascommitted tobecoming moreself-sufficient in themanufacturingof semiconductordevices

Page 53: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

53

Plasma etch and deposition equip-ment maker CORIAL of Bernin,France, a provider of plasma etchingand deposition equipment haslaunched COSMA Pulse as new software enabling pulsed or time-multiplexed processing on conven-tional dry process tools. The firm has found a way to add

new capabilities (pulsing of processparameters) to conventional dry etching and plasma-enhancedchemical vapor deposition (PECVD)systems to realize deep reactive ionetching (DRIE)-Bosch processing,atomic layer etching (ALE) and/oratomic layer deposition (ALD). Developed with the R&D market in

mind, COSMA Pulse can control andpulse simultaneously and inde-pendently from all other processparameters, including gas flow rate,working pressure, RF power, LF poweror virtual process parameters.

“We are proud to announce thefirst successful demonstration ofCOSMA Pulse on a 200mm ICP-RIEsystem”, says R&D manager Jean-Pierre Roch. For this demo, CORIALchose the DRIE-Bosch — a classicalprocess thatalternatesrepeatedlyetching andpassivationsteps toachievedeepanisotropicetching ofsilicon struc-tures. DRIE-Bosch is thecornerstoneof MEMS,advancedpackagingand power

devices manufacturing flows.“COSMA Pulse, with adequate elec-tronic controller, will deliver veryfast process step switching from10ms for a single process step upto 1 minute,” says Roch.The software ensures that the

wide range of dry etching and deposition techniques — from continuous wave plasma to pulsedprocessing — can be realized inconventional ICP-RIE and PECVDtools. “COSMA Pulse expands CORIAL’s

available market, giving customersalternative options for their etchingprocesses,” says marketing managerElsa Bernard-Moulin. “This demon-stration is just a taste of the capa-bilities of COSMA Pulse. Soon, we will be letting you see trueatomic layer processing on our conventional ICP-RIE tool.” www.corial.net

CORIAL releases process control software for atomiclayer processing using conventional dry process tools

The firm hasfound a way toadd newcapabilities(pulsing ofprocessparameters) toconventional dry etching andPECVD systemsto realize DRIE-Boschprocessing, ALE and/or ALD

Page 54: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

54

For first-quarter 2016, RubiconTechnology Inc of Bensenville, IL,USA (which makes monocrystallinesapphire substrates and productsfor the LED, semiconductor andoptical industries) has reportedrevenue of $4.3m, down on $8.9ma year ago but up on $2.45m lastquarter. The rise was due primarily to

wafer revenue rising by $1.5mfrom $0.86m to $2.35m, mostlyfrom 6-inch diameter patternedsapphire substrates (PSS) for theLED market rising by $1.1m from$0.4m to $1.5m, while polishedwafers rose from $0.45m to $0.83m(though down on $1.4m a year ago). R&D revenue was steady at about

$0.1m (down slightly on $0.14m ayear ago). Although it is still down on$1.8m a year ago, optical revenuerose from $1m to $1.4m. Revenue from core sales has fallen

further from $5.1m a year ago and$0.48m last quarter to $0.44m.Apart from just $2000 of 2-inchcores (down from $10,000 lastquarter and $4m a year ago),nearly all of this was from 4-inchcores for the LED market (downslightly from $0.47m last quarterand $1m a year ago). Despite thefact that the LED market continuesto grow and some competitorshave left the market, excess sap-phire capacity continues to keeppricing at historically low levels,especially for 2- and 4-inch cores.Hence, in recent quarters, Rubiconhas been limiting the amount of 2- and 4-inch core sold. Crystal growth production is oper-

ating at 30% capacity, “a level atwhich we can retain our key crystalgrowth talent [and a certain numberof furnaces running]. This talent isimportant for us to keep if we areto be able to respond to the newapplication opportunities we areworking on,” notes chief financialofficer Mardel Graffy. “The crystalsproduced are primarily used for

6-inch wafers, optical parts andsome relatively small-volume 4-inch core sold to a couple of keycustomers in that market to main-tain the relationships,” she adds. “If they ramp quickly we want to beable to respond to them accordingly,”notes president & CEO Bill Weissman. With the low crystal-growth

utilization and under-utilized polish-ing operation in Malaysia, idle plantcost remained high at $2.3m, similar to both last quarter and ayear ago. However, operating expenses have

been cut from $3.3m last quarterto $2.7m, due mainly to general &administrative expenses being cutfrom $2.3m last quarter to $1.8mincluding reduced professional feesand lower bad debt expense, assales & marketing expenses remainabout $0.4m and R&D expensesremain about $0.6m. GAAP net loss was $7.3m

($0.28 per share), cut from $12.7m($0.49 per share) last quarter, and$8.3m ($0.32 per share) a year ago. Cash use remained relatively high

at $5.7m, because it included theinitial payment on the SapphirEXtool and a $900,000 payment forsettlement of securities litigationthat was previously expensed. During the quarter, cash and short-term investments hence fell further,from $30mto $25m.Capitalexpenditurewas keptunder $1mfor the wholeof 2015. For second-

quarter2016, Rubi-con expectsrevenue andloss pershare to besimilar to thefirst quarter.

However, based on current cus-tomer projections, revenue growthis expected to resume in third-quarter 2016, driven by a significant rise in PSS wafer sales. “While the organic light-emitting

diode (OLED) is taking marketshare from sapphire-based LEDs in the backlighting segment, thegeneral lighting market continuesto grow,” says Weissman. “Thereremains opportunity within thatsegment of the market for revenueand margin growth. Specifically, we believe that demand for 6-inchdiameter PSS wafers will continueto grow, as the competitive land-scape for providing that product ismore limited,” he adds. “While PSS pricing is impacted by

the macro sapphire pricing environ-ment, it tends to be less volatile.We have a competitive advantage inbeing able to produce high-qualitylarge-diameter PSS wafers in a vertically integrated process start-ing from powder aluminum oxide…few competitors have that capability.For customers that are very sensi-tive to potential disruptions in thesupply chain and consistency ofquality, that vertical integration isvery important,” Weissman believes.“We expect meaningful increases in 6-inch PSS sales over the courseof this year because of our large-diameter capability and verticalintegration,” he adds. “The customer qualification

process of these wafers can bequite lengthy, which we believe canlead to greater customer loyalty...However, despite the limited numberof capable competitors for 6-inchPSS wafers, current pricing is alsoweak because the demand forthese 6-inch wafers today is fairlylimited. Therefore, it is essentialthat we continue to expedite costreductions in our polishing oper-ation, so that we can optimize thepotential of our vertical integrationmodel particularly as it relates to

Rubicon’s revenue rebounds, driven by 6-inch patterned sapphire substrates Flat Q2 to be followed by significant PSS growth in Q3

One challenge inreducing wafercost has been thelimited volumesprocessed in ourMalaysian facility.The expectedincrease involumes in thethird quartershould also help to reducewafer costs

Page 55: Download V.11, issue 4, May / June 2016 (36 MB)

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

55

PSS opportunities,” says Weissman.“We made progress in the firstquarter and we are continuing toimplement improvements. Onechallenge in reducing wafer costhas been the limited volumesprocessed in our Malaysian facility.The expected increase in volumes inthe third quarter, which is based oncustomer projections, should alsohelp to reduce wafer costs,” he adds. “In addition to pursuing our PSS

potential, we are targeting high-margin optical applications [that fitparticularly well with Rubicon’sunique set of sapphire knowledgeand capabilities] and developing

new products. We are making significant strides in building a valu-able optical business like cultivatingnew customers, expanding ourproduct offerings and completingthe development of two new technologies, our LANCE and ourSapphirEX technologies,” saysWeissman. Both the LANCE largewindow growth and SapphirEXcoating technologies (patentspending) met additional key mile-stones during Q1, and both shouldmove into production this year.“Over the next several months, we expect to see meaningful devel-opments in some of these new

market opportunities, as well asdevelopments in our new technolo-gies and further progress in productcost reductions,” he adds. “We continue our efforts to reduce

both wafer and crystal growth costsand keep support cost as low aspossible,” says Weissman. “Whilewe will have the remaining balanceof the SapphirEX tool to pay in thesecond quarter [$700,000], weexpect cash used for capital expendi-tures to be minimal for the remain-der of the year and expect to see areduction in cash used in operationsas we further reduce cost.” www.rubicon-es2.com

Rubicon has appointed a new inde-pendent director, Timothy E. Brog,president of Locksmith Capital, to its board, expanding it to sixmembers (five of whom are independent). “He is an accomplished executive,

with extensive investment, legal,management and financial experience — as well as a strongtrack record of driving change as amember of public company boards,serving on director slates of both

management and activist share-holders,” says chairman Don N.Aquilano. “We welcome his insightsas we pursue the appropriate pathforward to drive long-term stock-holder value. Timothy brings valuable new perspectives to ourboard, and his appointment is consistent with our commitment to maintaining a highly qualifiedboard with the necessary skills andexpertise to navigate the currentenvironment.”

“I look forward to leveraging myexperiences to work with theboard and management team toimplement necessary change andmaximize stockholder value as Ihave in previous situations with anarray of public and private compa-nies,” says Brog. “I am excited towork with Rubicon as we continueto strengthen the company’s exist-ing platform, capitalize on newmarket opportunities, and positionRubicon for the future.”

Rubicon appoints new independent director

SPTS Technologies Ltd of Newport,Wales, UK (an Orbotech companythat manufactures etch, PVD andCVD wafer processing solutions forthe MEMS, advanced packaging,LED, high-speed RF on GaAs, andpower management device mar-kets) has won a Gold Award in theRoyal Society of Prevention of Acci-dents (RoSPA) Occupational Healthand Safety Awards for the fourthconsecutive year. The award will bepresented during a ceremony atthe Hilton Birmingham MetropoleHotel on 14 July. “It recognizes their commitment

to maintaining an excellent healthand safety record and raises the

bar for other organisations toaspire to,” says Julia Small, RoSPA’shead of awards and events. “We have a strong culture of con-

tinuous improvement throughoutour organization, which has beeninstrumental in sustaining our busi-ness growth,” says Kevin Crofton,president of SPTS Technologies andcorporate VP at Orbotech. “It takescommitment and support of all thestaff to maintain this high level ofhealth and safety best practices inthe work place.” RoSPA is a safety charity that

recognizes companies that havedemonstrated a commitment tooccupational safety and health for

their employees. Celebrating itsdiamond anniversary, the RoSPAAwards scheme is the largest andlongest-running programme of itskind in the UK. It is open to busi-nesses and organizations of alltypes and sizes from across the UKand overseas. Most of the awardsare non-competitive and markachievement at merit, bronze, sil-ver and gold levels. Gold medals,president’s awards and orders ofdistinction are presented to organizations sustaining the highstandards of the gold level overconsecutive years. www.spts.comwww.rospa.com/awards

SPTS wins RoSPA Gold Award for occupational health& safety for fourth consecutive year

Page 56: Download V.11, issue 4, May / June 2016 (36 MB)

At Analytica 2016 (the 25th Inter-national Trade Fair for LaboratoryTechnology, Analysis and Biotech-nology), Crystal IS Inc of GreenIsland, NY, USA, an Asahi Kaseicompany that makes proprietaryultraviolet light-emitting diodes(UVC LEDs), launched a commercialproduct line targeting higher-powerapplications for UVC disinfection ofwater, air and surfaces. Building on the firm’s commercial-

ized Optan product line, Klaran is thefirm’s first-generation disinfectionproduct line (available now in bothsample and production quantities).Offering germicidal output powersfrom 15mW to 30mW, it is uniquelysuited to use in healthcare, lab waterand consumer product applicationswhere health and human safety isof primary importance. Applications demonstrating the

need for high-powered UVC LEDsinclude healthcare, lab water andconsumer products: ● According to the Centers for Disease and Control (CDC), hospital-

acquired infections (HAIs) impactone in 25 patients in the USA eachyear. The Affordable Care Act (ACA)and the Centers for Medicare andMedicaid Services (CMS) reportimproved patient outcomes, shorterstays and fewer repeat visits linkedto healthier environments thatincorporate UVC technology inaddition to approved standard surface wipe protocols. ● Point-of-use (PoU) lab waterpurifiers now make up 75% of anapproximately $480m global market.UVC LEDs can be integrated intothese systems for Type I, II and IIIwater purification, as defined bythe American Society for Testingand Materials (ASTM). ● Global headlines highlight theneed for safe and reliable drinkingwater, and the UVC water purifiermarket is hence expected to almostdouble in size over the next fiveyears from $9.16bn to $17.85bn in2020. In addition to the USA, thisgrowth is attributed to China andIndia, where industrialization and a

rising urban population is putting astrain on drinking water resources. Crystal IS says that the new

Klaran can benefit manufacturerswho need:● optimized, high-output germicidalpower for maximum disinfectionrates; ● smaller footprint that affordsdesign flexibility and product inno-vation; ● a rugged design for mobile appli-cations; and ● non-hazardous, mercury-freesolution with no fragile quartz tubes. “For Crystal IS, developing a

reliable disinfection product hasbeen one of the company’s primarystrategic goals,” says CEO LarryFelton. In addition, Crystal IS offers tech-

nical assistance via applicationengineering support, softwaremodeling or onsite training to assistinnovation teams in developing disinfection solutions.www.cisuvc.com/products/klaran www.analytica.de/index-2.html

Vishay Intertechnology Inc ofMalvern, PA, USA has launched anew device in its VLMU35xx series ofceramic-based, high-power UV LEDswith silicone lenses that features awavelength in the 365nm range formedical, industrial and printingapplications. Designed to provide areliable, energy-saving replacementfor mercury lamps, the silicone lensof the Vishay SemiconductorsVLMU3510-365-130 enables lifetimesup to 25,000hr, versus the typicalmercury lamp lifetime of 10,000hr. Supplied in a compact 3.45mm by

3.45mm surface-mount package,the environmentally friendly UV LEDis free of heavy metals and providesincreased reliability through itsshock resistance and immunity to

degradation from frequent on/offswitching. While mercury lampsrequire complex drive circuits andneed 2–15 minutes to warm up,the VLMU3510-365-130 allows theuse of simple low-voltage circuitryand requires no warm-up period. Fabricated from indium gallium

nitride (InGaN) technology, thenew UV LED features typical radiantpower of 690mW at 500mA and

945mW at 700mA in a wavelengthrange of 362.5-370nm. TheVLMU3510-365-130 has an emission angle of 130° and radiantintensity of 315mW/sr at 700mA.The LED’s specifications make it

suitable for UV curing in nail salon,dental and poster printing appli-cations; blood and counterfeit moneydetection; and photocatalytic purifi-cation. RoHS-compliant, halogen-freeand Vishay Green, the VLMU3510-365-130 is compatible with reflowsoldering processes and features aMoisture Sensitivity Level of 3 inaccordance with J-STD-020. Samples and production quantities

are available now, with lead timesof 6–8 weeks. www.vishay.com/ppg?84363

Vishay launches ceramic-based, high-power 365nmUV LED with silicone lens delivering long lifetime

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

56

Crystal IS expands range to high-powered UVC LEDsfor disinfection of water, air and surfaces

Page 57: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

57

RayVio Corp of Haywood, CA, USA,which is commercializing deep-ultraviolet (UV) LEDs and consumerdisinfection solutions, has launcheda new series of UV LEDs with whatis claimed to be the highest poweroutput available from a small surface-mount device (SMD) foot-print. RayVio’s technology enablesthe UV disinfection of water andenvironments via a new generationof powerful and portable health andhygiene devices. The new series of UV LEDs is

reckoned to be among the smallestcommercially available (at a widthof 6.5mm), enabling the flexibilityfor incorporation into a range ofproducts from self-disinfectingwater bottles to hospital surfacecleaners. The technology providesup to 40mW at 100mA continuouscurrent operation in a single package(the most power available in thatsize on the market, it is claimed).With its wavelength range280–290nm and its high powerdensity, the LEDs can deactivate

the DNA of bacteria, viruses andother pathogens, preventing disease. “RayVio’s innovative new form

factor brings the protective powerof ultraviolet light to a galaxy ofinnovative new products,” saysRayVio’s CEO Dr Robert C. Walker.“We’re facing serious global chal-lenges around hospital-acquiredinfections and contamination of thefood and water supply. This newtechnology marks an importantstep in providing peace of mind tobillions of consumers,” he adds. UV light is widely used to protect

against germs in water, surfacesand air, and can also aid in treatingskin diseases including psoriasis,eczema, rickets and jaundice. However, traditional UV lightsources are bulky, fragile and contain toxic mercury. RayVio’s UVLEDs are portable and compact andcan be used safely across a rangeof applications, from cleaning water tanks in home humidifiers todisinfecting water at the faucet.

The firm is working with partnersworldwide to incorporate its line ofUV LEDs into a new generation ofproducts and applications. Coupled with a hemispherical

quartz lens, RayVio’s new UV LEDsprovide precise beam shape,enabling uniform light distributionover a large area. The UV LEDemitters are offered in a range ofwavelengths, power levels andmounting designs. They also offerthermal resistance of 6°C per watt,are RoHS (Restriction of HazardousSubstances) compliant, and aremanufactured in a facility in SiliconValley, with additional high-volumemanufacturing partners around theworld to meet global demand. RayVio is exhibiting its technology

at the Association for Professionals inInfection Control and EpidemiologyAnnual Conference (APIC 2016) inCharlotte, NC, USA (11–13 June).Product samples and a wide rangeof application support are available. http://ac2016.site.apic.org www.rayvio.com

At the RadTech UV+EB 2016 trade show in Chicago, IL, USA(16–18 May), Excelitas TechnologiesCorp of Waltham, MA, USA, whichprovides customized photonic solutions to OEMs, highlighted itsnew UV LED curing products. Excelitas says that its solutions

meet the lighting, detection, opticsand other technology needs of OEMsacross a wide range of industries.Products on display include: ● OmniCure LX500 UV LED SpotCuring System: Available in eithertwo or four LED head system configurations with up to 16W/cm2

peak irradiance, the LX500 providesoptical stability via Intelli-Lamp LEDtechnology for repeatable deviceassembly and reduced costs.

OmniCure LX500 is suitable for usein medical devices such as catheters,cannulas, endoscopes and syringes;electronics such as OPU, smartphonesand tablets; and general-purposesmall component applications suchas bonding and coatings. ● OmniCure AC5 Series UV LEDsystems: Designed for small-areacuring with a unique combination ofhigh-output LEDs and customoptics, the AC550/P and AC575/Pair-cooled UV LED curing systemsprovide high irradiance (14W/cm2),enabling manufacturers to achievehigh productivity, it is claimed.Additionally, the LEDs deliver longlifetime and lower electrical con-sumption to reduce running costs.OmniCure AC5 Series Systems are

designed to cure inks, adhesivesand coatings in print, industrial andelectronics manufacturing appli-cations. The systems’ high irradi-ance allows adhesive curing at alow temperature for sensitive components used in medical deviceand electronics manufacturing. In addition, Mike Kay, Excelitas’

director of product management,UV Curing, presented ‘ImprovingCure with UVC LEDs’ in RadTech’sDeep UV LED session, whichaddresses the use of UV LED-curableadhesives, inks and coatings forcommercial applications (includingthe feasibility and requirements ofadding deep-UVC LEDs into a commercial LED curing system).www.excelitas.com/OmniCure

RayVio launches surface-mount UV LEDs for compact,portable disinfection of water and environments

Excelitas features new UV LED curing solutions at RadTech UV+EB 2016

Page 58: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

58

Taiwan-based Everlight ElectronicsCo Ltd has launched four newceramic high-reflective-packagedhigh-power automotive LEDs with agolden lead frame in four differentcolors — white, PC-amber, red, andsuper-red — according to the pre-ferred exterior applications. The 3030CLU-NP (white) features

a cool color temperature of5180–6680K, making is especiallysuitable for daytime running lamps(DRLs). The 3030CLU-UY (PC-amber)is recommended for turn indicatorsand tail lights. As for center high-mount stop lamps (CHMSLs),brake lamps and rear combinationlamps, Everlight is introducing redand super red color options. Both3030CLU-URR (red) and 3030CLU-USR (super-red), with wavelengthsof 612–624nm and 627–639nmrespectively, provide high luminousflux at a drive current of 350mA.

The 3030CLU-USR (super-red) isbased on European OE’s preferenceand provides a more vivid andhence easier-to-recognize red color,which is expected to help to reducecar accidents. With compact size (3.0mm x 3.0mm

x 0.8mm) and a wide viewing angleof 120°, the 3030CLU(AM) Seriesof LEDs is offered in a golden high-reflective package. Using a ceramicsubstrate results in lower costs anda low thermal resistance of less than7ºC/W for optimized heat manage-ment. A further benefit is a junction

temperature (Tj) of up to150ºC while maintaininggood performance. Typical3030 packages are destroyedat this temperature, but the3030CLU(AM) is more suit-able for demanding exteriorautomotive applications. A golden lead frame also

improves sulphur resistance. The 3030CLU(AM) LED packages

have passed qualification accordingto AEC-Q101 and comply with thehighest moisture sensitivity levelstandard MSL1 (an electronic stan-dard for the time period during whicha moisture-sensitive device can beexposed to ambient room condi-tions). The devices are also ESDprotected for voltages up to 8kV. Samples of 3030CLU(AM) LEDs.

Mass production is starting in second-quarter 2016. www.everlight.com

Everlight launches AEC-Q101-qualified high-power LEDsin highly reflective packages for exterior automotive

Plessey of Plymouth, UK has enteredinto a distribution agreement forthe UK and Ireland with Newbury-based Freeway Lighting, an electronics distributor and providerof advanced lighting solutions. “We specialize in the distribution

of solid-state lighting componentsand have been developing our LEDEcoSystem over recent years to

include many solutions for our customers,” says Freeway Lighting’stechnical director Ian Wood. “ThePlessey products will be an excellentaddition to the Freeway LightingLinecard, complementing the existingproduct portfolio,” he adds. “We have been immensely

impressed with the skills withinFreeway for finding lighting solutions

for difficult applications,” commentsPlessey sales director Giuliano Cassataro. “We are keenly lookingforward to working with them andbringing to Freeway some of theinnovative lighting technologies andsolutions Plessey has built up usingour MaGIC gallium nitride on silicontechnology.” www.freeway-lighting.com

Plessey has won the PlymouthHerald’s Manufacturing BusinessAward 2016 for large businesses. Now in their sixth year, the

awards celebrate the city’s mostdynamic companies. Presented on14 April, the event was attendedby over 600 guests, includingsome of the leaders of Plymouth’sbusiness community. “We are grateful to the sponsors,

City College Plymouth, Plymouth

University and BD (Becton, Dickenson and Company), for theirsupport,” said Plessey’s operationsdirector Mike Snaith. “GaN-on-SiLED lighting manufacturing expan-sion plans are well on track,” he adds. “We are entering a very exciting

period for the company, with ournew technology and products nowgaining traction in one of thefastest-growing technology markets— solid-state lighting,” said

Neil Harper, Plessey’s director LED Product Line. “Our recent winsin horticultural and human-centriclighting are proof of the long-termviability for Plessey and its LEDmanufacturing strategy.” Plessey recently signed a license

agreement for the manufactureand supply of PhytoLux LED growlight fixtures. www.plesseysemiconductors.com/led-plessey-semiconductors.php

Plessey wins Manufacturing Business of the Year Award

Plessey signs UK & Ireland distribution deal with Freeway

Page 59: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

59

Samsung Electronics Co Ltd ofSeoul, South Korea says that itstest lab for LED packages and modules has been qualified byglobal safety science organizationUL (Underwriters Laboratories) tooperate the UL Total CertificationProgram (TCP), one of the highestlevels of testing and qualificationunder the UL Data Acceptance Program (DAP). UL’s standards and certification

are recognized as indicators ofproduct safety and reliability, saysSamsung. To better handle increas-ing requests for testing and certifi-cation, UL has been running DAP,which allows approved manufactur-ers to test products using their ownlabs, equipment and engineers,and then submit the test data to ULfor review. Samsung’s test lab forLED packages and modules is nowrecognized for the UL TCP. WithinUL-specified parameters, Samsungcan manage the full TCP processfrom examining a product’s struc-ture, to selecting and conductingappropriate UL testing, and obtain-ing UL certification. As a UL-approved TCP lab from

May, Samsung is performing teststo measure the safety of its LEDcomponents under varying environ-mental settings including electrical,temperature and humidity variation. “By fully embracing the UL TCP,

we will be able to speed up the ULtesting and certification process forour LED products and strengthenthe foundation for our LED businessaround the world,” says SungKwanLim, VP of the LED Quality team,Samsung Electronics. “UL TCP will support Samsung’s

LED business with more flexibilityfor timely UL certifications,” notesTodd Denison, VP & general managerof UL’s Appliances, HVAC and Lightingdivision. “UL will continue to workclosely with manufacturers to supportimprovements in the overall safetyof LED components.” Samsung says that, by managing

its own UL-approved test lab, it canreduce the testing period for its LEDcomponents by 50–75%, aiding itsability to rapidly supply UL-certifiedLED components to customers.As a part of the criteria for its TCP

qualification, Samsung satisfiedquality management system

requirements, stipulated by ISO17025. The ISO 17025 standard isused by testing and calibrationlaboratories for accreditation thatallows them to be recognized astechnically competent. To maintainits product examination system,Samsung will maintain a close relationship with UL through testingvalidation processes including regular assessment of the SamsungLED test lab as required by the TCPprogram.A few years ago Samsung’s LED

test lab was also qualified as aninternational test lab from theKorea Laboratory AccreditationScheme (KOLAS), a governmentalaccreditation organization thatevaluates quality and technicalcapabilities of testing and inspectionlaboratories. The Samsung lab hasalso been recognized by the VDEInstitute, the testing and certificationbranch of VDE Association for Electrical, Electronic & InformationTechnologies (one of the largesttechnical and scientific associationsin Europe). www.samsung.com www.ul.com

Samsung Electronics’ LED test lab approved for UL Total Certification Program

UV LED curing firm Phoseon Tech-nology of Hillsboro, OR, USA hasintroduced the next-generationFireJet FJ200, its highest UV powerair-cooled light array (with peakirradiance of up to 16W/cm2),designed for the most demandingprinting, coatings and area curingapplications. The FireJet family ofproducts comes in a scalable formfactor to accommodate various cur-ing lengths for ease of installationand low maintenance. Available in May, FireJet FJ200 will

come equipped with Phoseon’s newTargetCure and WhisperCoolpatented technologies. TargetCure

delivers precise, stable and consis-tent curing (and hence less mainte-nance), and WhisperCool offers alow-noise solution with increasedUV output. These are the first ofseveral new technologies thatPhoseon is bringing to the marketin 2016 to improve the capabilitiesof customers. “The new FireJet brings customers

a high-performance, reliable solution that translates directly to increased productivity andimproved profitability,” says StacyFender, VP of worldwide sales. www.phoseon.com/products/uv-curing-systems/firejet

Phoseon launches UV LED curing solutions with16W/cm2 peak irradiance

Phoseon’s FireJet FJ200 UV LEDcuring system.

Page 60: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

60

LED maker Lumileds of San Jose,CA, USA has launched its second-generation LUXEON CoB CompactRange of LEDs, which feature anefficacy and output boost of up to16% over its previous-generationarrays. Lumileds says that the newproduct line reinforces its positionin chip-on-board technology byenabling cost-effective solid-statePAR, GU-10 and MR-16 lamps forretail, hospitality and home lightingapplications. Due to the common light-emitting

surface (LES) of 6.5mm across theLUXEON CoB Compact Range Gen 2LEDs, different power range directional lamps such as a 35W-equivalent and a 50W-equivalentMR-16 lamp can use the same optic,minimizing design and system cost.The upgraded arrays also achievewhat is claimed to be unsurpassedcenter-beam candlepower (CBCP)or ‘punch’. At 1500 lumens, theLUXEON CoB 209 reaches 76,000candelas at a 10° beam angle. “Customers currently using

first-generation LUXEON CoB Compact Range can replace a Gen 1 Compact Range 109 with aGen 2 Compact Range 205 to attainthe same performance at a signifi-cantly lower cost, or they canreplace a Gen 1 Compact Range 105with a Gen 2 Compact Range 205and boost output by 16% in efficacy,”says Ivan Tsoi, product manager forthe LUXEON CoB Compact Range.

The performance boost, combinedwith what is claimed to be theindustry’s lowest thermal resistance,leads to smaller heat-sinks andmore cost-efficient lamps.LUXEON CoB Compact Range LEDs

are offered in what is claimed to bethe industry’s widest range of colortemperatures (CCT of 2200–5700K)and color rendering indexes (CRIsof 80 and 90). The range is alsoavailable with Lumileds’ CrispWhiteTechnology (designed to be used infashion retail lighting to reveal therichest whites, most vibrant redsand to make all colors pop). All LUXEON CoB Compact Rangearrays are hot tested at 85°C toensure performance in real-worldoperating conditions and to mini-mize additional testing. Also, with the wide availability of

compatible holders, optics and drivers, the time to market of spotlights and directional lamps isnow faster, says the firm. www.lumileds.com/LUXEONCoBCompact

Lumileds launches second-generation LUXEONCoB Compact Range LED arrays

LED maker Lumileds of San Jose,CA, USA and Arrow Electronicsannounced a strategic agreementfor the global distribution ofLumileds comprehensive portfolioof application-optimized LEDsincluding high-power, mid-power,low-power, chip-on-board (CoB),color and ultraviolet (UV) LEDs aswell as the infinitely configurableMatrix Platform. The agreementaligns with both companies’ dedication to providing customerswith responsive, accelerated serviceand reliable, high-performancesolutions.“Lighting is an extremely

dynamic market and today’s lighting producers expect timelydelivery of the right LEDs whereand when they are needed,” says

Emmanuel Dieppedalle, Lumileds’senior VP of marketing & sales.“Arrow is a technology leader thatis known for connecting customerswith the right comprehensive solutions, quickly… This agreementwill expand our market coverageand, with Arrow, customers cancount on the consistently highlevel of service that they havecome toexpect fromLumileds,” he adds. “Building

upon ouralreadystrongLightinginfrastruc-ture, we are

pleased to now offer Lumiledscomprehensive portfolio of LEDs toour growing customer base,” saysDavid West, senior VP of globalmarketing at Arrow. “With thispartnership, Arrow is well positionedto support all LED-based lightingapplications.”Arrow is a supply chain partner to

industrial and commercial users ofelectronic components includingover 100,000 OEMs and customersworldwide. With this new engage-ment, Lumileds expects tobroaden its availability to the light-ing market by accessing Arrow’scustomer base and service model,enabling faster global adoption ofLumileds portfolio of LEDs, arrays,light engines and automotiveproducts.

Arrow to distribute Lumileds’ LEDs, arrays, light engines and automotive products globally

Lumileds’ second-generationLUXEON CoB Compact Range.

Lighting is anextremelydynamic market...lighting producersexpect timelydelivery of theright LEDs whereand when theyare needed

Page 61: Download V.11, issue 4, May / June 2016 (36 MB)

KEEPING AN EYE ON THE FUTURE

www.evatecnet.com

ADVANCED PACKAGING • HP OPTICS • MEMS • OPTOELECTRONICS • POWER DEVICES • WIRELESS • THE THIN FILM POWERHOUSE

MORE INFO

Evatec brings you advanced thin fi lm productionsolutions for Advanced Functionality Materials

From new high piezoelectric coeffi cient materials like AlScN to highly

aligned NiFe soft magnetic layers or complex optical interference coatings,

Evatec sputter platforms and processes help you keep an eye on the

future. Advanced Process Control (APC) technologies bring precision and

throughput to the deposition of new Advanced Functionality Materials

in Wireless, MEMS and LED technologies. To fi nd out more about

Evatec processes and platforms visit www.evatecnet.com

RADIANCE SPUTTER CLUSTER MSP 1232 LLS EVO II LOAD-LOCK SPUTTER CLUSTERLINE® 300 II SPUTTER SOLARIS S380 SPUTTER

Page 62: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

62

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC, USAhas filed counterclaims in the USDistrict Court for the Middle Districtof North Carolina against Feit Electric Company Inc of Pico Rivera,CA, USA to stop infringement ofCree’s patented technologies. Thesuit alleges infringement of twopatents related to LED bulbs: ● US Patent No. 8,998,444 ‘Solid State Lighting Devices Includ-ing Light Mixtures’, addressing colormixing in an LED lighting product;and ● US Patent No. 9,052,067 ‘LED Lamp with High Color RenderingIndex’, addressing color mixing anda globe that improves omnidirec-tional light. “Cree was the first to develop

LED bulb technology that achievedboth omnidirectionality of light andhigh-CRI (color rendering index)light,” says John Edmond, Cree’sco-founder & director of advanced

optoelectronics. “Cree’s ground-breaking color mixing technologytook years to develop and perfect.It is unfair for others to improperlyuse our patented technology,” headds. “Cree is committed to continuing

innovation and protecting share-holder value. These court actionsprotect our inventions from mis-use,” says Brad Kohn Cree’s VPlegal & general counsel. “We havemore than 4000 issued patents,and we will continue to vigorouslydefend Cree’s patented technologyto protect our investments in R&D.” In July 2015, Feit filed a complaint

in the US District Court for the Mid-dle District of North Carolina alleg-ing that Cree’s 4Flow line of LEDlight bulbs infringes US Patent Nos.8,408,748 and 9,016,901, seekingan injunction against sales of 4FlowLED light bulbs as well as damagesfor past infringing sales. Previously,in January 2015, Cree filed com-

plaints with the US InternationalTrade Commission (ITC) and theUS District Court for the WesternDistrict of Wisconsin against Feitand its Asian supplier Unity OptoTechnology Co Ltd alleging infringe-ment of 10 patents related to LEDlighting and to address what wasclaimed to be Feit’s false and mis-leading advertising claims that cer-tain of its products meet ENERGYSTAR specifications. Creerequested that the ITC issued anorder to exclude infringing andfalsely advertised articles fromentry into the USA, and a ceaseand desist order requiring therespondents to cease sellinginfringing and falsely advertisedLED bulbs in the USA. In February2015, the ITC agreed to open aninvestigation into unfair trade prac-tices, including Cree's allegations ofinfringement of eight of its USpatents related to LED lighting. www.creebulb.com

Cree files patent infringement counterclaims in US District Court against Feit Electric

Cree has reached confidential settlements in its separate patentinfringement lawsuits with Taiwan-based LED makers Kingbright Incand Harvatek Corp, each involvingagreeing to a royalty-bearing,worldwide license to the Creepatents-in-suit, ending the law-suits between the parties. In September 2014, Cree filed

patent infringement lawsuits in theUnited States District Court for theWestern District of Wisconsin toprevent Harvatek and Kingbrightfrom infringing its patented intellectual property. The patentsprotect Cree’s LED componentportfolio, including the firm’s whitelight LEDs. The US patentsincluded in these cases are: ● 6,600,175 — solid-state whitelight emitter and display using same; ● 7,943,945 — solid-state whitelight emitter and display using same;

● 8,659,034 — solid-state whitelight emitter and display using same; ● 7,910,938 — encapsulant pro-file for light-emitting diodes; ● 8,766,298 — encapsulant pro-file for light-emitting diodes; and ● 8,362,605 — apparatus andmethod for use in mounting elec-tronic elements. “Cree’s focus on innovation has

led to sophisticated, energy-effi-cient lighting products and a sig-nificant, broad-reaching, LED andLED lighting patent portfolio,” saysBrad Kohn, VP legal & generalcounsel for Cree. “Harvatek filedtwo meritless patent infringementcountersuits in California. Bothwere quickly dismissed with preju-dice and with no compensationpaid by Cree,” he adds. “Cree’soriginal patent infringement lawsuit has now been settled,resulting in a license agreement

that properly compensates Creefor the use of our IP.” “Cree is committed to protecting

the investment of our currentlicensees, shareholders and customers by defending our rightsin court when necessary and bylicensing our patents when appro-priate,” says Kohn. “With this settlement and license agreement,Cree has once again obtained valuefor our extensive IP portfolio.” Cree says that, after many years

of intensive R&D, it has developedan extensive LED patent portfolio,with numerous patents still pend-ing. Major LED makers make useof Cree’s patented technology andhave signed license agreements tosecure these rights. www.cree.com/About-Cree/Licensing/Licensing-Programswww.cree.com/About-Cree/Licensing/Licensees

Cree settles patent disputes and reaches license agreements

Page 63: Download V.11, issue 4, May / June 2016 (36 MB)

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

63

Osram Opto Semiconductors GmbHof Regensburg, Germany says thatit has applied the latest findings ofphysiological research into howhuman eyes perceive color in orderto solve an 85-year-old challengearound why the same light fromtwo different LED light sources,which are measured to be exactlythe same, can still look different. With its TEN° binning feature,

Osram Opto says that it has closedthe gap between the measuredcolor coordinates emitted by a single-LED light source and theperception of that color by thehuman eye. The phenomenon ofcolor perception versus reality colormeasurement of different lightsources has been well known to scientists in various forms fordecades. In general lighting, thisoccurs when there are several LED white-light sources in the same space, such as spotlights,wallwashers and downlights. In 2015 the International

Commission of Illumination (CIE 170-2:2015) published a newcolor space based on years ofextensive research. Beside thephysiological meaningful axis of thecolor space, the most importantimprovement is the definition for a10° observer view. Osram hasapplied these findings to the general lighting market. “Color coordinates in general

lighting are typically measured withthe CIE 1931 2° colour space,”explains applications managerAlexander Wilm. “It is assumedthat the blue, green and red conesthat are responsible for color perception in our eyes are evenlydistributed, and that the color perception over viewing angle isconstant. In reality, this is not thecase, and pigment density variessignificantly over the field of view’ssize,” he adds. “Most people have had this peculiar

experience without realising, and ithas a big impact on many markets,”

Wilm continues. “In retail andmuseum applications for example,color inconsistency is not an option,and often unpleasant, as it doesn’tshow a dress or object in the bestpossible and consistent illumination.The world’s greatest modern artistsalso want their work to be viewedas they had originally intended.” Osram says that it has solved the

problem by complementing the CIE 1931 2° xy color space with theCIE 2015 10° u’v’. By assessing the color consistency not only at 2° field of view but also at a signifi-cantly larger 10° field of view, themeasurement and binning providesa more accurate assessment ofcolor discrepancies under realisticobservation conditions. The result-ing TEN binning feature has alreadybeen implemented in the firm’s the new Soleriq S 13 LED type,achieving what is claimed to beunprecedented color consistencyunder LED lighting. www.osram-os.com/tenbinning

Osram Opto’s TEN° binning eliminates disturbancesin colour perception between light sources Research on eye cones solves LED color consistency problem

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC, USAhas unveiled the full range of itsRSW LED street luminaires with thelaunch of three new models thatdeliver comfortable, uniform anddark-sky-friendly LED lighting toroadway applications. The newstreetlights complete the RSW Seriesportfolio (claimed to be the firstgeneration of LED streetlights todeliver LED energy savings andreliability in preferred color temper-atures). The three new models join the ini-

tial launch of the small form factorand replace high-intensity dis-charge fixtures of 70–100W HPS,150W HPS, 250W HPS and 400WHPS. The RSW Series now offers aunified solution for towns, cities,states and utilities that addresses

street lighting needs from drive-ways to interstates. Utilizing Cree’s WaveMax technology

to deliver uniformity, color qualityand efficacy, the RSW Series targetsstreet lighting for residential streets,interchanges and expressways. The new luminaires deliver efficacyof up to 115LPW (lumens per Watt)at color temperatures of 3000K or4000K and a color rendering index(CRI) of 80. The result is enhancedvisual comfort, reduced glare andhigher-quality street lighting forroadways using less energy, claimsCree. The series is also smart-cityready, enabled by a standard NEMA7-pin socket. “The RSW Series is poised to

become our premiere intelligentstreetlight solution,” says David Elien,senior VP of lighting. “Cree’s RSW

Series ensures customers now havethe opportunity to utilize warmercolor temperatures for their streetlighting needs without sacrificing LEDperformance and energy savings.” The RSW Series features a new

housing for easy installation andlong-life durability that also mitigateslight pollution. It is designed tomeet a vast majority of challengingroadway and street-lighting appli-cations and is a suitable platformsolution for municipalities, citiesand departments of transportation. The complete RSW Series was at

the LIGHTFAIR International show inSan Diego, CA, USA (26–28 April).The 70–100W HPS replacement isshipping now, and the rest of thenew RSW Series models will beavailable in late summer. http://lighting.cree.com/rsw-series

Cree unveils complete portfolio of RSW LED streetlights

Page 64: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

64

On 22 April, professor Tjin SweeChuan, chairman of the LUX Photonics Consortium in Singapore,and Carlos Lee, director general ofEPIC (European Photonics IndustryConsortium), signed a collaborationagreement, witnessed by GeorgeLoh, program director at theNational Research Foundation ofSingapore’s Prime Minister’s Office. EPIC and LUX Photonics Consortium

aim to cooperative on activitiesinvolving technological and com-mercial advancement in photonics.The partnership will encouragedirect contact and cooperationbetween the two consortia and itsmembers, as well as the exchangeof information and contacts. It alsoaims to be the platform for membersof one consortium to reach theother’s relevant government repre-sentatives, public funding bodiesand other entities in the region, andto co-host visits by delegates ofeach consortium’s commercialtrade missions and business events. Representing 250 companies

across 28 countries, EPIC is anindustry association that promotes

the sustainable development oforganizations working in photonics.Members encompass the entirevalue chain from lighting, photo-voltaic, photonics integrated circuits(PICs), optical components, lasers,sensors, imaging, displays, projec-tors, optic fiber and all other photonics-related technologies.

EPIC aims to foster a vibrant photonics ecosystem by maintaininga strong network and acting as acatalyst and facilitator for technolog-ical and commercial advancement. LUX is an initiative set up at

national level with support fromSingapore’s National ResearchFoundation, with the vision ofestablishing a photonics academiaand industry network in the AsiaPacific region, bringing academiaand industry together for the com-mon purpose of innovating newproducts or technologies enabledby photonics. With nine competitiveresearch programs supported and13 founding company members,LUX aims to serve as a platform totranslate photonics research intodiverse applications underpinnedby the value chain created in Singapore. Research expertiseincludes fiber technologies, III-Voptoelectronics and biophotonics,optical and laser applications,nanophotonics and metamaterials,lighting and displays. www.epic-assoc.com www.luxphotonicsconsortium-sg.org

At the Display Summit China 2016in Yixing (9–11 May), CompoundPhotonics (a technology firmfounded in 2008 that has primarymanufacturing and design centersin Phoenix, AZ, USA and NewtonAycliffe, UK) has launched what isclaimed to be the smallest native4K imaging device, measuring only14mm diagonally and featuring pixels smaller than 3μm. “We are the company that has

succeeded in introducing the worldto RGB solid-state laser-basedtechnology, making it finally possibleto manufacture a 3000 lumenlamp-less native 4K projector thatrivals the performance delivered by

today’s solid-state displays,”claimed Tim Anderson, VP of product management in his presen-tation at Display Summit. The use of RGB laser technology

eliminates lamps, creates a hugenew color gamut to work with, andenables balancing the color outputof each color channel to achieve aperfect white point, says the firm.Compound Photonics has investedsignificant engineering resources toreduce the cost of RGB lasers andspeckle, states Anderson. “Projector customers around the

world want to be able to project ahuge image in full daylight and stillhave an outstanding image,”

Anderson said. “Our engineershave developed specific algorithmsthat use an expanded color gamutmade possible by RGB laser tech-nology. These algorithms combatambient light and dramaticallyimprove color contrast,” he adds. Compound Photonics offers

capabilities ranging from small,bright, full-HD laser light enginesfor mobile devices; an embedded1080P projector that is less than2cm3 in size; infrared (IR) andgreen lasers; head-up car displays;near-eye augmented reality; andgesture recognition. www.compoundphotonics.com www.displaysummit.com/

Compound Photonics launches smallest native 4K imaging device at Display Summit China

National Research Foundation ofSingapore program director GeorgeLoh together with LUX PhotonicsConsortium chairman professor TjinSwee Chuan and EPIC directorgeneral Carlos Lee.

European Photonics Industry Consortium andSingapore’s LUX Photonics Consortium collaborating

Page 65: Download V.11, issue 4, May / June 2016 (36 MB)

Pick your size.The Temescal UEFC-4900–ultimate lift-o� metallization

performance like the UEFC-5700, but optimized for smaller wafers and smaller production volumes.

It’s the elephant in the room. With our Auratus™ deposition

enhancement methodology and the UEFC-5700, we brought

you huge metallization process improvements including near

perfect uniformity; but UEFC-5700 is a high-volume production

tool. Now we’ve packed this performance into a mid-sized system,

the UEFC-4900, because sometimes the elephant is just too big.

Harmonize your process to the vapor cloud and experience the

huge performance bene�ts, even if you run smaller wafers and

smaller production volumes.

A Temescal system can bring near perfect uniformity to your

lift-o� metallization coating process. To �nd out more, visit

www.temescal.net/auratus-elephant or call +1-925-371-4170.

© 2015 Ferrotec (USA) Corporation. All rights reserved. Temescal is a registered trademark and Auratus is a trademark of Ferrotec (USA) Corporation.

TemescalUEFC–4900

Page 66: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

66

Ireland’s Tyndall National Institute(based at University College Cork)is leading the European consortiumTOP-HIT (Transfer-print OPerationsfor Heterogeneous INtegration) todevelop novel technology that willaddress the challenge of integratingcomponents of different materialsin large volumes at the semicon-ductor scale. Funded with more than €5m

under the European Union’s Horizon 2020 program for SmartSystem Integration and runningfrom 2015 to 2018, the TOPHITproject uses micro-transfer printing(μTP) technology, which allows aset of potentially many thousandsof devices at a time to be takenfrom one semiconductor wafer andstamped onto another wafer. As an example, a small platelet of

an expensive material can be pickedup with a stamp and transfer printedonto a larger surface of another(less expensive) material, on whichall electrical and optical waveguideinterconnections can then be made.Or, light-emitting devices (such asLEDs or lasers) can be printed ontoa material that is more suitable forelectronic signal processing. It iseven possible to print several typesof devices onto the same substratematerial to combine, for example,light sources, detectors and signal

processing all on the same platform.Hence, a system-on-a-chip — aphotonic integrated circuit (PIC)combining devices made of differentmaterials — can be built up. Printedplatelets are typically a few micronsthick, and can be printed with aplacement precision of about 1µm. “The transfer print process, by

combining diverse optical, elec-tronic and other functional mater-ials, opens up an enormous rangeof possibilities for new devices withembedded functionality,” says project coordinator Brian Corbett(principal investigator at Tyndall).“This will lead to more compactchips and systems for a variety ofapplications, such as telecommuni-cations, smart sensing, biomedicalsensing and data storage, but thekey breakthrough will be the appli-cation of micro-transfer-printing toaddress the challenge of integratingnon-compatible components inlarge volumes at the semiconductorwafer level, eliminating the needfor current inelegant integrationprocesses such as wire-bonding,”he adds. The consortium partners provide

complementary expertise: Ireland-based X-Celeprint is a manufac-turer of transfer printing equipmentthat can print many devices simultaneously, and provides the

printing expertise. Belgium-basednanoelectronics research centerImec (which employs over 1500people) has its own X-Celeprintequipment, and provides the siliconphotonics platforms that form thebasis for the photonic products.Belgium-based photonics companyCaliopa Huawei develops opticalcomponents and circuits for tele-coms applications. The Centre forIntegrated Photonics (CIP, a subsidiary of Huawei, in the UK)develops indium phosphide (InP)-based components and circuits fortelecoms applications. Germany-based analog/mixed-signal andMEMS foundry X-FAB will developnew processes to provide waferswith components that can be transfer printed (and will add thiscapability to its existing portfolio ofmodular foundry processes). Seagate is a manufacturer of hard-disk drives and storage solutionswhose facility in Northern Irelandships more than 500 millionread/write heads annually. Ireland’sTyndall National Institute providesdesigns and components that canbe transfer printed by X-Celeprintonto platforms provided by Imecand X-FAB. www.tophit-ssi.eu www.tyndall.ie/content/photonic-integration

Europe’s TOP-HIT transfer printing consortium to boost large-scale heterogeneous integration ofdiverse materials and devices€5m Horizon 2020 program targets photonic integrated circuits

Schematic of the micro-transfer print (µTP) process.

Page 67: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

67

The US National Aeronautics andSpace Administration (NASA) hasawarded $754,000 to Ozark Integ-rated Circuits Inc of Fayetteville, AK,USA (a fabless spin-off from theUniversity of Arkansas that developsanalog and mixed-signal ICs forremote sensing and actuation inextreme-temperatures and -radiationenvironments) to fabricate a silicon carbide (SiC)-based ultraviolet imager prototype forplanetary exploration and Earthobservation from space, amongother applications. Ozark IC (which designs semicon-

ductors at the Arkansas Researchand Technology Park) will develop acomplex photo-detecting microchipthat can operate in temperaturesranging from –200°C to +500°C. “The uniqueness of our approach

is the extreme responsiveness ofour imager’s sensor and our abilityto integrate it with the readoutelectronics to turn the detectorreadings into images for a computeror spacecraft system,” says OzarkIC’s president & CEO Matt Francis.“NASA would be able to use theimager to observe areas on Earthfrom space as well as other objectsin space.” The use of SiC means that the

imager can operate at low voltageover a very wide temperature range,making it suitable for planetaryexploration that requires space-borneinstruments capable of measuringlight in the ultraviolet spectrum.Two examples are NASA’s Discoveryand New Horizons missions (whichintend to image planets from orbit oron the surface) and those proposedfor Venus (where the imager wouldneed to operate at nearly 500°C). Francis and chief technology officer

Jim Holmes have worked with University of Arkansas electricalengineering professor Alan Mantoothand computer science & engineeringprofessor Jia Di over the last severalyears to perfect design procedures,tools, characterization and model-ing approaches that enable them todesign high-temperature electronics

capable of operating at conditionswell beyond 300°C. Ozark will also use the integrated

circuit packaging expertise andfacilities of the University of Arkansas’High Density Electronics ResearchCenter (HiDEC) at the research park. The NASA Phase II contract came

via the Small Business Innovation

Research (SBIR) program, whichallows federal agencies to stimulatetechnological innovation in the privatesector by strengthening small busi-nesses that meet federal R&D needs.The program also aims to increasethe commercial application of fed-erally supported research results. www.ozarkic.com

Ozark wins NASA grant for SiC-based UV imager on a chip

Page 68: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

68

POET Technologies Inc of San Jose,CA, USA — which has developed theproprietary planar optoelectronictechnology (POET) platform formonolithic fabrication of integratedIII-V-based electronic and opticaldevices on a single semiconductorwafer — has agreed to acquire BB Photonics Inc, a privately helddesigner of integrated photonicsolutions for the data communica-tions market. On completion of thetransaction (which is subject toapplicable regulatory reviews andapprovals, including approval of theTSX Venture Exchange), POET willown 100% of BB and its assets,including intellectual property andtechnologies, with no liabilitiesassumed on closing. BB Photonics (a pre-revenue,

New Jersey-based photonics firm)develops photonic integrated components for the data-centermarket utilizing a platform technologyapproach using embedded dielectrictechnology that is intended to enableon-chip athermal wavelength controland lower the total cost of data-center photonic integrated circuits. POET says the strategic acquisition

of BB Photonics will provide it withadditional differentiated intellectualproperty and know-how for futureproduct development at its facilities

in Singapore (acquired this monthwith the purchase of DenseLightSemiconductors Pte Ltd, a privatelyheld designer and manufacturer ofphotonic sensing and optical lightsource products for the communi-cations, medical, instrumentations,industrial, defense and securityindustries). POET reckons that, col-lectively, this will enable it to betterservice the end-to-end data com-munications market and addition-ally augment its sensing roadmap. “The acquisition of BB Photonics

helps bolster our intellectual propertyand know-how in integrated photonicsolutions and enables broad appli-cations through its unique perform-ance and cost capabilities. Thesefactors will allow us to expand,accelerate and complement ourcurrent roadmap,” says POET’s CEODr Suresh Venkatesan. “This isanother synergistic and timelyacquisition for us as we focus onproviding our existing and futurecustomers a broader range of dif-ferentiated photonics technologies.” Acquisition by POET will help to

enable athermal multi-wavelengthphotonic integration in high-speedindium phosphide devices, says BBPhotonics’ CEO Bill Ring. “We lookforward to working closely withPOET and DenseLight to bring our

differentiated IP to market,” he adds. POET will acquire all shares of BB

Photonics in exchange for issuingabout 2 million common sharesfrom POET’s treasury in the stock-only transaction (subject to adjust-ment based on the increase ordecrease in the US-CDN dollarexchange rate before closing, whichshould occur no later than 30 daysfollowing the agreement). Issuanceof the POET shares is subject tocompliance with all US and CanadaFederal and State or Provincialsecurities laws and regulations, andthe rules of the TSX VentureExchange. The shares will berestricted and subject to re-salerestrictions, as established by theTSX Venture Exchange and USsecurities laws. The managementshareholders of BB Photonics haveagreed not to sell, transfer, pledgeor otherwise dispose of the POETshares for a period of six months,when they may each sell up to 25%of their shares. They may sell anadditional 25% after 12 months,and the remainder after 24 months.BB Photonics’ non-managementshareholders may sell POET sharesat various times and in variousamounts at 4-, 6- and 12-monthperiods following issuance.www.bbphotonics.com

POET acquiring BB Photonics Broadened IP portfolio in III-V materials provides source of sustained differentiation in integrated photonics

POET says that Peter Copetti hasstepped down from the board andhis post as executive co-chairmanto pursue other opportunities. Copetti joined POET at the genesis

of today’s refocused enterprise,and has served as both executiveco-chairman and interim CEO. He has worked to establish POETas a presence in the integratedoptoelectronics industry and hasbeen instrumental in the financialrestructuring of the firm. “His contributions and dedication

to the restructuring of the companyhas led us to our current state witha strong team, healthy balancesheet, and well defined strategicroadmap,” comments executiveco-chairman Ajit Manocha. “His leadership, through ourrecently announced acquisition [of DenseLight Semiconductors],has been commendable,” he adds. “Peter’s mix of passion and

business intelligence has helpedestablish a solid foundation for thiscompany, as we now focus on our

‘lab to fab to monetization’ path,”says CEO Dr Suresh Venkatesan.“His leadership over the past fouryears has had a tremendousimpact on this company in termsof its vision, mission and financialturnaround,” he adds. “Ajit, Suresh and their team will

lead this company to new heightsand I look forward to continuedsuccesses from this team as theyfocus on product development andachieving revenue,” says Copetti. www.poet-technologies.com

POET’s executive co-chairman Copetti moves on

Page 69: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

69

POET Technologies Inc of San Jose,CA, USA — which has developed theproprietary planar optoelectronictechnology (POET) platform formonolithic fabrication of integratedIII-V-based electronic and opticaldevices on a single semiconductorwafer — has acquired Singapore-based DenseLight SemiconductorsPte Ltd, a privately held designer andmanufacturer of photonic sensingand optical light source products andsolutions for the communications,medical, instrumentations, industrial,defense and security industries. After approval by the TSX Venture

Exchange, POET now owns 100% ofDenseLight and its global photonicsbusiness and assets, includingfabrication facility, intellectual prop-erty and technologies. DenseLight Semiconductor

processes indium phosphide andgallium arsenide III-V based opto-electronic devices and photonicintegrated circuits (PICs) throughits in-house wafer fabrication andassembly & test facilities. The firm isrecognized for its high-performanceinfrared super-luminescent lightsources and lasers, with a proventrack record in deployed applications. POET says the revenue-accretive

acquisition will provide it with a fabinfrastructure for future productdevelopment, access to productsales and channel distribution networks, and a broader productportfolio of photonic products. Collectively, this will enable POET tobetter service the end-to-end datacoms market, it is reckoned.Additionally, POET expects to gainintellectual property and know-howthat will enhance its presence in thefast-growing telecom access networkmarkets for passive optical networks(PON) and in other markets complementary to datacoms.POET aims to expand the operation

of DenseLight’s existing manufac-turing facilities in Singapore, whichgenerated revenue of US$2.6m in

2015 and a consistent gross profit ofover 40% in each of the three pre-vious years. POET anticipates thatDenseLight as a division will achievepositive net income by fiscal 2017. Ancillary benefits of the strategic

acquisition include DenseLight’stest and reliability capabilities, whichwill accelerate new product intro-duction (NPI). Also, DenseLight’slocation should increase supply-chainefficiencies, as existing suppliers arelocated within the same geographiczone, facilitating ease of communi-cation, interaction and real-timetesting on future and current productdevelopment. POET will also bene-fiting from an investment of morethan S$50m made to date byDenseLight shareholders to enhancethe firm’s core competencies. WhileDenseLight is a strategic acquisition,POET is also engaged in advancedstages of other avenues that itexpects will accelerate the path torevenue over the next 12 monthsand further its monetization strategy. “The acquisition of DenseLight

Semiconductor reflects a new chap-ter in our history, and serves as asignificant step in realizing thepromise of our product roadmapand strategic plan we established inSeptember 2015,” says POET’s CEO Dr Suresh Venkatesan. “This synergisticcombinationcan provide ourcustomers abroader rangeof differentiatedphotonics technologies.The resultingenhancement ofour design, testand packagingcapabilities alsopromises tooptimize time-to-revenue forour customers.POET now has a

larger product portfolio to enhanceour mission of enabling integratedphotonic products for our expandedend-markets,” he adds. “DenseLight is tailor-made to

solidify POET’s goal of attaining aleadership position in III-V integ-rated optoelectronics technologydevelopment and manufacturing,”comments DenseLight’s chairman& CEO Jerry Rodrigues. “We atDenseLight are delighted to be anintegral part of the POET family andto play a strategic role in the com-pany’s growth plans in the rapidlydeveloping III-V integrated opto-electronics market and particularlythe exciting application demandsfor the Internet of Things (IOT).” POET executed the acquisition via

a combination of stock and cash. A significant portion of the cash wasused to repay DenseLight’s out-standing debt and other liabilities.POET funded the purchase price ofthe acquisition with cash on hand. In accordance with the terms of

the share purchase agreement of28 April, DenseLight shareholderswill be issued about 16 million common shares of POET. Also,about 1.3 million POET shares willbe issued (subject to monetaryexchange rate adjustment at thetime of issuance) to DenseLightshareholders if DenseLight meetsor exceeds a pre-determined revenue target during 2016. “Our combined capabilities will

drive continued opportunities inserving the growing photonics mar-ket, with a comprehensive suite ofproduct solutions, while strength-ening the company’s finances andoperations,” believes Venkatesan.“With the strong support of theDenseLight management team, wehave already begun to map ourintegration plans for the combinedentity with a goal of achieving prof-itable and sustainable growth targets.” www.denselight.com www.poet-technologies.com

POET acquires Singapore device maker DenseLight POET gains fab, sales and channel distribution networks, and broader III-V product portfolio for end-to-end datacom market

POET expectsto gain IP andknow-how thatwill enhanceits presence inthe fast-growingtelecom accessnetworkmarkets forPON and inother marketscomplementaryto datacoms

Page 70: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

70

For first-quarter 2016, NeoPhotonicsCorp of San Jose, CA, USA (a vertically integrated designer andmanufacturer of hybrid photonicintegrated optoelectronic modulesand subsystems for high-speedcommunications networks) hasreported record revenue of $99.1m,up 11.2% on $89.1m last quarterand up 21.8% on $81.4m a year ago(and above expected $92–98m),driven by strength in demand acrosskey 100G products (components,modules and switches). Revenue for Network Products and

Solutions (lower-speed transceivers,<100Gb/s) has declined further,from $37.4m last quarter to $35m(35% of total revenue). Meanwhile,growth in revenue for High SpeedProducts (100G-and-beyond)accelerated, rising 24% to a record$64.1m (65% of revenue, which isbelieved to be the highest 100Gpercentage in the industry). There were two 10%-or-greater

customers: US-based Ciena com-prised 16% of total revenue, andChina’s Huawei Technologies 54%.Of total revenue, 20% came fromthe Americas, 62% from China, 5% from Japan, and 13% from therest of the world. “The rapid increase in demand for

100G products from China andworldwide is a very positive contrastto our normal seasonal pattern inthe first quarter as we sold out ourcapacity for certain key products,”says chairman, president & CEOTim Jenks. On a non-GAAP basis, gross margin

has hence risen further — in contrastto normal seasonality — from 31.3%a year ago and 32.4% last quarterto 32.8% (close to the high-end ofthe 30–33% guidance range),driven by the favorable product mixand improved fab utilization, as wellas being able to maintain favorablepricing for certain products due toindustry supply constraints. Driven by continuing investments

in 100G components, modules andswitches, operating expenses have

risen from $20.2m a year ago and$22.6m last quarter to $23m (23%of revenue). However, as a propor-tion of revenue, OpEx is just 23%,reduced from 25.4% last quarter(and 24.8% a year ago), i.e. withinthe 25% target. Net income has risen further, from

$4.2m ($0.13 per diluted share) ayear ago and $6.9m ($0.16 perdiluted share) last quarter to $7m($0.15 per diluted share). This rep-resents the firm’s seventh consecu-tive non-GAAP profitable quarter.Likewise, adjusted EBITDA hasrisen further, from $9.9m a yearago and $11.8m last quarter to arecord $12.3m. During the quarter, cash and cash

equivalents, short-term investmentsand restricted cash rose from $102mto $103.8m. Total debt at the endof March was $44.8m. “Capacity for our key 100G

products was booked out, and inthe first quarter we shipped at fullcapacity for certain products,resulting in record revenue,” sayschief financial officer Ray Wallin.“Our capacityremainsbooked outfor the sec-ond quarteras well, andwe seedemand con-tinuing togrow fromthe conver-gence ofstrength inChina, andfrom data-center andmetrodeployments.Therefore,we are aug-menting andacceleratingthe capacityexpansionplans we dis-cussed last

quarter, adding module, componentand chip-level capacities. Weexpect to double our capacity forswitches and increase coherentreceiver, ultra-narrow-linewidthtunable laser and 100G modulecapacities by 50% or more overpreviously planned levels. And, ofnecessity, these capacity increaseswill occur incrementally over thenext quarters,” he adds. For second-quarter 2016,

NeoPhotonics expects revenue of$97–102m. “We do expect our second-quarter manufacturingcapacity to be up incrementally ascompared to our first quarter, whiledemand continues to grow. There-fore, as our new capacity addscome on line, we expect to seeincreasing benefits from the thirdquarter on, and we expect that inQ2 gross margins will be impactedby the realization of ASP [averageselling price] changes together withour ramping up manufacturinginventories to enable our highervolumes overall,” says Wallin. Gross margin should be 29–31%,and diluted earnings per shareshould be $0.08–0.15. “With thesehigher purchase volumes, we antic-ipate that we will see continuedcost reductions that will be favor-able to forward margins.” “Our quarterly non-GAAP operat-

ing expense run rate continues toreflect the controls we establishedto be consistent with our targetmodel, reflecting leverage in ouroperating model,” says Wallin. “In the last seven quarters we haveoperated at or below our targetmodel of 25% for operatingexpenses, which we continuethrough 2016,” he adds. NeoPhotonics’ target model for

2016 comprises 35% gross margin,OpEx of 25% of revenue, 10%operating margin, and 15%EBITDA margin. However: “We seethe overall environment for 100Gproducts globally as very robustand, given the acceleration in ourorganic demand, we are bringing

NeoPhotonics’ revenue rises 11% in Q1 to record $99.1m Full-year revenue growth forecast raised from 15% to 20–25%

Capacity remainsbooked out forthe secondquarter as well,and we seedemandcontinuing togrow from theconvergence ofstrength in China,and from data-center and metrodeployments.Therefore, weare augmentingand acceleratingexpansion plans,adding module,component andchip-levelcapacities

Page 71: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

71

additional capacity on line over thenext quarters [raising the CapExforecast for full-year 2016 to 7–9%of revenue],” says Jenks. “As aresult, we anticipate revenue growthto be in the range of 20–25% forthe year [up from the previousforecast of 15%],” he adds. “We believe that the industry has

entered an expansion cycle where100G deployments are expandingglobally for both telecom and data-center applications and that thesetwo segments are in sync,” saysJenks. “Within the China market,we expect the strength we’ve seenin 100G deployments to continueas we anticipate awards for approx-imately 30,000 100G ports for thesecond half of the year and into2017. Outside of China, we con-tinue to see an on-going worldwideramp of 100G deployments, partic-ularly in North America and Europe,driven by Verizon and other majorcarriers, as well as strong growth inthe datacenter interconnect market.Within the various end-markets,long-haul remains strong whilemetro 100G build-outs are beginningand are expected to ramp materi-ally in the second half of 2016,

through 2017 and beyond,” headds. “We have previously articulated

several drivers affecting our busi-ness. Cloud services are driving ashift in communications architec-tures to enable high bandwidth,connection density and rapid recon-figuration. Further, 100G coherenttransmission is the technology ofchoice for long-haul, metro and DCIconnections, and the use of con-tentionless switching architecturesin coherent networks is expanding,and is critical for software-definednetworks for content providers’datacenters,” Jenks continues.“Finally, data lanes in datacentersare rapidly moving to 25G from100G and increasingly using single-mode instead of multi-mode fiber.These trends are favorable toNeoPhotonics. As a result, demandfor our coherent product suite, ourmulti-cast switch products, ourclient and datacenter 100G trans-ceivers, our EML lasers and ourhigh-speed IC components isexpected to continue to growthroughout 2016 and beyond.” “Continuing our leadership in

100G-and-beyond solutions, we

introduced a number of excitingnew products and technologies atOFC [Optical Fiber Communicationconference] in March and at therecent FOE [Fiber Optics Expo]trade show in Japan,” notes Jenks.“On the line side, these includedour multi-rate CFP2-ACO coherentmodule as well as our new high-bandwidth coherent receiver, which enables 400G transmissionusing a single DWDM wavelength,”he adds. “For applications insidethe datacenter, we announceddevelopment of a 400G transceiverbased on eight of our 28Gbaud EMLlasers using PAM4 transmission,and followed up with 56Gbaud EMLlasers that can reach 400G with onlyfour lasers, again utilizing PAM4,”continues Jenks. “Our leading product performance in ultra-narrow linewidth tunable lasers,high-speed and high-sensitivitycoherent receivers, and high-data-rate EML lasers has allowed us to rapidly move to new 400Gtelecom and datacenter applicationsand to provide our customers withfuture-proof solutions for today andtomorrow.” www.neophotonics.com

Sanmina Corp of San Jose, CA, USA,a provider of integrated end-to-endmanufacturing solutions makingoptical, electronic and mechanicalproducts, has been recognized forits outstanding performance withthe ‘Excellence in Value Innovation’award by optical and photonicproduct maker Lumentum Opera-tions LLC of Milpitas, CA, USA. The award acknowledges Sanmina’s

performance in areas includingexcellence in operations, engi-neering, cost optimization and NPI(new product introduction) services.Lumentum and Sanmina have beenworking together since 2009 todesign and produce active and passive optical components, pumplasers, optical transceivers and

optical circuit packs. “Sanmina is more than a top sup-

plier; they are a long-term partnerwe consistently rely on to meetdynamic market conditions,” com-ments Joelle Prather, VP, supplychain at Lumentum. “Sanmina’sdesign expertise in optical technol-ogy, their gateway business model,and global manufacturing prowessare important factors in our part-nership,” he adds. “We are proud to be recognized for

our ongoing commitment to excel-lence in design and manufacturingoperations,” says Mike Landy, Sanmina’s executive VP & chiefoperating officer, Asia, EMEA andglobal services. “Lumentum isdeveloping some of the most

advanced optical networking prod-ucts available today, and we areproud of the partnership that bringsthese innovative products to market.” Sanmina’s Optical, RF and Micro-

electronics division designs andmanufactures products for thecommunications, medical, aero-space and industrial markets. The firm’s design expertise includesthe highest-speed optical trans-ceivers and modulators, along withoptoelectronics and complex sys-tems. Sanmina also designs andproduces RF technology for satellitesystems, radar and industrial IoT(Internet of Things) products. www.lumentum.com http://sanmina.com/solutions/optical-rf-microwave

Lumentum recognizes Sanmina with ‘Excellence InValue Innovation’ award

Page 72: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

72

For its fiscal third-quarter 2016 (to26 March), Oclaro Inc of San Jose,CA, USA (which provides compo-nents, modules and subsystems for optical communications) hasreported revenue of $101.1m, up 7.4% on $94.1m last quarter (a third consecutive quarter ofapproximately 7% sequential growth,despite the annual March-quarterprice reductions) and up 22% on$83m a year ago. Growth was again fueled by 100G

product revenue of $58.6m (58%of total sales), up 18% on $49.5m(53% of total sales) last quarter andup 94% (nearly doubling) on $30.2m(36% of total sales) a year ago. This more than offset the expected

decline for 40G-and-below products,to $42.5m (42% of total sales),down 5% on $44.6m (47% of totalsales) last quarter and down 19.5%on $52.8m (64% of total sales) ayear ago. Of this, 40G specificallycontributed just $11.5m (11.4% oftotal sales), down as much as 39.5%on $19m (23% of total sales) a yearago, while 10G contributed $31m(30.7% of total sales), down only8.3% on $33.8m (41% of totalsales) a year ago. “We are seeingunanticipated strong demand for10G transceivers and tunable prod-ucts,” notes CEO Greg Dougherty. Both the client-side and line-side

businesses grew. Line-side (telecom)revenue was $47.7m (47% of totalsales), up 11% on $43.1m lastquarter. “We saw very good growthin our lithium niobate modulatorsand our tunable narrow-linewidthlasers used in 100G coherent sys-tems,” notes Dougherty. “We alsosaw our first production revenuesfrom the CFP2-ACO.” Client-side(datacom) revenue was $53.4m(53% of total sales), up 5% on $51mlast quarter and up 40% on $40.4m(49% of total sales) a year ago.“We continue to see very strongdemand worldwide for our 100Gpluggable CFP product family,” says

Dougherty. By region (compared with last

quarter), China rose from 37% to43% of total revenue, while theAmericas fell from 35% to 28% andEurope fell slightly from 16% to15%, as Southeast Asia rose from10% to 11%, and Japan rose from1% to 2%. There were four cus-tomers with greater than 10% ofsales (20%, 14%, 12% and 11%,respectively). “These results are a testament to

our improved execution,” saysDougherty. “In spite of the seasonalprice reductions, we deliveredstrong gross margin and operatingincome.” On a non-GAAP basis, gross margin

was 27.2%, down only slightly from28.8% last quarter (as expected,absorbing annual price reductions)but up significantly from 15.8% ayear ago, driven by a stronger mixof 100G sales, improved factoryefficiencies, and leveraging ofmanufacturing overhead. Operating expenses rose from

$21.8m last quarter to $22.9m,due mainly to seasonal beginning-of-the-year payroll taxes in the USAand the UK (remaining 23% ofsales, although this should fall toabout 21% in future as the firm

grows further). Operating income was $4.6m,

down slightly from $5.3m lastquarter but much improved from aloss of $9.5m a year ago. Likewise,net income was $2.9m ($0.03 perdiluted share), down slightly from$3.1m ($0.03 per diluted share)last quarter but a big improvementon a loss of $9.6m ($0.09 perdiluted share) a year ago. AdjustedEBITDA was $8.5m, down slightlyfrom $9m last quarter but animprovement on –$5.3m a year ago. Capital expenditure (CapEx) was

$8.6m (making $24.3m year-to-date,and on target for $30–40m full-year).During the quarter, cash, cashequivalents and restricted cash hencefell from $115.7m to $106.8m. “Our success in 100G and beyond,

together with the incredibly strongdemand from China, is currentlyfueling our growth,” says Dougherty.“China continues to be very strongfor us and we are well positionedwith all four of the major networkequipment manufacturers in theregion. We have a robust demandfor all of our 100G products, as wellas unanticipated demand for 10Gparts,” he adds. “As an industryanalyst research firm recentlyreported, the outlook is for contin-

Oclaro reports 100G revenue growth for fourthconsecutive quarter, up 94% year-on-year CapEx to ease capacity constraints for 100G and tunable 10G demand

$110m

$90m

$70m

$50m

$30m

$10m

–$10m

Page 73: Download V.11, issue 4, May / June 2016 (36 MB)

Emcore Corp of Alhambra, CA, USA— which designs and manufacturesindium phosphide (InP)-based opti-cal chips, components, subsystemsand systems for the broadband andspecialty fiber-optics markets —has been awarded a $4.7m purchase order to supply RFoGoptical networking units (ONU) to amajor US supplier of network infra-structure solutions for the cable TVmarket. The products are expectedto be shipped over the next year. RFoG (Radio Frequency over Glass)

technology extends hybrid fiber coax(HFC) networks deeper into neigh-borhoods to handle increasing userbandwidth demand. Emcore’s RFoG

ONU supports 1610nm burst-modeanalog return-path conversion fortriple-play voice, video and datasignals in single-family and multi-dwelling unit applications. It residesat the customer’s location and provides the interface between theoptical network and the subscribercoaxial network. Emcore’s RFoG ONU is designed to

support standard CATV downstreamand upstream transmission bands.Downstream it receives a 1550nmforward-path optical signal carryingan RF CATV spectrum up to 1.0GHz,making it compatible with the cableindustry’s DOCSIS 3.0 standard.For return path it supports digital or

QAM upstream transmission utilizinga burst-mode DFB transmitter thatoperates at 1610nm and supports a5–42MHz spectrum. The RFoG ONUis compliant with the SCTE (Societyof Cable Telecommunications Engi-neers) RF-over-Glass specification. “Our CATV broadband transport

and access products are ideal fornetwork systems providers thatdemand the highest-quality, economical delivery of high- andultra-high-definition video andaudio, along with the highest-bandwidth data transmission for theircustomers,” says president & CEOJeffrey Rittichier. www.emcore.com

Emcore wins largest purchase order of $4.7m to supply RFoGoptical networking units for major cable network deployments

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

73

ued strong growth in China not justfor the balance of this calendaryear, but well into 2017. We believethat demand for 100G is for awidely publicized backbone inmetro networks, while the 10Gproducts are from metro networksand access aggregation,” continuesDougherty. “This strength in 10G is important

as we now have a final plan for theend-of-life of or our 40G line-sidebusiness,” says Dougherty. As partof the firm’s exit, it expects that the40G business will drop by $3–4m inboth fiscal Q4 and fiscal Q1/2017.But, given the strength of 10Gbusiness, Oclaro expects total 40G-and-below business to drop byonly 5% in fiscal Q4 and then toremain fairly flat in the mid-$30mfor the rest of calendar 2016. “Our 100G growth is not just

coming from China, but also beingdriven by more 100G beingdeployed in packet optical networks,the move to single-mode 100G inthe data center, and the emergingmetro market,” notes Dougherty.“We continue to lead the CFP2-ACO

market based on many ongoingfield trials and design wins. Ourcustomers are telling us that ourproduct performance is much betterthan the competition, particularly

for 200G applications which areemerging as the preferred solutionsfor the datacenter interconnectmarket,” Dougherty says. “Duringthe quarter, we launched productionfrom Asia [from Malaysian contractmanufacturer Venture] of ourCFP2-ACO product… the productionramp in Asia for the ACO is goingmuch better than our initial plan,”he notes. “We expect the ramp ofthe ACO, and increase in capacityfor both our client- and line-side100G portfolios, will drive furtherimprovement in our financialresults for the June quarter and therest of this calendar year.” Oclaro has also substantially

increased its production capabilityfor lithium niobate modulators andnarrow line-width lasers for coherenttransmission. “We have gained andare continuing to gain market sharefor both of these products,” believesDougherty.Oclaro is also continuing to increase

its 100G client-side manufacturingcapacity for CFP, CFP2 and CFP4products. “We expect the extracapacity to begin having a positiveimpact this quarter,” he adds. On top of the high market demand

for the CFP family, Oclaro is experi-encing much stronger and earlier-than-expected demand for QSFP28

transceivers both for CWDM and LR4.“We have several design wins attraditional packet optical networkequipment manufacturers, as wellas routing and switching compa-nies,” notes Dougherty. “We havealso had initial successes with theQSFP28 by working directly withsome of the Web 2.0 hyperscalecustomers.” Oclaro is currently pro-duction constrained on the QSFP28and recently allocated a significantamount of capital spending to growcapacity. “We will continue to rampproduction of the QSFP28 familythrough the balance of this calen-dar year,” he notes. “Once again, our growth in Q4 will

not be gated by demand, but willbe limited by what we are able toship. We continue to run very tighton capacity for most of our 100Gproducts, as well as for our tunable10G offerings [for which the strongdemand is expected to continueover the next few quarters],” cautions Dougherty.For fiscal fourth-quarter 2016

(a 14-week quarter, ending 2 July),Oclaro expects revenue to rise to$115–123m. The firm also expectsgross margin of 27–30%, operatingincome of $7–11m (doubling on theprior quarter) and EPS of $0.04–0.07. www.oclaro.com

Page 74: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

74

For its fiscal second-quarter 2016(to 31 March), Emcore Corp ofAlhambra, CA, USA — which provides indium phosphide (InP)-based optical chips, components,subsystems and systems for thebroadband and specialty fiber-opticsmarkets — has reported revenue of$21.5m (towards the low end of the$21-24m guidance), down 4.3% on$22.5m last quarter but up 12.6%on $19.1m a year ago in a typicallyseasonally weak quarter. “Similar tolast quarter, the results reflectdemand in our CATV and compo-nents product lines [with cable TVcomprising 60–65% of revenue],despite seeing continuing pressureon our chips product line,” sayschief financial officer Mark Weinswig. Revenue for chip-level device

products has been relatively flat forthe last two quarters, at $4m (19%of total revenue, mostly from GPONapplications), as an unseasonalincrease in shipments from Q1 toQ2 was counteracted by pricingpressure. Nevertheless, revenuehas grown significantly over theprior two years (with first-half fiscal2016 chip revenue already exceed-ing the total for full-year fiscal 2015). The rapid rise in GPON volumes

(starting at the end of February)has not only helped to acceleratetop-line growth but also significantlyimproved manufacturing utilizationand allowed Emcore to greatlyreduce the fixed-cost burden allo-cated to remaining businesses,including its core CATV business.On a non-GAAP basis, gross mar-

gin was 32.6%, on the low side ofthe mid-30s target range but downonly slightly from 32.9% last quarterdespite the $1m drop in revenue. Total operating expenses were

$7.4m (flat on last quarter but downfrom $8m a year ago), comprising$2.6m in R&D expenses and $4.8min selling, general & administrative(SG&A) expenses (which included$0.3m from legal activities).

Net income is up from $3m ($0.10 per share) a year ago and$1m ($0.04 per share) last quarterto $4m ($0.15 per share). However,this includes $3.8m ($0.16 pershare) of income from discontinuedoperations related mainly to theSumitomo Electric Industries (SEI)arbitration ruling in April. Incomefrom continuing operations was$0.6m ($0.02 per share), downfrom $1.3m ($0.05 per share) last quarter but up from $0.25m($0.01 per share) a year ago. Capital expenditure (CapEx) was

about $1m (making $2.2m in first-half fiscal 2016 — CapEx isexpected to be hit $5m for full-yearfiscal 2016, exceeding the target of5% of revenue). During the quarter, cash and cash

equivalents fell from $115.5m to$110m, due mainly to an increasein accounts receivable and inventory(but excluding the receipt of cashfrom the Sumitomo arbitrationfinding announced in April). Regarding Emcore’s cable TV busi-

ness, the firm has seen an overallbackdrop of strength in infrastructurespending along with turbulenceover the past two quarters relatingto inventory build up in the channeland some consolidation in the mar-ket. “We see this turbulent periodending, as we’ve seen significantstrength in new orders as we finishQ2 and in our first month of Q3,”notes president & CEO Jeffrey Rittichier. “Going forward, weexpect to see a return to growth incable television. The strength ofour most recent orders clearlydemonstrates that MSOs are makingtheir planned shift to DOCSIS 3.1deep fiber deployment. These newarchitectures allow them to competemore aggressively with telco andsatellite products, offering a fasternetwork that can deliver 4K andover-the-top services much moreefficiently. Given our leadershipposition in the market and the sig-

nificant investments that we’vemade in cable TV chip technologyover the past few years, Emcore isenabling the shift to DOCSIS 3.1,”he adds. “Importantly, the successful con-

version of our linear EML [LEML] isa new opportunity for our cable TVbusiness over the next few years,as LEMLs have superior cost:per-formance ratios to the traditionalexternally modulated transmittertechnology that exists in the markettoday,” says Rittichier. “Over thenext year, as we roll out new prod-ucts based on the LEML and itsderivatives, we expect that thoseproducts will set the standard forboth DOCSIS 3.1 and RF-over-glassdeployments in the future.” “Despite consolidation at both the

MSO and OEM levels, and a strongcompetitive market overall, Emcore’stechnical position and strong marketshare has allowed us to keep pacewith and even exceed overall marketgrowth by entering new segmentswhere we have not competed in thepast,” continues Rittichier. “Givenour position in the market, ourstrong relationship with customers,broad suite of product technologyand leadership, we have good rea-son to be excited about the outlookfor cable TV going forward. CapExspending and network upgradedeployments are healthy and, givenour market share leadership andrelationships with customers, wehave an excellent technical positionand a good handle on the dynamicsin the market. Consequently, wefeel confident in our ability to con-tinue to provide differentiated prod-ucts over time. Coupled with ourmanufacturing and operating costreduction initiatives, cable televisionremains a very attractive stablefoundation upon which to grow intoother areas,” he adds. With trends in cable TV continuing

to improve, for fiscal third-quarter2016 (ending 30 June) Emcore

Emcore grows margin and income year-on-yeardespite seasonal drop in quarterly revenue Rising CATV orders driven by shift to DOCSIS 3.1

Page 75: Download V.11, issue 4, May / June 2016 (36 MB)

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

75

expects revenue to rise to $22–24m. Rittichier notes that Emcore is

implementing its hybrid EMS (electronics manufacturing services)model to reduce cost and convertfixed cost to variable cost. So, com-modity processes will no longer bedone at Emcore, even in China.Emcore is removing satcom assem-bly & test from its US facilities toThailand this quarter, and severalcommodity processes have alreadybeen moved from its Chinese facil-ity to its two primary EMS partners(e.g. TO-can packaging, box buildsand turnkey assembly, which canhence be done more efficiently).“We’ve already started to insertrobotics into our Alhambra chip faboperation, and just finishedinstalling new automated processes(which reduced labor by 80%) in ourtransmitter tune and test processesin China. This produces much betterreturn on assets, improves operatingleverage and ultimately reducescost,” says Rittichier. “While we are implementing new

strategies that should improve ouroperating model in future periods,those activities will lead to someadditional cost in the next couple ofquarters and take some time torealize,” notes Weinswig. Emcorehence expects gross margins to bein the low-to-mid 30s for fiscalQ3/2016. “Within our chip business, we

have a number of process andtechnology initiatives in the fab,which will help drive down cost,such as migration to 3” wafers, out-sourcing of commodity epi growth,and automated techniques for coding, simulation, test and sort,”elaborates Rittichier. “These stepswill enable us to compete moreaggressively in the market over thelong-term. Automation is especiallyimportant to this initiative, as chipfab operations have been labor-intensive. New equipment is beinginstalled to modernize our fab andimprove its productivity,” he contin-ues. “We have determined thatsupplying bars to third-party dicing,packaging and sorting vendors pro-vides the right mix of value-added

services on Emcore’s part versuswhat could be done more efficientlyelsewhere. As these products beginabsorption in the market within thenext year, we expect that they willdrive higher gross margins in ourchip products, while marginallyreducing ASPs [average sellingprices] since the product will nothave undergone as many manufac-turing steps, and thus will be soldat a lower price from Emcore.” “As we implement these initiatives

and others across their business,you should expect to see someinventory build up over the nextcouple of quarters,” says Rittichier.“Additionally, we’ve been incurringsome double costs on the personnelside during the transition of certainmanufacturing processes, whichwill improve as we exit the calendaryear,” he adds. “We’re now two quarters into this

transformation of our manufac-turing operation and are beginningto realize improvements in operat-ing leverage, cycle times, yieldsand product costs,” says Rittichier.“Even last year’s revenue dip camewith slightly better margins. Onceour manufacturing initiatives arecomplete, we expect to see furtherupside in the model over time.”Emcore’s current model is forbreakeven on a non-GAAP basis atrevenue of about $20m per quarter. “While the competitive pressure

within GPON has increased in thelast two quarters, the chip businessremains important to us, because itspreads our fixed manufacturingcost over larger numbers of deviceswhile laying the foundation fornext-generation applications,” saysRittichier. “One can think of ourGPON business as really just ourinitial offering in the merchant chipmarket, as Emcore intends tobecome a broad supplier of chip-levelproducts to the entire telecomindustry. As the market shifts from2.5G GPON to the 10G standard,Emcore will be in a far more favorableposition given our long history asone of the industry’s premier opti-cal semiconductor manufacturers.” “Although GPON is an important

opportunity, we’ve also been workingto ship our chip product,” continuesRittichier. “As we exit fiscal year2016, we expect a third of our chiprevenue to come from non-GPONchips. As a result, we will optimizeour product mix between captiveand merchant use to drive a higherblended margin for both our chipbusiness and the rest of the com-pany’s products.” While smaller than cable TV,

Emcore enjoys a strong marketshare in satellite communicationsand a close relationship with cus-tomers. “Unlike the migration fromDOCSIS 3.0 to DOCSIS 3.1 in theCATV market, the satcom businesshas not historically been network-upgrade driven. However, this hasthe potential to change with theproliferation of distributed antennasolution and ultimately 5G networksin general,” says Rittichier. “Withthe build out of 5G networks on thehorizon, DAS (distributed antennasystems) represents an importantnew opportunity for our existingsatcom technology as the sheernumber of devices needed in DASapplication is an order of magnitudegreater than our served markettoday,” he adds. “As wireless net-works are upgraded to the new 5Gstandard, we expect the linear fibertransmission between equipmentracks and smaller more denselypopulated antennas will be critical.Additionally, not having to convertfrom digital to RF, but the antennaitself, provides significant cost sav-ing,” continues Rittichier. “We seeEmcore’s linear optics technologycontinuing to reach further intowireless applications going forwardand are excited about the partner-ship that we have with one of theworld leaders in DAS technology fortheir 5G system deployments.While this market remains in itsearly stages, the progress over thepast years have been healthy andwe look forward to continuing towork with customers in this spaceand increase our number of designwins ahead of full scale deploymentsin the years ahead.” www.emcore.com

Page 76: Download V.11, issue 4, May / June 2016 (36 MB)

A new solar cell configurationdeveloped by the University of New South Wales (UNSW) has setan solar energy conversion efficiencyrecord of 34.5% for unfocused sun-light, nudging closer to the theoret-ical limits for such a device. The record was set by Dr Mark

Keevers and professor Martin Green(senior research fellow and director,respectively) at UNSW’s AustralianCentre for Advanced Photovoltaics(ACAP) using a 28cm2 four-junctionmini-module — embedded in a prism— that extracts the maximum energyfrom sunlight. It does this by split-ting the incoming rays into fourbands, using a hybrid four-junctionreceiver to squeeze more electricityfrom each beam of sunlight. The record-setting UNSW mini-

module combines a silicon cell onone face of a glass prism, with atriple-junction solar cell on the other. The triple-junction cell targets

discrete bands of the incoming sun-light, using a combination of threelayers: indium gallium phosphide(InGaP), indium gallium arsenide(InGaAs), and germanium (Ge). As sunlight passes through eachlayer, energy is extracted by eachjunction at its most efficient wave-length, while the unused part of thelight passes through to the nextlayer, and so on. Some of the infrared band of

incoming sunlight, unused by thetriple-junction cell, is filtered outand bounced onto the silicon cell,extracting almost all of the energyfrom each beam of sunlight hittingthe mini-module. The new result, confirmed by the

US National Renewable EnergyLaboratory (NREL), is almost 44%better than the previous record cell– made by Alta Devices of the USA,which reached 24% efficiency, butover a larger surface area of 800cm2. “This encouraging result shows

that there are still advances tocome in photovoltaics research tomake solar cells even more efficient,”says Keevers. “Extracting moreenergy from every beam of sunlightis critical to reducing the cost ofelectricity generated by solar cellsas it lowers the investment needed,and delivering payback faster.” The result was obtained by the

same UNSW team that set a recordin 2014, achieving conversion effi-ciency of over 40% by using mirrorsto concentrate the light in CPV(concentrator photovoltaics), andthen similarly splitting out variouswavelengths. However, the newresult was achieved using uncon-centrated sunlight. “This level of efficiency had not

been expected for many years,”remarks Green. “A recent study byGermany’s Agora Energiewendethink-tank set an aggressive targetof 35% efficiency by 2050 for amodule that uses unconcentratedsunlight, such as the standard oneson family homes,” he adds. “So,

things aremovingfaster insolar cellefficiencythan manyexpertsexpected.” Australia’s

photo-voltaicsresearch hasalready gen-erated flow-on benefitsof more than$8bn to thecountry,Green says.Gains in efficiencyalone, madepossible by

UNSW’s PERC (passivated emitterrear-contact) cells, are forecast tosave $750m in domestic electricitygeneration in the next decade.PERC cells were invented at UNSWand are now becoming the commer-cial standard globally, it is claimed. The 34.5% result with the 28cm2

mini-module is already a worldrecord, but scaling it up to a larger800cm2 — thereby leaping beyondAlta Devices’ 24% — is within reach.“There will be some marginal lossfrom interconnection in the scale-up, but we are so far ahead that it’sentirely feasible,” Keevers says.The theoretical limit for such a four-junction device is thought tobe 53%, which puts the UNSWresult two-thirds of the way there. Multi-junction solar cells of this

type are unlikely to find their wayonto the rooftops of homes andoffices soon, as they require moreeffort to manufacture and thereforecost more than standard crystallinesilicon cells with a single junction.But the UNSW team is working on

UNSW boosts unconcentrated solar cell conversionefficiency to record 34.5% Spectrum-splitting, four-junction device edges closer to theoreticallimits of solar cell efficiency

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

76

Diagram of spectrum-splitting, four-junction mini-moduledeveloped at UNSW.

Solar spectrum

Band-reflect filter

Si cell (1.1eV) Monolithic triple-junction

cell stack

Colors are indicativeonly

GaInP (1.88eV)

GaInAs (1.41eV)

Ge (0.67eV)

1.18–1.38eV, 900–1050nm

Page 77: Download V.11, issue 4, May / June 2016 (36 MB)

new techniques to reduce themanufacturing complexity, and create cheaper multi-junction cells.However, the spectrum-splitting

approach is perfect for solar towers,like those being developed by Australia’s RayGen Resources,which use mirrors to concentratesunlight which is then converteddirectly into electricity. The research is supported by $1.4m

grant funding from the AustralianRenewable Energy Agency (ARENA),

whose CEO Ivor Frischknecht saidthe achievement demonstrated theimportance of supporting early-stagerenewable energy technologies.The UNSW team is working withARENA-supported RayGen to explorehow the receiver could be rolled outat concentrated solar PV power plants. “With the right support, Australia’s

world leading R&D is well placed totranslate into efficiency wins forhouseholds through the ongoingroll out of rooftop solar and utility-

scale solar projects such as thosebeing advanced by ARENA throughits current $100m large-scale solarround,” says Frischknecht. “Overthe longer term, these innovativetechnologies are also likely to takeup less space on our rooftops andin our fields.” Other research partners working

with UNSW include PV modulemanufacturer Trina Solar and NREL. www.acap.net.au www.pv.unsw.edu.au

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

77

Sol Voltaics AB of Lund, Sweden,which provides nanomaterial tech-nology for enhancing solar panelsand other products, has raised$17m in a Series C round of equityinvestment and grant funding, led by new investor Riyadh ValleyCompany (RVC), the venture capitalinvestment arm of King Saud University in Riyadh, Saudi Arabia.Long-term investors Umoe, FAM,Industrifonden, and Nano FutureInvest also contributed to the$12.5m in equity. In addition, theSwedish Energy Agency and theEuropean Union’s Horizon 2020research and innovation programcontributed over $4.5m in addi-tional grants. The new funding will bolster

Sol Voltaics’ efforts to acceleratecommercialization of its nanowire-based solar efficiency-boosting filmtandem-layer technology to market.The firm recently announced amajor technological breakthroughwith the successful alignment ofgallium arsenide (GaAs) nanowiresin a thin film. When integrated in atandem-junction architecture onmainstream crystalline silicon panels, Sol Voltaics’ nanomaterialsdevelopments can enable photo-voltaic module efficiencies of more than 27% (a 50% enhance-ment in energy generation

compared to existing solar panels).In addition, over the past few

quarters Sol Voltaics has progressedthrough several generations ofdevelopment of its Aerotaxy technology. Stemming from LundUniversity in Sweden, this patentedprocess is the foundation for producing nanowire solar cells andfilms in a cost-effective manner (a key parameter in the commer-cialization process, says SolVoltaics). “There is a tremendous amount of

interest in a number of competingtandem-layer technologiesdesigned to significantly boost theefficiency of existing solar mod-ules,” says CEO Erik Smith. “Follow-ing our recent nanowire alignmentbreakthrough and several othercritical technological advances, this latest investment from newand long-term partners reflects the confidence they have in Sol Voltaics’ ability to become thepremier commercial solution forstacked tandem-junction solarmodules,” he adds. “With the company’s recent

technology breakthroughs andnanowire efficiency world record we believe Sol Voltaics can lead the tandem solar cell revolution,”comments RVC’s CEO Dr Khalid Al Saleh.

Berlin-based Apricum – TheCleantech Advisory acted as finan-cial advisor to Sol Voltaics in thetransaction. According to Apricum,the capital raised represents thelargest solar-technology fundinground in Europe in the past 18months.“To overcome the physical limits of

current mainstream single-junctiontechnology, the photovoltaic indus-try needs to find a low-cost dual-junction technology,” says Apricumpartner Moritz Borgmann. “SolVoltaics, with its drop-in product,provides a game-changing yet sim-ple solution to this problem.”Sol Voltaics says that RVC’s

investment is an example of Saudi Arabia’s increasing interest inrenewable energy as the countrymoves toward realizing its hugepotential for solar energy.Deputy Crown Prince Mohammed

bin Salman recently unveiled the‘Saudi Arabia Vision 2030’ plan aspart of the new King SalmanRenewable Energy Initiative, awide-ranging economic and socialpolicy effort to achieve an initialdomestic deployment target of9.5GW of solar and other renew-able energy sources by 2023. www.solvoltaics.comhttp://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7298402

Sol Voltaics raises $17m in Series C funding followingbreakthrough in nanowire solar cell efficiencyFunding to speed commercialization of efficiency-boosting GaAs nanowire films for tandem-layer PV

Page 78: Download V.11, issue 4, May / June 2016 (36 MB)

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

78

At the SNEC's 10th InternationalPhotovoltaic Power GenerationConference & Exhibition (SNEC PVPower EXPO 2016) in Shanghai,China (24-26 May), Von Ardenne ofDresden, Germany (which manu-factures equipment for industrialcoatings on materials) signed contracts with subsidiaries of state-owned building material industrygroup China National Building Mat-erials (CNBM) for the delivery ofseveral coating systems for produc-ing thin-film photovoltaic modules. The coating systems deposit ultra-

thin functional layers by magnetronsputtering onto glass substrates,which are then processed into solarmodules. The equipment will be used for

the production of copper indiumgallium diselenide (CIGS) solarmodules in two 300MW plants inBengbu (Anhui province) and

Jiangyin (Jiangsu province) as well as the production of CdTe (cadmium telluride) solar modulesin a 100MW plant in Chengdu(Sichuan province). The equipment will be delivered

and put into operation over thenext two years. The investment ispart of CNBM’s long-term plan to

build factories world-wide for the produc-tion of photovoltaicmodules with anoverall annualcapacity of 15GW. Founded in 1984,

CNBM is China’slargest building mat-erials group. In 2012it acquired Germany'sCTFSolar GmbH,which provides production equip-ment and plant for

manufacturing cadmium telluride(CdTe) thin-film photovoltaic modules. In September 2014,CNBM acquired CIGS solar modulemaker Avancis GmbH of Torgau,Germany. www.snec.org.cn www.cnbm.com.cn/EN www.vonardenne.biz

Alta Devices of Sunnyvale, CA, USA(a Hanergy company) has set a newsolar energy conversion efficiencyrecord of 31.6% for a one-sun cell,using its most recent dual-junctionsolar cell, as measured and certifiedby the US Department of Energy’sNational Renewable Energy Labora-tory (NREL). This is the seventhoverall solar efficiency record setsince 2010 by Alta Devices, whichnow holds both the dual-junctionand single-junction records (at31.6% and 28.8%, respectively). Alta has achieved the increase in

efficiency by modifying its basic‘single-junction’ gallium arsenide(GaAs) technology (which the firmis currently shipping in production).The firm’s dual-junction technologybuilds on the basic GaAs approach,but implements a second junctionwith indium gallium phosphide(InGaP). Because InGaP uses high-energy photons more efficiently,

the new dual-junction cell generatesmore electricity from the sameamount of light than a single-junction device. Alta notes that, due to its unique

thinness and flexibility, its solartechnology is of particular interest inthe UAV (unmanned aerial vehicle)market. The increased power-to-weight ratio enables greatly differ-ent possibilities for an aircraft usingthis technology versus any othertypes of solar power, it is claimed. As an example, on a typical HALE

(high altitude long endurance) UAV aircraft, Alta’s solar materialrequires less than half of the surface area and weighs a quarteras much while providing the sameamount of power as competingthin-film technologies, says Alta Devices. Such savings openUAV designers to alternative designoptions. Additional batteries can beinstalled on the vehicle, providing

longer operational life spans andflight times than originally consid-ered. Alternatively, payload func-tionality can be tailored forhigher-speed or longer-distancewireless communications. Either ofthese design optimizations translateinto a considerable increase in economic value to the aircraft oper-ator, the firm adds. “Our goal has always been to

enable solar power to be useful inconfigurations and applications thathave never before been possible,”says chief marketing officer RichKapusta. “The UAV application is animportant example.” Alta Devices also provides solar

technology for other applicationsincluding wearable devices and theInternet of Things (IoT) in order toeliminate the need for batteryreplacement or recharging.www.altadevices.com/technology-overview

Signing ceremony at SNEC.

Alta Devices sets 31.6% one-sun cell efficiency record Power-to-weight ratio of thin, flexible dual-junction PVs targets UAVs

Von Ardenne to supply CIGS & CdTe thin-film PVcoating systems to subsidiaries of China’s CNBM

Page 79: Download V.11, issue 4, May / June 2016 (36 MB)

First Solar Inc of Tempe, AZ, USA —which makes thin-film photovoltaicmodules based on cadmium telluride(CdTe) as well as providing engi-neering, procurement & construc-tion (EPC) services — says thatchief financial officer Mark R. Widmarhas been appointed chief executiveofficer, effective 1 July, when he willalso join the board of directors. As part of a transition plan, he willsucceed James A. Hughes, whoplans to step down as CEO on 30 June but will continue to supportthe firm in an advisory role andremain on the board.Widmar has been chief financial

officer since joining First Solar inApril 2011 and served as chiefaccounting officer from February2012 through June 2015, oversee-ing the firm’s industry-best balancesheet and strong financial perform-ance. Widmar also serves as CFOand as a director on the board of8point3 Energy Partners LP, thejoint yieldco formed by First Solarand SunPower Corporation in 2015to own and operate a portfolio of

selected solargenerationassets. “As we enter a

new phase ofgrowth anddevelopment forthe company, webelieve thatMark’s provenleadership andexpertise make

this an ideal time for him to takethe helm,” comments chairman ofthe board Michael J. Ahearn.“Under Jim’s astute guidance, First Solar achieved the strongesttechnology position in our history,with record bookings of new busi-ness and unparalleled financialstrength in the industry… We lookforward to benefiting from his continued involvement as an advisor and director,” he adds. First Solar also announced that

Alexander Bradley, VP, treasury &project finance, has been appointedinterim chief financial officer, effective1 July.

Prior to joining First Solar, Widmar served as chief financialofficer at GrafTech International Ltd(a manufacturer of advanced carbon and graphite materials)from May 2006 through March2011 and as president, engineeredsolutions, from January 2011through March 2011. Prior to GrafTech, Widmar served

as a business unit chief financialofficer from November 2002 to2005 then as corporate controlleruntil 2006 at NCR Inc. Prior to NCR, he served as a

division controller at Dell Inc fromAugust 2000 to November 2002.Widmar also held several financialand managerial positions withLucent Technologies Inc, Allied Signal Inc, and Bristol Myers/Squibb Inc. He began his career in 1987 as an

accountant with Ernst & Young.Widmar holds a Bachelor of Sciencein Business Accounting and a Master of Business Administrationfrom Indiana University. www.firstsolar.com

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

79

First Solar’s CFOMark R. Widmar.

First Solar Inc of Tempe, AZ, USA— which makes thin-film photo-voltaic modules based on cadmium telluride (CdTe) as wellas providing engineering, procure-ment & construction (EPC) services— says that Georges Antoun, president – US, has beenappointed chief commercial officer(effective 1 July).Antoun will have comprehensive

leadership responsibility for Global Project and Business Devel-opment, with a primary focus onincreased sales and sustainablegrowth in emerging markets.Reporting to Antoun will be FirstSolar global project development,business development and strate-gic marketing teams.

“Georges has adeep and broadgrasp of everyaspect of ouroperations,”says chief finan-cial officer MarkWidmar, whowill become CEOon 1 July. “I amconfident thathe can lead afully integrated

international business develop-ment organization that will deliveron our strategic objectives whilefocusing on deeper customer intimacy.” As president – US, Antoun over-

saw project and business develop-

ment for the USA. Previously, hewas chief operations officer, joiningFirst Solar in June 2012 afterworking in the international IT andtelecommunications industries. “I am honored to take on this

expanded role as we enter a newphase of growth and development,”says Antoun. “I look forward toworking with the managementteam and global associates to execute on our strategies.” As part of this transition, Joe

Kishkill, First Solar’s president –International, is leaving the com-pany to pursue other interests.“Joe played an important role inpositioning First Solar as a globalplayer,” comments Widmar. www.firstsolar.com

First Solar’s US president made chief commercial officer,overseeing global project and business development

Georges Antoun,First Solar’s chiefcommercial officer.

First Solar’s CFO Mark Widmar to succeed CEO Jim Hughes, who remains on board as advisor

Page 80: Download V.11, issue 4, May / June 2016 (36 MB)

Midsummer AB of Järfälla, nearStockholm, Sweden — a provider ofturnkey production lines for manu-facturing flexible, lightweight cop-per indium gallium diselenide(CIGS) thin-film photovoltaic (PV)solar cells — says that, afterChina’s National Energy Bureau inJune 2015 raised the minimumrequirement for CIGS thin-filmmodule solar energy conversionefficiency from 11% to 13%, it hasrecently surpassed this by increas-ing its module efficiency to above13% (corresponding to 14.3%aperture-area efficiency), meetingthe requirements of the Chineseauthorities for receiving govern-

ment support for solar installationsin China. The tests were carried outand verified this March by the certi-fied Japanese independent testinglaboratory Chemitox Inc. The Chinese government’s new

guidelines for CIGS modules arethat the conversion efficiency mustexceed 13% in order for qualifica-tion to its ‘elite program’, whichgives access to large governmentsubsidies, priority in governmentcontracts, bank loans or any public/private projects. “It is veryimportant to gain entry to China’s‘elite program’ in order to enjoy allthe benefits and subsidies by theChinese government,” notes

Midsummer’s CEO Sven Lindström. With the production system from

Midsummer the solar cells aremanufactured individually and then strung together into modules(just like crystalline solar cells).This way, lightweight flexible modules can easily be made in anysize and shape. The dry, all-vacuum process has

less stringent requirements forcleanrooms. Also, avoiding cadmiumin the manufacturing process isdesirable for the sake of the pro-duction staff, and makes it easier tocommence low-cost manufacturingof CIGS solar cells. www.midsummer.se

Midsummer exceeds China’s 13% CIGS module efficiencyrequirement for government support of solar installations

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

80

Copper indium gallium diselenide(CIGS) thin-film photovoltaic modulemaker Avancis GmbH of Torgau,Germany has achieved record solar energy conversion efficiencyof 17.9% for encapsulated CIGSthin-film modules, using its latest30cm x 30cm champion cadmium(Cd)-free module (with an aperturearea of 622cm2), as certified by theFraunhofer Institute for Solar EnergySystems (ISE) in Freiburg, Germany.The firm’s previous aperture efficiency record was 16.6% for itschampion module, as certified bythe US Department of Energy’sNational Renewable Energy Labora-tory (NREL) in January 2014. The 30cm x 30cm champion mod-

ule is based on a mass-producedCIGS absorber from Avancis’ pro-duction plant in Torgau, completewith a refined window and moduleprocess steps developed by thefirm’s R&D center in Munich.The optimized indium-based buffer

is deposited in an environmentallybenign manner and with enhancedmaterial yield by a completely

dry vacuum-coating processes. The improvement in efficiency wasachieved through a modified designwith reduced cell width, an optimizedZnO:Al front contact, and improvedapplication of laser processes inorder to reduce the dead zonebetween the series-connected cells.“This achievement is not just

another world record for CIGS solarmodules, it generally shows the

unbrokendynamics ofthin-filmdevelopment,”says Avancis’chief technol-ogy officer Dr Jörg Palm.“Transferringthat result intoproductionwould lead toCIGS moduleswith theimpressivepower of170Wp on aPowerMax

product size of 1m2,” he adds. “Ourproducts exhibit not only typicalapplication advantages of thin-filmmodules, but also efficiency rangespreviously restricted to poly-siliconbased products. Our products aretherefore increasingly eligible forarea-constrained installations, whichhave been previously dominated byconventional silicon modules.” www.Avancis.de

Avancis sets encapsulated CIGS solar module efficiencyrecord of 17.9% Efficiency raised from 16.6% record certified in January 2014

0 10 20 30 40 50 60

U (V)

0.30

0.25

0.20

0.15

0.10

0.05

12

10

8

6

4

2

0

I (A

)

Pow

er (W

)STC measurement under natural sunlightaccording to IEC 60904-1/IEC 60891

Page 81: Download V.11, issue 4, May / June 2016 (36 MB)

Tokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —has begun commercial productionat its 150MW Tohoku Plant inMiyagi Prefecture, Japan.Due to using the firm’s most

advanced production technology,compared with its main productionplant in southern Japan (the900MW Kunitomi Plant) the TohokuPlant requires only two-thirds theinvestment and manpower permegawatt. It also requires onlyone-third the time to manufacturea CIS solar panel. The technologyalso enables Solar Frontier toachieve what are claimed to bebest-in-class production costs globally, and serves as a templatefor future production lines.Solar Frontier first announced its

decision to build the Tohoku Plant inDecember 2013. After completingconstruction in April 2015, the firmbegan ramping up the newly

installed production lines. The plantnow manufactures CIS solar panelsof the same grade and higher compared to the Kunitomi Plant,prompting the decision to initiatesales. New Tohoku Plant modules will go

on sale this summer in their homeprefecture of Miyagi before beingmade available in other areas ofJapan. Solar Frontier aims to

provide solar panels withoutput of 180W and beyondonce the Tohoku Plantreaches full production.Also, new features are

claimed to offer benefitsfor installers and end-users.For example, the additionof a busbar in the middleof the module improvesthe panel’s ability to perform when partiallycovered by shadows.Another key feature is

lower module voltage,which enables greater

flexibility when designing systems.Tohoku-produced panels alsoinclude modifications to the frameand junction box, making installa-tion and maintenance easier.The start of commercial production

at the Tohoku Plant marks the continued cooperation betweenSolar Frontier, Ohira Village andMiyagi Prefecture. www.solar-frontier.com

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

81

Solar Frontier Tohoku begins commercial productionNew production technology cuts investment per megawatt by a third

Solar Frontier Americas, the USsubsidiary of Tokyo-based SolarFrontier, says that the firm’s solarmodules have been selected to beinstalled in a pipeline of residential,agri-business and agro-industrialsolar power generation projects inand around Sonora, Mexico, byEnilso, a rapidly growing solar distribution and installation organi-zation serving Mexico. The solar projects range from

5–10kW residential projects to200–500kW agricultural installations.Scheduled to be installed in third-quarter 2016, the residentialprojects are targeted at reducinghomeowner’s monthly electricitybills. Meanwhile, in the arid climateof Sonora, agri-business is a keypart of the economy where localfarmers grow asparagus, tablegrapes, and melons. The secondphase of solar projects will be self-

consumption ag-solar installationsconstructed to generate renewableenergy for crop irrigation pumping,cooling facilities for freshly har-vested fruits and vegetables, andindustrial processing and freezingof produce. The investments aimto stabilize agricultural businessesby supporting energy independ-ence, reducing energy costs, andfreeing capital for important equip-ment purchases. Enilso’s founders stem from

generations of farmers. In 2010,they saw the value that solarbrought to agricultural businessesand spearheaded several solarprojects. That small business hasnow grown to an experienced, full-service installer serving theresidential and large commercialsolar markets of Mexico. “We’re impressed with the

consistent, organic growth of

Enilso and their commitment toquality in both the solar componentsand construction of their solarprojects,” comments Solar FrontierAmericas’ chief operating officerCharles Pimentel. Solar Frontier says that its CIS

modules were selected by Enilsofor their higher energy yield inreal-world conditions. For example,in the hot climate of Sonora thelow temperature coefficient of CISensures a smaller loss in poweroutput compared with crystallinesilicon technologies. “Enilso selected Solar Frontier to

provide our clientele with a solarmodule that can withstand the torrid climatic conditions of Mexicoand continue to deliver the highestenergy yields over the life of thesolar system,” says Enilso’s CEOGustavo Borquez. www.enilso.com.mx

Solar Frontier modules chosen for Enilso solar projects in Mexico

The Tohoku Plant’s first panel.

Page 82: Download V.11, issue 4, May / June 2016 (36 MB)

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

82

A team led by Dr Xiaojing Hao of the Australian Centre for Advanced Photovoltaics at the University of New South Wales(UNSW) School of Photovoltaic andRenewable Energy Engineering hasachieved record efficiency of 7.6%for a full-sized (1cm2) thin-filmsolar cell using CZTS technology, as confirmed by the USA’s NationalRenewable Energy Laboratory(NREL). Unlike its thin-film competitors,

CZTS cells are made entirely fromearth-abundant materials: copper,zinc, tin and sulphur. Also, CZTShas none of the toxicity problems of its two thin-film rivals CdTe (cadmium telluride) and CIGS(copper indium gallium diselenide).Cadmium and selenium are toxic ateven tiny doses, while telluriumand indium are extremely rare. “This is the first step on CZTS’

road to beyond 20% efficiency, andmarks a milestone in its journeyfrom the lab to commercial product,”says Hao (who was named one ofUNSW’s 20 rising stars last year).“There is still a lot of work neededto catch up with CdTe and CIGS, inboth efficiency and cell size, but weare well on the way.” “In addition to its elements being

more commonplace and environ-mentally benign, we’re interestedin these higher-bandgap CZTS cellsfor two reasons,” says professorMartin Green (a mentor of Dr Hao).“They can be deposited directlyonto materials as thin layers thatare 50 times thinner than a humanhair, so there’s no need to manu-facture silicon ‘wafer’ cells andinterconnect them separately,” headds. “They also respond betterthan silicon to blue wavelengths of light, and can be stacked as athin-film on top of silicon cells toultimately improve the overall performance.” By being able to deposit CZTS

solar cells on various surfaces,

Hao’s team believes that this putsthem firmly on the road to makingthin-film photovoltaic cells that canbe rigid or flexible, and durable andcheap enough to be widely integ-rated into buildings to generateelectricity from the sunlight thatstrikes structures such as glazing,façades, roof tiles and windows. However, because CZTS is

cheaper — and easier to bring fromlab to commercialization than otherthin-film solar cells, given alreadyavailable commercialized manufac-turing method — applications arelikely even sooner. UNSW is collab-orating with a number of largecompanies that are keen to developapplications well before it reaches20% efficiency — probably withinthe next few years, Hao says. “I'm quietly confident we can over-come the technical challenges tofurther boosting the efficiency ofCZTS cells, because there are a lotof tricks we’ve learned over thepast 30 years in boosting CdTe andCIGS and even silicon cells, butwhich haven’t been applied toCZTS,” she adds. Currently, thin-film photovoltaic

cells like CdTe are used mainly inlarge solar power farms, as thecadmium toxicity makes them

unsuitable for residentialsystems, while CIGScells is more commonlyused in Japan onrooftops. First Solar, a $5bn-turnover firmthat specializes inlarge-scale photo-voltaic systems, relieson CdTe, while CIGS isthe preferred technologyof China’s Hanergy, theworld’s largest thin-filmsolar power company. Thin-film technologies

such as CdTe and CIGSare also attractivebecause they are phys-ically flexible, which

increases the number of potentialapplications, such as curved surfaces,roofing membranes, or transparentand translucent structures like windows and skylights. However, their toxicity has made

the construction industry — mindfulof its history with asbestos — waryof using them. The scarcity of theelements also renders them unattractive, as price spikes arelikely as demand rises. Despitethis, the global market for building-integrated photovoltaics (BIPV) isalready $1.6bn. Hao believes that CZTS’ cheapness,

benign environmental profile andabundant elements may be thetrigger that finally brings architectsand builders onboard to using thin-film solar panels more widelyin buildings. Until now, most archi-tects have used conventional solarpanels made from crystalline silicon.While these are even cheaper thanCZTS cells, they do not offer thesame flexibility for curved surfacesand other awkward geometriesneeded to easily integrate intobuilding designs. www.acap.net.au www.pv.unsw.edu.au https://research.unsw.edu.au/people/dr-xiaojing-hao

Australia’s University of New South Wales sets 7.6%efficiency record for non-toxic, thin-film solar cells CZTS aiming to catch up with CdTe and CIGS PV technology

The new high-efficiency, low-toxicity solar cellsdeveloped by UNSW's Australian Centre forAdvanced Photovoltaics.

Page 83: Download V.11, issue 4, May / June 2016 (36 MB)

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

83

Solliance, a European thin-filmphotovoltaic (TFPV) solar energyR&D consortium in the ELAT (Eindhoven-Leuven-Aachen) region,has demonstrated a record 10%aperture-area power conversionefficiency for its up-scaled thin-filmperovskite photovoltaic modules,measured on an aperture area of168cm2. Twenty-five cells were serial

connected through an optimizedP1, P2, P3 interconnection technol-ogy. The module was realized on a6-inch x 6-inch glass substrateusing industrial scalable slot diecoating in combination with laserpatterning. Further, the PV modulewas packaged by applying a flexiblebarrier using a lamination process. Based on previous optimization on

16cm2 modules, the Solliance teamwas able to quickly transfer this to a6-inch x 6-inch sized glass substrateusing the developed blade coatingprocess and the optimized mechan-ical patterning technology. In thiscase, a 156cm2 aperture-area mod-ule with 10% efficiency was realizedcomprising 24 interconnected cells.This was then used as startingpoint for the realization of above-mentioned 168cm2 PV module. Solliance says that the results

demonstrate the ability to scale upthe emerging new thin-film PVtechnology. Apart from the elec-trodes that are currently used, all layers can be processed in an

ambient environment and at temperatures below 120ºC,demonstrating the potential for low production costs. Further, thedeposition and interconnectiontechnologies used for obtainingthese results are industrially avail-able for sheet-to-sheet as well asfor roll-to-roll manufacturing. The latter can allow high-volumeproduction in the future. The existing efficiency record for a

small lab-scale perovskite-basedPV cell is 22.1%. “The challenge isto upscale perovskite cells tolarger-size industrially processablemodules with high efficiency andlong lifetimes at low cost,” saysRonn Andriessen, program managerof the perovskite-based PV programat Solliance. “The current result,presented on an aperture areacomparable to standard commercialsilicon solar cells, shows that Solliance, with its in-depth know-howon processing of organic PV and CIGS[copper indium gallium diselenide]and its vast sheet-to-sheet and roll-to-roll pilot production infra-structure, is excellently placed torealize this upscaling. These 10%up-scaled perovskite-based PVmodules are first and importantsteps in this development,” he adds.“We are confident to boost quicklythe up-scaled perovskite-based PVmodule efficiency further above 15%by using very low-cost materialsand processes. But, besides

demonstrating the up-scalability ofthese highly efficient perovskite-based PV devices, we are also currently working hard to stabilizefurther the performance of thesedevices under real-life operationalconditions.” Together with its industrial partners

Nano-C, Solartek, DyeSol andPanasonic, Solliance is conductingresearch on the development ofperovskite-based PV modules andits applications. With the latestresult, the Solliance R&D partnersand their industrial partners havedemonstrated their researchframework for the development ofindustrial perovskite-based PVmodules. Solliance partners include ECN,

imec, TNO, Holst Centre, TU/e,Forschungszentrum Jülich, UniversityHasselt and Delft University ofTechnology. The consortium is supported by the Dutch province ofNorth Brabant, which has dedicated€28m to Solliance. In June 2014,Solliance opened a large sharedlaboratory at the High Tech Campusin Eindhoven that includes pre-pilotproduction facilities, complementingpartners’ labs (which are also available to the other partners). To make optimal use of these labfacilities, they are open to valoriza-tion programs in joint research withindustry. www.solliance.euwww.imec.be

Solliance sets record 10% aperture-area conversionefficiency for scaled-up perovskite-based PV modules Efficiency of 15% targeted using low-cost materials and processes

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 84: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

84

King Abdullah University of Science & Technology(KAUST) in Saudi Arabia has developed a quantum well intermixing (QWI) technique to

blue-shift indium gallium phosphide (InGaP) quantumwell bandgaps to give 628nm red, 602nm orange and585nm yellow electroluminescence [A. A. Al-Jabr et al,J. Appl. Phys., vol119, p135703, 2016]. The researcherssee potential application in solid-state lighting, photo-dynamic therapy (PDT), medicine, and visible lightcommunication.The team reports: “A maximum blue-shift of 75nm

(250meV) is achieved, which is the highest everreported in this material system.” The aim is to bridgethe gap for high-efficiency light-emitting devices in thegreen-yellow-orange part of the visible spectrumbetween III-nitride (blue-violet) and III-phosphide (red)semiconductors.Although the structure is designed as for a single QW

(SQW) laser diode (LD), the researchers do not at thisstage quote any laser characteristics such as currentthresholds. “Our results show that this new QWI methodtechnique may pave the way for the realization of high-efficiency orange and yellow light-emitting devices basedon the InGaP/InAlGaP material system,” they write.The technique involves introducing strain into indium

aluminium gallium phosphide (InAlGaP) heterostruc-tures on gallium arsenide (GaAs) by depositing a thicklayer of silicon dioxide (SiO2) and then applying a vari-ety of thermal annealing processes.The researchers believe the blue-shift is associated

with the high strain arising from the thermal expansionmismatch between the silicon dioxide layer and theunderlying semiconductor material.The researchers explain: “During annealing, the mis-

match in expansion at the interface of the dielectricand semiconductor induces high compressive strain,whereas the QW is under tensile strain. The oppositestrains applied on the barriers create point defects at(1) the interface of the dielectric and the laser structureand (2) the interface of the barrier and the QW...These point defects, with energy given to the atoms byheat, facilitate the inter-diffusion of (group III) atoms

between the QW and the barrier.”The researchers believe that the effect of the intermix

is to increase the bandgap by the incorporation of Al inthe InGaP and to alter the shape of the quantum well.The Al incorporation also reduces the strain of the QW,which also has a blue-shifting effect. The change inshape of the QW, making it effectively thicker, couldsubtract a small red-shift, but the total effect is toincrease the bandgap over the as-grown material. The waveguide properties are also affected by the

intermixing between the InAlGaP barriers and InGaP QW.In particular, the defects will increase optical losses,leading to less efficient devices. Further problems areexpected from the shift to yellow where optical lossesin GaAs are higher.High-Al-content InAlGaP layers grown directly by

metal-organic chemical vapor deposition (MOCVD) or

Figure 1. Dark-field (002) cross-sectionaltransmission electron microscope (TEM) image ofInGaP/InAlGaP laser structure with a single QW.

Quantum well/barrierintermixing blue-shift ofindium gallium phosphide Thick silicon dioxide strain layer encourages thermal diffusion of aluminium togive largest shift reported for material system, according to researchers.

Page 85: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

85

molecular beam epitaxy (MBE) tend to suffer from thepresence of oxygen-related defects. The QW intermixtechnique is aimed at reducing or even eliminatingsuch defects in the active QW layer.The light-emitting structure consisted of a single InGaP

quantum well in InAlGaP barrier/waveguide grown byMOCVD on 10°-offcut GaAs (Figure 1). The claddinglayers were InAlP. The p-contact layers consisted ofInGaP for injection barrier reduction and p-GaAs. The as-grown structure had peak room-temperaturephotoluminescence at 635nm±3nm.The laser diode wafer was cleaved into approximate

2mm x 2mm pieces. These samples were coated with1µm of silicon dioxide through plasma-enhancedchemical vapor deposition (PECVD) and annealedusing a rapid thermal process.The temperature and time of the rapid thermal anneal

(RTA) was optimized to maintain strong photolumines-cence intensity, narrow full width at half maximum(FWHM), and good surface morphology. The desired blue-shift was found to occur at tempera-

tures higher than 900°C for a 120s anneal processes.Between 900°C and 950°C the rate of shift was around1meV/°C. Above 950°C, the rate increased to around3meV/°C. At 1000°C, the blue-shift was more than 60nm(200meV). Photoluminescence and surface morphologyquality was maintained at temperatures up to 975°C.Varying the anneal duration at 950°C also affected

the blue-shift with an almost linear relation at a rate of0.67meV/second, starting from about 45 seconds.Beyond 180 seconds the photoluminescence intensitydecreased and the FWHM increased, indicating areduction in quality. At 240 seconds, the peak wavelengthshifted by 45nm (~140meV) to 595nm.The problem of material quality deterioration was

ameliorated by a cyclic process of a number of annealsat shorter intervals. The researchers considered theoptimum step to consist of annealing at 950°C for 30s.The researchers comment: “With this process, wewere able to blue-shift the peak emission from red(640nm) to yellow (565nm) (250meV) with a numberof cycles of annealing, which is the largest blue-shiftreported for this material system.” Samples annealed for 2, 5 and 9 cycles had peak

wavelengths at 620nm (red), 595nm (orange) and575nm (yellow), respectively.Devices for electroluminescence studies were fabri-

cated by removing the capping dielectric and depositingfront and back contacts. The electroluminescencepeaks (Figure 2) were red-shifted between 5nm and10nm due to self-heating effects from the broad-areacurrent pumping.The yellow-emitting device had a turn on voltage of

2.1V, which is consistent with the bandgap of a deviceoperating at 585nm. The series resistance of less than5Ω is described as ‘low’. “These good electrical charac-teristics for the yellow emitter with the highest degreeof intermixing are evidence of the superiority of ourintermixing process,” the team writes. “In addition, theresult confirms that the dopant concentration in thetop contact and the cladding layers remained at a simi-lar level and did not diffuse into the active region of thelaser structure, even after the successive annealing atelevated temperatures.”Experiments varying the silicon dioxide thickness up

to 2µm (2000nm) showed increasing blue-shift. How-ever, the dielectric cracked at 2µm thickness, damag-ing the top surface of the semiconductor material. ■http://dx.doi.org/10.1063/1.4945104Author: Mike Cooke

Figure 2. (a) Electroluminescence spectra for as-grown and intermixed devices emitting at 628nm, 602nmand 585nm and (b) voltage versus current characteristics of corresponding devices.

Page 86: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: UV LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

86

Ohio State Universityin the USA has pro-duced aluminium

gallium nitride (AlGaN)nanowire ultraviolet (UV)light-emitting diodes (LEDs)on flexible metal foil[Brelon J. May et al, Appl. Phys. Lett., vol108,p141103, 2016]. Theresearchers comment: “Therealization of operationalnanoLEDs grown directly onflexible metal foils providesa first step towards scalableroll-to-roll manufacturing ofnanomaterial based solid-state optoelectronics.”Due to the confined

attachment of nanowires tosubstrates, lattice mis-matching is less importantthan for normal III-nitrideheterostructures with planar films. Large latticemismatch can be accom-modated in nanowire heterostructures withoutgenerating dislocations.Advantages of growing

nanowires on flexible metalfoils include lower costs,thermal management, andincreased light extraction in top-emitting devices.Demonstrations havealready been carried out on metal films on rigid substrate. Successfuldevelopment of processes on flexible foils opens up the possibility of very-large-scale roll-to-roll manufacturing.

The nanowires were grown on titanium (Ti) and tan-talum (Ta) metal foils through molecular beam epitaxy(MBE). The researchers believe that a wider variety of

Figure 1. (a) GaN nanowires on flexible Ti foil (the inset shows a reflection high-energy electron diffraction (RHEED) pattern of nanowires on Ti foil) and scanningelectron microscope (SEM) images of GaN nanowires on (b) silicon single-crystal(111) substrate, (c) different grains in the Ti foil, and (d) Ta foil.

Aluminium gallium nitridenanowire UV LED fabricatedon metal foilResearchers see development as first step towards scalable roll-to-rollmanufacturing of nanomaterial based solid-state optoelectronics.

Page 87: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

87

metal foils compatible with the growthtemperatures could be used.The 1”-square Ta and Ti foil substrates

were 99.9% and 99.6% pure, respec-tively. The GaN nanowires were grownwith a III/V ratio of 0.18. The sub-strates were rotated away from thenitrogen plasma source to avoid nitri-dation. A two-step MBE process wasused with nucleation at 750°C for 5minutes and main growth at 800°C fortwo hours. The same process was alsoused to grow GaN nanowires on silicon.The nanowires grew approximately

perpendicular to the silicon substratesalong the c-axis of the GaN crystalstructure (Figure 1). The nanowires onfoil were tilted according to the crystalgrain structure of the metals. Thegrains also affected the density ofnanowires.The researchers report: “The Ta foil

gives less variation between regions,and the individual regions are largerthan those compared to the Ti foil.”The nanowire heights and diameters

were similar on the different substrates.Micro-photoluminescence studies at 27.6K showed a

dominant peak from neutral-donor-bound exciton (D0, XA) recombination (~358nm, ~3.472eV). There was also a shoulder on the short-wavelengthside of the peak from free excitons (XA, ~357.5nm,~3.477eV). A broad peak around 362.7nm, 3.427eV,was also observed, most strongly on Ta (~0.45 mainpeak height) and weakly on Ti and Si (both ~0.1,shoulder-like).The broad peak has been seen before in nanowires and

possible explanations include surface-related excitons,excitons bound to structural defects, or emission fromdefects near the bottom surface of the nanowires.Poor-quality GaN can give rise to yellow luminescence

from defects, but this was not seen in the any of thenanowire samples.Time-resolved photoluminescence also showed that

the nanowires on Ta have similar behavior to those onSi. The nanowires on Ti had reduced emission on shorttime-scales and enhanced emission on longer time-scales. “Even with this variation, the relatively similarrecombination characteristics for all the samples indicate that the nanowires grown on metal foils are of similar optical quality to those grown on single-crys-talline Si substrates,” the researchers comment.AlGaN nanowire LEDs with integrated tunnel junction

were produced on Ta foil. The heterostructure designfollowed previous work on silicon: 750°C n-GaN nucleation, 100nm 790°C n-GaN, polarization-

engineered indium gallium nitride tunnel junction,polarization-doped p-AlGaN graded from GaN to AlN,840°C 3-period AlGaN quantum wells in AlN barriers,and finally an AlN to GaN graded n-contact.The LED fabrication consisted of hydrochloric acid

etch to remove surface oxides from the nanowires anddeposition of titanium/gold semi-transparent metal topcontact. The bottom contact was fabricated bymechanically removing nanowires and diffusing anindium dot on the Ta foil.The resulting LED emitted ultraviolet light around

350nm wavelength (Figure 2). The turn-on voltagewas around 5V, similar to devices fabricated on n-typesilicon. Charge-polarization effects were seen in aquantum-confined Stark effect (QCSE) blue-shift athigher currents.The intensity of the electroluminscence (EL) was a

factor of 16 lower than in devices on n-Si. The teamcomments: “This is likely from the inhomogeneous distribution in nanowire tilt and density. Such variationscould result in metal deposition on the sidewalls of thenanowires and substrate during fabrication of the topcontact. Consequently, a leakage pathway is formed,reducing the number of active nanowires, thusdecreasing the overall EL intensity.”The researchers hope to improve performance

through optimizing the growth conditions for more uniform nanowire density and planarization. ■http://dx.doi.org/10.1063/1.4945419Author: Mike Cooke

Figure 2. Electroluminescence measurements of nanowire LEDs growndirectly on flexible Ta foil; inset shows current–voltage characteristicsof LED on Ta (red) compared to similar device on Si (black).

Page 88: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

88

Researchers based in China, Singapore andTurkey have used an extremely thin layer of silicon dioxide (SiO2) insulator as a charge

inverter in indium gallium nitride (InGaN) light-emittingdiodes (LEDs), improving light output power andexternal quantum efficiency (EQE) [Zi-Hui Zhang et al,Appl. Phys. Lett., vol108, p133502, 2016].The aim of the SiO2 charge inversion layer is to improve

hole injection past the electron-blocking layer (EBL) intothe multiple quantum well (MQW) active light-emittingregion (Figure 1). Poor hole injection is an undesirable

feature of InGaN LEDs due to the low ionization of themagnesium doping that is used to create p-type GaN.The knock-on effect is that hole injection into InGaNMQWs is restricted to a couple of wells at the p-GaN end. Further, since there are few holes in the MQW region,

electrons tend to overshoot, ending up in the p-GaNcontact where non-radiative recombination is more likely.Aluminium gallium nitride (AlGaN) electron-blockinglayers are used to avoid this, but these layers alsounfortunately inhibit hole injection.The proposed effect of the thin layer of SiO2 insulator is

SiO2 charge inverter boostshole injection in InGaN LEDsExternal quantum efficiency increased 20% at 20mA current.

Figure 1. Schematic energy band diagrams (layer thickness not to scale) for (a) device A without SiO2

charge inverter and (b) device B with charge inverter. Carrier transport processes: 1. non-equilibrium electrons(solid circles) travel to the ITO layer from the conduction band of the p+-GaN layer; 2. electron interbandtunneling simultaneously produces holes (open circles), and holes then travel (3) to MQW region. EC conduction and EV valence bands. Efe electron and Efh hole quasi-Fermi levels.

Page 89: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

89

to reduce the thickness of the barrier for electrons to beremoved from the valence band of the p-type GaN layerof the LEDs into the conduction band of the indium tinoxide (ITO) transparent current-spreading layer. Simulations suggest the SiO2 forms a weak inversion

layer on the p-GaN side where electrons accumulate.The researchers from Hebei University of Technology inChina, Nanyang Technological University in Singapore,Bilkent University in Turkey, and South University ofScience and Technology in China, explain: “The inversionlayer is able to attract and confine the electrons at thep+-GaN/insulator interface. This can then substantiallyshorten the width of the tunnel region, which can significantly increase the carrier tunnel efficiency.”Simulations suggest the tunneling width of ~3.5nm with-

out SiO2 can be cut to ~2nm by the thin insulator layer. Another effect of the SiO2 is to increase the magnitude

of the electric field in the tunneling region due to thelower dielectric constant of SiO2 (3.9) relative to GaN(8.9), giving smoother, more effective hole transportfrom better tunneling. With better removal of electronsinto the ITO layer under forward bias, there are moreholes available for injection into the MQW.The team also suggests that the SiO2 charge inverter

structure could provide an easy way to enhance holeinjection and quantum efficiency in ultraviolet (UV)LEDs with p-AlGaN hole injection layers. According tothe researchers, a p+-AlGaN layer would have an evenlarger surface depletion region with improved tunnelingof valence-band electrons into ITO.The epitaxial material for the LEDs was grown by

metal-organic chemical vapor deposition (MOCVD) on[0001] sapphire: 20nm GaN nucleation, 4µm GaNbuffer, 2µm silicon-doped GaN, five-period 3nm/12nmIn0.15Ga0.85N/GaN MQW, 25nm magnesium-doped p-Al0.20Ga0.80N EBL, 0.2µm magnesium-doped p-GaNhole source, and 20nm heavily magnesium-doped p+-GaN ohmic contact. The complete epitaxial waferwas annealed at 700°C in situ for 10 minutes in nitrogen.The LED wafers were processed into 350µmx350µm

mesas with ~1nm plasma-enhanced chemical vapordeposition (PECVD) silicon dioxide and 50nm ITOtransparent conductor on the p+-GaN ohmic contact.LEDs without the extremely thin SiO2 layer were alsoproduced. The thinness of the SiO2 was enabled by theless than 1nm root-mean-square roughness measuredby atomic force microscopy (AFM) over a 1µmx1µm fieldon the p+-GaN surface.The ITO layers of both device types were annealed at

630°C for a minute in a nitrogen/oxygen mix. Theresearchers believe that the final devices could be further improved by optimizing this step. The p- and n-contact metals were titanium/gold.One effect of the SiO2 layer was to reduce the

forward voltage of the LEDs, due to improved holeinjection according to the researchers. The light output

was also increased with SiO2 layer across the currentinjection range (Figure 2). The spectral peaks of bothdevice types first underwent a blue-shift associatedwith charge polarization screening and then red-shiftat higher currents due to self-heating effects.At 20mA, the external quantum efficiency (EQE) for

the LED B with SiO2 layer was 20% greater than thevalue for LED A without. However, the efficiencyenhancement fell to 10% at 180mA. The higher EQE peak for device B also implies a larger

droop: 48.6% at 100mA, compared with 27.6% forLED A. The researchers comment: “The observed effi-ciency droop for device B is likely due to the electronleakage caused by the inversion layer at the p+-GaN/SiO2 interface, given that more non-equilibriumholes are produced at the p+-GaN/SiO2 interface andthe inversion layer occurs, which attracts more elec-trons to bypass the MQW region. Thus, more effortsare necessary to optimize the electron injection layerand/or the p-EBL so that both efficiency enhancementand reduced efficiency droop can be obtained.” ■http://dx.doi.org/10.1063/1.4945257Author: Mike Cooke

Figure 2. Experimentally measured (a) electro-luminescence spectra, (b) EQE, optical power andpower enhancement at different injection currentlevels for devices A and B.

Page 90: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

90

Xiamen University in China has created a simpli-fied fabrication process for indium galliumnitride (InGaN) light-emitting diodes (LEDs)

with a view to lower-cost display applications [H Longet al, Semicond. Sci. Technol., vol31, p065019, 2016].Rather than the conventional process with mesa

etching and metal contacts on two different levels, the Xiamen method produces LEDs with coplanarmetal-semiconductor-metal (CMSM) contact structureson one level with one round of photolithography andelectrode deposition. By contrast, the conventionalprocess requires “at least three levels of photolitho-graphy, two steps of metal deposition and excessivechemical and thermal treatments,” according to theXiamen team.The complicated conventional process rules out the

use of LEDs as display elements on production-costgrounds, although they are now widely used as back-lighting in liquid-crystal displays (LCDs).The metal-organic chemical vapor deposition (MOCVD)

epitaxial material used for the LEDs consisted of(0001) sapphire substrate, a low-temperature GaNbuffer, unintentionally doped GaN, 2µm n-GaN, a 5-period In0.15Ga0.85N/GaN multiple quantum well(MQW), 100nm of p-GaN, and 5nm of heavily dopedn++-In0.15Ga0.85N. The aim of the n++-InGaN was toimprove contact with the p-GaN and underlying n-GaNlayers.The contacts with the LED consisted of two concentric

nickel/gold rings with inner diameters/widths of90µm/10µm and 225µm/50µm. The fabrication consisted of electrode deposition through one stepeach of photolithography, magnetron sputtering, andthermal annealing at 500°C in oxygen.Conventional mesa-type devices were also produced,

with and without indium tin oxide (ITO) transparentconducting current-spreading layer (Figure 1).

Figure 1. Schematic structure of CMSM LED (a) andconventional LEDs without (b) and with (c) ITOcapping layer.

Coplanar fabricated indium gallium nitride light-emitting diodesSimpler technique cuts photolithographyand metal deposition steps and offerspotential path towards LED displays.

Page 91: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

91

The researchers applied positive bias to the inner ringand negative bias to the outer, giving blue light emissionfrom inside the inner ring. The emission spectrum at20mA was around 450nm wavelength without 365nmGaN near-band-edge emission or 500nm yellow emission.This indicates that the emissions come from the MQWand not from GaN (365nm) or defect (~500nm) transitions.The current–voltage behavior was considered to be a

combination of a linear resistance of 2.3kΩ betweenthe rings through the very thin 5nm n++-In0.15Ga0.85Nlayer and 100nm p-GaN and a non-linear portion froma path down from the inner ring to the n-GaN layer(p1/n), across the n-GaN layer, and then up to theouter ring. The down part of the latter current pathwas a forward biased p-GaN/MQW/n-GaN LED. At20mA, the drop across this section was estimated at3.1V, although the total was around 7.5V. The differ-ence between 7.5V and 3.1V represents lost power(4.4Vx20mA = 88mW) and of course the quantum efficiency of the 3.1V drop will be nowhere near to100%.The researchers comment: “Since the luminescence

region was confined within the inner circle of 100µmdiameter, the current density of the forward p1/n junctioncould be derived as 67Acm2 at 3.1V and 20Acm2 at2.7V, which was similar to the electrical parameters ofconventional LEDs.”The linear 2.3kΩ was estimated to be mainly

through the thicker p-GaN layer rather than the 5nmn++-InGaN. The outer ring upward current path of n-GaN/MQW/p-GaN/n++-InGaN was seen as being likean npn bipolar transistor with the p-GaN/n++-InGaNjunction forward biased. “Electrons from the n++ layercan diffuse into the n-layer after passing through thep-region,” the researchers write.

Up to 20mA, the emission intensity was 6.7% and11% short of the conventional LEDs without (A) andwith (B) ITO current spreading, respectively (Figure 2).The performance of the CMSM LED fell further behindwith greater than 20mA current injection.The researchers estimate that at 20mA, some 3.3mA

is shunted through the 2.3kΩ surface layer. At highercurrent, the extra self-heating of the surface regiondegraded the CMSM LED performance, compared withthe conventional devices. Also the extra self-heatingsomewhat compensated the blue-shift attributed tothe quantum-confined Stark effect (QCSE) that arisesfrom electric fields caused by charge polarization of thepartially ionic bonds of the III-nitride materials.A proof-of-concept array of LEDs was produced in the

shape of Xiamen University’s roman initials (XMU) toindicate the potential for active-matrix (AM) and passive-matrix (PM) displays. The researchers pointout that LCD external quantum efficiencies max out at8% and theoretical organic light-emitting displayscould only reach 25%. State-of-the-art GaN LEDs haveefficiencies greater than 50%. The researchers comment: “The conventional LED

has the p- and n-electrodes on different planes, leadingto huge difficulty in AMLED and PMLED fabrication. Our CMSM LED introduced a coplanar electrode config-uration and, in our opinion, could simplify the AMLEDand PMLED process.”Based on the 100µm electrode size, the estimated

resolution of such displays would be around 250 pixelsper inch. Although the performance of the proof-of-concept was not comparable with LCDs or OLEDs, theresearchers believe that follow-up work will enhancelight intensity and luminous efficiency. ■http://dx.doi.org/10.1088/0268-1242/31/6/065019Author: Mike Cooke

Figure 2. (a) Electroluminescence intensities of CMSM and conventional LEDs and (b) electroluminescencepeak positions of CMSM LED and conventional LED without ITO as function of injected current.

Page 92: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride processing

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

92

Researchers based in USA and France have beenstudying hexagonal boron nitride (h-BN) as ameans to ease wafer-scale separation of

III-nitride epitaxial layers from sapphire substrates[Taha Ayari et al, Appl. Phys. Lett., vol108, 171106, 2016].The team from Georgia Institute of Technology in theUSA and its European campus Georgia Tech Lorraine,France, and Université de Lorraine, France, see thetechnique as potentially having advantages over laser-and chemical-based alternatives for such ‘lift-off’.Hexagonal BN has a crystal structure based on sp2

bonding, which creates strong links in a hexagonal lattice plane but weak van der Waals links betweenplanes. This is similar to a number of material groupssuch as graphene, black phosphorus, and transitionmetal dichalcogenides (e.g. molybdenum/tungstendisulfide/diselenide).Using h-BN, the team believes that problems such as

laser damage, long process times and high equipment

cost can be ameliorated in epitaxial layer lift-off. Othergroups have reported some preliminary results for h-BNlift-off of areas of epitaxial material up to a couple ofsquare centimeters.The structure (Figure 1) was grown on 2-inch sapphire

by metal-organic vapor phase epitaxy (MOVPE). The process precursors were triethylboron (TEB),trimethylgallium/ triethylgallium (TMGa/TEG),trimethylindium (TMIn), trimethylaluminum (TMAl),and ammonia (NH3). Doping was achieved by addingsilane (SiH4, n-type) and bis(cyclopentadienyl)-magnesium (Cp2Mg, p-type).The h-BN layer was grown at 1300°C, followed by

aluminium gallium nitride (Al0.14Ga0.86N) at 1100°Cas nucleation for the overlying n-GaN. The multiplequantum well (MQW) consisted of five 2.5nm indiumgallium nitride (In0.15Ga0.85N) wells separated by 12nmGaN barriers.The growth of h-BN is described as van der Waals epi-

Figure 1. (a) Schematic of grown structure and (b) resulting transparent wafer photograph after epi-growth.

Wafer-scale lift-off of III-nitride epitaxial layerswith boron nitrideNew technique could reduce process times and costs compared with laser andchemical techniques.

Page 93: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride processing

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

93

taxy (vdWE). The researchers explain: “As opposed toconventional 3D crystals, vdWE does not involvestrong chemical bonds between the epilayer and thesubstrate; instead, it only requires either the substrateor the overlayer to have a surface free of active dangling bonds, such as layered materials which is thecase for h-BN.”Atomic force microscopy (AFM) of the p-GaN surface

over a 5µmx5µm field gave a root-mean-square (RMS)roughness of 1.6nm.Separation of the epitaxial layers from the sapphire

substrate was attempted using acrylic conductiveadhesive on aluminium and copper foils. The exfoliationoccurred across the 2-inch wafer with crack-freeregions of several square millimeters, according to theresearchers. The team comments: “This is greaterthan the typical device size of commercially availablelight-emitting diodes (LEDs) (300µmx300µm). Webelieve that the cracks can be reduced by using a waxsupport or an elastomeric stamp.”

X-ray analysis before and after exfoliation suggestedthat the MQW stack maintained structural integrity.The RMS roughness was found to be 1.14nm over a5µmx5µm AFM scan. “This smooth surface indicatesthat the exfoliation occurred by breaking the bondsbetween the 2D-layered BN layers and not at the interfaces of the sp3-bonded III-nitride layers whichwould result in a surface with increased roughness,”the researchers write.Cathodoluminescence (excitation by electron beam)

emitted light with an MQW peak at 454nm before exfoliation and 458nm after exfoliation. The researchersattribute the 4nm red-shift to partial residual strainrelaxation. The ratio between GaN near band-edgeemission and the MQW was the same before and afterlift-off, although overall intensity reduced by about 15%. Electroluminescence was obtained with probe tips,

but without contact metal deposition (Figure 2). ■http://dx.doi.org/10.1063/1.4948260 Author: Mike Cooke

Figure 2. Blue light emission by electrical injection from exfoliated MQW structure.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 94: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: GaN diodes

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

94

Researchers in Hong Kong and China haveclaimed the first demonstration of gallium nitride(GaN) fully vertical p-type-intrinsic-n-type (p-i-n)

junction diodes on silicon (Si) [Xinbo Zou et al, IEEEElectron Device Letters, vol 37 (2016), issue 5. p636].The devices were grown on silicon and transferred to a silicon carrier wafer. The team from Hong Kong University of Science and Technology (HKUST) andXi’an Jiaotong University believes the technique is apromising path for achieving cost-effective high-powerswitching devices.The wide 3.4eV energy gap of GaN allows high critical

electric fields to be achieved for high-voltage operation.Vertical GaN diodes for high-power, high-frequencyelectronics have been the subject of increasing interest,but most reports are of devices grown on bulk or free-standing GaN because the resulting epitaxial material is of higher quality with low dislocation density.However, the cost of such substrates is still very high.Quasi-vertical GaN devices (e.g. standard light-emitting

diodes with laterally placed n- and p-contacts on theepi-side of the wafer) on foreign substrates such as silicon, silicon carbide, or sapphire tend to suffer fromelectric field and current crowding effects.The epitaxial material for the fully vertical diodes

(Figure 1) was grown on 6” (111) Si by metal-organicchemical vapor deposition (MOCVD). The researchersestimated the dislocation density at 9x108/cm2. Fabrication consisted of etching trenches down to the

silicon growth substrate with inductively coupled plasma,depositing and annealing nickel/gold on the p-GaNcontact layer, bonding to a (100) Si carrier wafer with copper-tin-copper, removal of the (111) growthsubstrate by mechanical grinding and plasma etch,plasma-enhanced chemical vapor deposition (PECVD)of silicon dioxide (SiO2) sidewall passivation, removalof the aluminium gallium nitride (AlGaN) buffer, andchromium/gold electrode deposition on the n-GaN contact layer.The copper-tin-copper bonding step was carried out

at 280°C for only 30 seconds to avoid degrading the p-GaN material quality. The researchers also took

particular care in etching the AlGaN buffer to limit surface roughness of the n-GaN contact layer to 4.96nmroot-mean-square. Rough surfaces lead to increasedleakage currents due to electric field spikes.A 0.11mm2-area device had a forward voltage of

3.35V at 1A/cm2 current density, consistent with the~3.4eV bandgap of GaN. The resistance was relativelylarge at low current injection due to the 2µm-thickundoped n–-type i-GaN drift region. At higher currentinjection of 300A/cm2, the forward voltage was 8.38Vand the differential resistance was 3.3mΩ-cm2. The team comments: “The small differential

on-resistance of 3.3mΩ-cm2 demonstrated in thiswork was attributed to conductivity modulation fromcarrier injection and excellent current spreading in the

Figure 1. (a) Main steps in fabricating vertical p-i-ndiodes on Si; (b, c) As-grown p-i-n diode surfacecharacterized by optical microscopy and atomic forcemicroscopy (AFM); (d) Image of p-i-n epilayersbonded onto Si (100); (e, f) scanning electronmicroscope (SEM) images of a fabricated p-i-n diodeon Si with n-GaN facing up; (g) AFM image of n-GaNsurface after AlGaN buffer removal.

Fully vertical gallium nitridep-i-n diode grown on siliconsubstrateResearchers claim the first demonstration of GaN vertical p-i-n diodes on siliconwith high performance.

Page 95: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: GaN diodes

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

95

fully vertical structure.”The researchers also estimate that 1.3V of the 8.38V

drop was due to the drift layer with the remainderblamed on contact and series resistance of the p-GaN.Optimizing the p-GaN mobility and ohmic contact metalsshould reduce the forward voltage and on-resistance.Under –200V reverse bias, the current was 1x10–2A/cm2,

which is comparable to other p-i-n diodes on foreignsubstrates, according to the researchers. The teambelieves the reverse leakage could be reduced usingedge-termination techniques such as sidewall treatmentand enhanced passivation. The breakdown voltage was-350V. “In our diode, when the reverse voltage was larger

than 40V, acceptor traps have been fully ionized anddonor traps started to get neutralized,” the researchersexplain. “As the Fermi level kept moving towards the

conduction band, more free electrons were generatedin the conduction band, leading to a rapid increase ofreverse current.”The Baliga figure of merit for the device was

37.0MW/cm2 (350Vx350V/3.3mΩ-cm2). Theresearchers compare this with values obtained onother substrates (Figure 2) and comment: “To ourknowledge, this is the best reported data for GaN p-i-nrectifiers grown on Si substrates. Considering the simple active GaN epi-layers with a drift region of only2µm that can be grown on large-area Si substrates,the methods reported here showed great potential inachieving cost-effective GaN vertical devices for high-power and high-voltage switching applications.” ■http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7444154Author: Mike Cooke

Figure 2. Relationship between specific on-resistance and breakdown voltage of GaN vertical p-i-n rectifierson various substrates.

Page 96: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride HEMTs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

96

Researchers in Franceclaim the first demon-stration of 10GHz

large-signal microwavepower performance for flexi-ble aluminium gallium nitride(AlGaN) barrier high-electron-mobility transistors (HEMTs)[S. Mhedhbi et al, IEEE Electron Device Letters, vol 37 (2016), Issue 5, p553].The devices were producedon low-cost silicon substratesand transferred to flexibletape. The team comments that their work “demonstrates

the capability of flexible GaN-based HEMTs for thedevelopment of applications requiring mechanical flexi-bility, high-frequency operation as well as high-powerperformance.” The researchers came from Institut d’Electronique

de Microélectronique et de Nanotechnologie (IEMN),Centre de Recherche sur l’Hétéro-Epitaxie et ses Applications, and 3M France Company.The material for the HEMTs was grown by molecular

beam epitaxy (MBE) on high-resistivity (111) silicon:44nm AlN nucleation, 250nm Al0.15Ga0.85N and 130nmAlN stress mitigation, 1.73µm GaN buffer, 1nm AlNspacer, 10nm Al0.29Ga0.71N barrier, and 0.5nm GaN cap.The ohmic source–drain electrodes consisted of

annealed titanium/aluminium/nickel/gold. Deviceswere isolated with nitrogen ion implants.The 100nm-long nickel/gold gate was T-shaped.

The gate width was 2x50µm (100µm total). Thegate–source and source–drain spacings were 1µm and2.75µm, respectively.The HEMTs were passivated with plasma-enhanced

chemical vapor deposition (PECVD) of silicon nitrideand silicon dioxide dielectrics. The contact pads weretitanium/gold. The silicon substrate was removed by bonding the

front-side of the wafer to a sapphire carrier with

photoresist. Chemical-mechanical lapping grounddown 100µm of the silicon wafer. Dry etching removedthe remaining silicon down to the AlN nucleation layerthat was used as etch stop (Figure 1). The thinned devices were transferred to thermally

conductive tape under development by 3M. The tape isdesigned to withstand temperatures up to 260°C forshort periods (of the order of minutes). The tape was250µm thick. The elastomeric-silicone carrier material of the tape

was highly loaded with thermally conductive filler. One side of the tape was coated with high-temperature-resistance silicone adhesive. The tape was also designedto be chemically resistive to common solvents.The sapphire carrier was removed by dissolving the

photoresist adhesion layer in acetone. Hall measurements of transferred material gave a

sheet resistance of 437Ω/square, a high mobility of1831cm2/V-s and sheet carrier density of 9x1012/cm2. Coplanar propagation waveguides (CPWs) were also

fabricated on the tape with gold/titanium metal. A 1mm-long CPW had as low as 0.2dB/mm loss at10GHz. This value is comparable to the values formicrowave circuits on high-resistivity silicon.The maximum drain current for the HEMTs was

620mA/mm at 3V drain bias and 0V gate potential. At the same drain bias, the maximum extrinsic trans-conductance was 293mS/mm at –2.2V gate potential.

Figure 1. Optical images of device (a) backside after silicon etching and (b) after transfer onto 3M flexible tape.

III-nitride high-electron-mobility transistors onflexible tape Researchers claim the first demonstration of 10GHz large-signal microwavepower performance for flexible AlGaN-barrier HEMTs.

Page 97: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: Nitride HEMTs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

97

The pinch-off voltage wasestimated at –3.3V. Theresearchers comment:“Despite the lack of materials and technologydevelopments for trans-ferred devices, the draincurrent ratio ION/IOFF ratiois equal to 105.” Using the maximum

transconductance parameters as bias point(3V drain, –2.2V gate), thecut-offs for current gain(FT) and Mason’s gain(FMAX, extrapolated from67GHz maximum RFmeasurement withassumed –20dB/decadefall off) were 38GHz and75GHz, respectively. Large-signal measure-

ments were made with10GHz continuous wave(CW) operation of anactive load-pull setup. The drain and gate bias pointswere 5V and –3V, respectively, giving AB class amplification. The linear power gain was 15.8dB. Thesaturated output power and power-added efficiencywere 0.42W/mm and 29.6%, respectively. “To our knowledge, this performance constitutes the

current state of the art at 10 GHz for flexible devices,”the team writes. The researchers believe that the

saturated power density figure represents the firstsuch result ever reported in the field of flexible elec-tronics. The team also suggests improved performanceand reliability could come from tape with better thermal conductivity. ■

http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7434553Author: Mike Cooke

Figure 2. Output power, power gain and power-added efficiency versus absorbedpower at 10GHz for 2x50µmx0.1µm AlGaN/GaN HEMT on 3M flexible tape at 5V drain.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 98: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

98

Combining high-mobility III-V materials with siliconhas been the subject of much research in recentyears with the hope of introduction into main-

stream electronics in the near future. Getting all theparts to work together at the right scale has been, isand will continue to be a challenge. Silicon is wellestablished as the premier electronics material for lowcost and seemingly ever improving speed and powerperformance. However, improving silicon electronicshas become increasingly difficult and new processes,materials and structures have been introduced to meetexpectations.The traditional planar complementary metal-oxide-

semiconductor (CMOS) transistor is presently beingreplaced by three-dimensional (3D) fin structures toallow better access for the gate electrode on three sidesof the channel, and the next step in this progression isnanowire transistors with the gate wrapped around allfour sides. Intel already uses finFETs made from siliconin some of its products (since 2011). FinFETs can beseen as intermediate between planar and gate-all-aroundnanowire transistors. These developments for siliconhave their counterparts in III-V research. However, some argue [e.g. Synopsis’ Victor Moroz,

‘Technology Inflection Points’, International Symposiumon Physical Design, 2016, www.ispd.cc/slides/2016/3_1.pdf]that the window of opportunity for III-V channels isclosing due to large source/drain tunnel currents,which reduce on/off current ratios in the nanowiretransistor gate-all-around designs that are being proposed for sub-5nm technology (circa 2021?) nodes.The tunneling currents are controlled by the effectivemass. Unfortunately, high-mobility III-V materials correlate with effective masses that are too low forhigh-performance nanowire transistors. According tosimulations, the range of effective masses needed isbetter covered by strained silicon.Despite these countervailing (Cassandra?) prophecies,

the European Union has set up ‘Integration of III-VNanowire Semiconductors for next Generation HighPerformance CMOS SOC Technologies’ (INSIGHT,www.insight-h2020.eu) — a consortium under theHorizon 2020 Research and Innovation Action program. INSIGHT involves Sweden’s Lund University, the UK’s

University of Glasgow, Ireland’s Tyndall National Insti-tute, Germany’s Fraunhofer IAF, LETI in France, and

IBM Research GmbH in Switzerland. The funding is€4.3m over 36 months from 2016. The aim is todevelop III-V nanowire CMOS technology for millimeter-wave applications in a system-on-chip approach, com-bining RF and logic for the 10nm node and beyond.In the USA, Jesús A. del Alamo of the Massachusetts

Institute of Technology leads the Xtreme TransistorsGroup, which recently reported record transconductancefor III-V field-effect transistors (FETs) [see Mike Cooke,Semiconductor Today, p88, April/May 2016]. The MITgroup aims at higher frequencies, higher speed,smaller size, extremely low power consumption, higheroperating temperature, to switch electrical power, andto amplify electrical signals at higher power levels.Along with planar devices, MIT’s work also includes III-V finFET and nanowire devices.At the beginning of 2016, Taiwan Semiconductor

Manufacturing Corporation (TSMC) and IBM ResearchGmbH reported on III-V finFETs. The finFET configur-ation should give better electrostatic control overchannel conduction compared with planar devices likeMIT’s record device.

Unstrained indium arsenideThe TSMC work was led by its R&D Europe B.V. facilityin Belgium. The researchers claim the first demonstra-tion of an unstrained indium arsenide (InAs) fin field-effect transistor (finFET) with 20nm fin height(Hfin) [R. Oxland et al, IEEE Electron Device Letters,vol37, p261, 2016]. The team also included researchersfrom University of Glasgow in the UK, Texas State Uni-versity in the USA, and TSMC in Taiwan. The use of InAs rather than indium gallium arsenide

(InGaAs) should increase mobility, lowering on-resistance.Since the present devices were the first of their kind,they did well to give performance comparable in somerespects with scaled and optimized planar transistors.The finFET material was grown by molecular beam

epitaxy on p-InAs substrates (Figure 1). The 500nmundoped lattice-matched buffer was designed to isolate the 20nm undoped InAs channel from the conducting substrate. A quaternary indium aluminiumarsenide antimonide (InAlAsSb) buffer compositiongives improved top interface flatness, process andcomposition repeatability, and increased oxidationresistance over ternary AlAsSb, according to the

Mike Cooke reports on recent separate TSMC- and IBM-led research.

Looking to the futurewith III-V finFETs

Page 99: Download V.11, issue 4, May / June 2016 (36 MB)

researchers. Furthercarrier confinementwas provided by apseudo-morphicallystrained 7nm InAlAslayer between theInAs channel andInAlAsSb buffer. The fins were etched

using inductively coupled plasma etchwith methane, hydrogen, chlorine andoxygen chemistry.The fin height was20nm and the width(Wfin) 25–35nm. The InAlAs acts as anetch stop, protectingthe structure fromuncontrolled oxidation,which is a particularconcern for etchingcompound Sb-basedsemiconductors con-taining aluminium.Before forming the

gate stack, nativeoxide was removed

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

99

Figure 1. (a) The layer structure that was used, showing the pseudomorphic InAlAsetch stop layer and (b) the process flow for fabrication of InAs finFETs, starting withthe first step after wafer growth.

Figure 2. (a) Drain current versus gate voltage (Id–Vg) of typical device at 0.5V and 0.05V drain bias (Vd). (b) Drain current and voltage of same device with Vg = –0.25V to 0.50V in steps of 50mV.

Page 100: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

100

before controlled oxygen termination. The gate insu-lation consisted of 5nm of atomic layer deposition zirconium dioxide, giving 1.2nm equivalent oxidethickness. The gate metal was palladium. Spacers con-sisted of silicon nitride. The gate length (Lg) was 1μm.The devices consisted of 10 fins. The 3μm-wide

source/drain electrodes were fabricated of nickel/titanium,which was annealed to give a NiInAs metallic phase.Titanium and gold were then applied for contacts. Thedevices were encapsulated in 50nm of silicon nitride.The encapsulation also induced electrostaticsource/drain extensions by pinning the Fermi levelinside the conduction band of the InAs quantum well.

A 25nm-wide fin device had a minimumsubthreshold swing (S) of 148mV/decadewith 0.5V drain bias. The extrinsictransconductance (gm) was 650μA/μm.The minimum drain current was 110nA/μmwith –0.5V gate potential. Gate leakagewas less than 2x10–8A/μm for the entiremeasurement range. The drain inducedbarrier lowering was 27mV/V — this com-pares well with the 30mV/V value of a planar InAs device of similar gate length.A device with wider 35nm fins had

310mV/decade S and 1430μS/μm gm with0.5V drain. The minimum drain current of8μA/μm occurred at –0.5V gate potential.The gate leakage was the same as for the25nm devices. The higher off-state currentwas attributed to reduced gate control fromthe wider fin and the different interface trapdensities on the sidewall and top surface. The Q-factors gm/S for the 25nm- and

35nm-wide finFETs were 4.4 and 4.8, respectively. The researchers compared their devices to the InGaAsfinFETs of other groups (Table 1).

InGaAs on insulatorThe IBM Research GmbH, Switzerland, work developedan n-channel InGaAs on insulator (-OI) finFET processand the team claims the highest on-current to date forCMOS-compatible InGaAs devices integrated on silicon(Si) [Vladimir Djara et al, IEEE Electron Device Letters,vol37, p169, 2016].The InGaAs-OI/Si wafer was produced using metal-

organic chemical vapor deposition (MOCVD) and directwafer bonding. The20nm In0.53Ga0.47Aslayer was doped with~5x1017/cm3 silicon.The buried oxide (BOX)insulator layer was37nm thick.Transistor fabrication

(Figure 3) began with adigital wet etch of fins15nm wide and 17nmhigh. A dummy gatewas formed before creating 12nm siliconnitride (SiNx) spacerswith plasma-enhancedatomic layer deposition(PEALD) and dry etching. The raised

source–drain (RSD)In0.53Ga0.47As contactswere re-grown using

Figure 3. (a) CMOS-compatible replacement metal gate (RMG) fabrication process flowand (b) cross-sectional schematic of self-aligned InGaAs-OI FinFET architecture.

InAs Hfin Wfin Lg gm S mole fraction (nm) (nm) (nm) (μS/μm) (mV/dec)

1.0 20 25 1000 650 148 35 1000 1430 310

0.7 25 50 100 280 190 0.53 40 40 60 1100 95 0.53 20 30 80 1800 82 0.7 10 20 120 1620 114 0.53 16 40 200 — 150 0.53a 9 40 30 1640 84 1.0 — — 130 2720 85

a. Mole fraction averaged over total fin height, including InAs quantum well

(3nm) and In0.3Ga0.7As cladding layers (6nm in total).

Table 1. Benchmark of III-V finFET devices. Quoted gm and Svalues extracted at Vd = 0.5V on same device where given,otherwise not reported. Bold entry (top) is TSMC finFET. Italicentry is planar device, included for comparison. InAs channelquantum well thickness is 10nm.

Page 101: Download V.11, issue 4, May / June 2016 (36 MB)

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

101

selective low-temperatureMOCVD. The contacts weredoped with ~6x1019/cm3 tin.A 250nm interlayer dielectric

was applied by plasma-enhanced chemical vapor deposition. The material wassubjected to chemicalmechanical polishing (CMP)that exposed the dummy gate;the dummy gate was thenremoved. PEALD was used to create a

high-k aluminium oxide/hafnium dioxide metal gate stack (HKMG) with acapacitance equivalent thick-ness of ~1.5nm. The gatemetal was 150nm tungsten.This tungsten layer was planarized with CMP.The device was encapsulated

in silicon dioxide and metalcontact pads created. An optimized hydrogen/argonanneal was carried out toreduce the interface trap density to ~1.5x1012/cm2-eVat 0.25eV below the conduc-tion band edge, according to high-low frequencycapacitance–voltage analysis.The gate leakage current for a 50nm gate-length (LG)

transistor was below 400pA/μm with 0.5V and gatepotential in the range –0.2V to +1V. The saturationtransconductance peaked at ~615μS/μm. The saturationsubthreshold swing had a minimum of 92mV/decade.The drain-induced barrier lowering was 57mV/V. The threshold in saturation was 0.09V.The on-current (ION) was 156μA/μm (Figure 4).

The researchers comment: “Although the ION value of156μA/μm obtained at LG = 50nm represents the highest value reported to date for CMOS-compatible

InGaAs FETs integrated on a silicon platform, significantimprovements are still needed to reach the performanceof state-of-the-art III-V n-FETs integrated on bulk InPand be competitive for advanced technology nodes. Wespeculate that the required performance boost could beobtained through the use of source and drain extensions.”Long 1μm-gate devices had a 106 maximum/minimum

drain current ratio and subthreshold swing of62mV/decade. ■

The author Mike Cooke is a freelance technology journalistwho has worked in the semiconductor and advancedtechnology sectors since 1997.

Figure 4. ION versus LG benchmark of CMOS-compatible InGaAs FETs integratedon silicon platform. ION values are extracted at 0.5V operating voltage and anIOFF of 100nA/μm.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 102: Download V.11, issue 4, May / June 2016 (36 MB)

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

102

suppliers’ directory

1 Bulk crystal source materials p102

2 Bulk crystal growth equipment p102

3 Substrates p102

4 Epiwafer foundry p103

5 Deposition materials p103

6 Deposition equipment p104

7 Wafer processing materials p104

8 Wafer processing equipment p105

9 Materials and metals p105

10 Gas & liquid handling equipment p105

11 Process monitoring and control p105

12 Inspection equipment p106

13 Characterization equipment p106

14 Chip test equipment p106

15 Assembly/packaging materials p106

16 Assembly/packaging equipment p106

17 Assembly/packaging foundry p106

18 Chip foundry p106

19 Facility equipment p106

20 Facility consumables p106

21 Computer hardware & software p106

22 Used equipment p107

23 Services p107

24 Consulting p107

25 Resources p107

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd(part of 5N Plus, Inc) 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901 www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

CrystAl-N GmbHDr.-Mack-Straße 77, D-90762Fürth, GermanyTel: +49 (0)911 650 78 650 90Fax: +49 (0)911 650 78 650 93E-mail: [email protected] www.crystal-n.com

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Page 103: Download V.11, issue 4, May / June 2016 (36 MB)

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

103

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

MARUWA is a global supplier ofceramic substrates and wafers madeof aluminium nitride (AlN), alumina(Al2O3), ZTA and silicon nitride(Si3N4). Products meet requiredproperties such as high thermalconductivity and bending strengthfor power devices, especiallywafers are suitable as a bondingwafer (GaN, SOI) for epi wafers.

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677E-mail: [email protected]

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecPlace Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef, France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

5 Depositionmaterials

Akzo Nobel High Purity Metalorganics www.akzonobel.com/hpmo Asia Pacific: Akzo Nobel (Asia) Co Ltd,Shanghai, ChinaTel. +86 21 2216 3600Fax: +86 21 3360 [email protected] Americas: AkzoNobel Functional Chemicals,Chicago, USA Tel. +31 800 828 7929 (US only)Tel: +1 312 544 7000Fax: +1 312 544 [email protected] Europe, Middle East and Africa: AkzoNobel Functional Chemicals,Amersfoort, The NetherlandsTel. +31 33 467 6656Fax: +31 33 467 [email protected]

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USA

Page 104: Download V.11, issue 4, May / June 2016 (36 MB)

Tel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. Thecompany’s technology solutions areused by a diverse range of customersworldwide to build advancedcomponents for electronic andoptoelectronic applications(photonic) based on compound,silicon, or organic semiconductormaterials and, more recently,carbon nanotubes (CNT), grapheneand other nanomaterials.

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

Ferrotec-Temescal 4569-C Las Positas Rd, Livermore, CA 94551, USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net Temescal, the expert inmetallization systems for theprocessing of compoundsemiconductor-based substrates,provides the finest evaporationsystems available. Multi-layercoatings of materials such as Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu,Mo, Nb, SiO2, with high uniformityare guaranteed. Today the world’smost sophisticated handsets,optical, wireless and telecomsystems rely on millions of devices that are made usingTemescal deposition systems andcomponents.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk We provide flexible tools and processes for precise materials deposition,etching and controlled nanostructuregrowth. Core technologies includeplasma and ion-beam depositionand etch and ALD.

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com www.plasmatherm.com

Plasma-Therm, LLC is an establishedleading provider of advancedplasma processing equipment forthe semiconductor industry andrelated specialty markets.

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818www.microchem.com

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

104

Page 105: Download V.11, issue 4, May / June 2016 (36 MB)

Praxair Electronics (see section 5 for full contact details)

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.comTechnology and market leader for wafer processing equipment. Worldwide industry standards foraligned wafer bonding, resistprocessing for the MEMS, nano andsemiconductor industry.

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching,

Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SYSTEMS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24 00 0 Fax: +49 89 96 24 00 122 www.cscleansystems.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

k-Space Associates 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com k-Space Associates Inc specializes inin-situ, real-time thin-film processmonitoring tools for MBE, MOCVD,PVD, and thermal evaporation.Applications and materials includethe research and production linemonitoring of compoundsemiconductor-based electronic,optoelectronic, and photovoltaicdevices.

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvaturemeasurements and reflectanceanisotropy spectroscopy.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120Furtwangen im Schwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

105

Inc

Page 106: Download V.11, issue 4, May / June 2016 (36 MB)

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

106

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds,2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow, Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

20 Facility consumables

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Page 107: Download V.11, issue 4, May / June 2016 (36 MB)

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108,Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51

High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies 1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

107

Page 108: Download V.11, issue 4, May / June 2016 (36 MB)

13–17 June 2016 2016 Symposia on VLSI Technology & Circuits Hilton Hawaiian Village, Honolulu, HI, USA E-mail: [email protected] www.vlsisymposium.org

22–24 June 2016 Intersolar Europe Messe München, GermanyE-mail: [email protected]

26–30 June 2016 Compound Semiconductor Week 2016(CSW2016), including: 43rd International Symposium onCompound Semiconductors (ISCS2016); 28th International Conference on IndiumPhosphide and Related Materials (IPRM2016) Toyama International Conference Center, Japan E-mail: [email protected]

4–8 July 2016 International Union of Materials ResearchSocieties’ International Conference onElectronic Materials (IUMRS-ICEM 2016) Suntec, Singapore E-mail: [email protected] www.mrs.org.sg/icem2016

10–15 July 2016

18th International Conference on Metal OrganicVapor Phase Epitaxy (ICMOVPE XVIII)

Sheraton San Diego Hotel & Marina, CA, USAE-mail: [email protected] www.mrs.org/icmovpe-xviii

11 July 2016 Imec Technology Forum USA (ITF2016 USA) San Francisco Marriott Marquis, CA, USAE-mail: [email protected] www.itf2016.be/USA

11–13 July 2016 IEEE Photonics Society’s 2016 SummerTopicals Meeting Series – ‘EmergingTechnologies for Green Photonics’ Newport Beach Marriott Hotel, CA, USA E-mail: [email protected] www.sum-ieee.org

11–14 July 2016 Intersolar North America (co-located withees North America and SEMICON West) San Francisco, CA, USA E-mail: [email protected] www.intersolar.us

12–14 July 2016SEMICON West 2016 Moscone Center, San Francisco, CA, USA E-mail: [email protected] www.semiconwest.org

24–26 August 2016 13th International Group IV PhotonicsConference (GFP 2016) Grand Kempinski Hotel Shanghai, China

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016 www.semiconductor-today.com

108

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron SE 5Evatec 61Ferrotec-Temescal 65Fuji Electric 13IQE 43

RIFF Company 67University Wafer 53Veeco Instruments — MBE 11Veeco Instruments — MOCVD 2Wafer World 17

Advertiser Page no. Advertiser Page no.

advertisers’ index

Page 109: Download V.11, issue 4, May / June 2016 (36 MB)

E-mail: [email protected] www.gfp-ieee.org

28 August – 1 September 2016 SPIE Optics + Photonics 2016 San Diego Convention Center, CA, USAE-mail: [email protected] http://spie.org/optics-photonics1

6–7 September 2016 2nd International Forum on SapphireMarket & Technologies Shenzhen Convention & Exhibition Center (SZCEC),China E-mail: [email protected] www.i-micronews.com/events/yole-events/eventdetail/142/-/2nd-int-forum-on-sapphire-market-technologies.html alongside: 6–9 September 2016 18th China International OptoelectronicExposition (CIOE 2016) Shenzhen Convention & Exhibition Center (SZCEC),China E-mail: [email protected] www.cioe.cn/en

7–9 September 2016SEMICON Taiwan 2016 Taipei Nangang Exhibition Center, Taiwan E-mail: [email protected] www.semicontaiwan.org

12–15 September 2016 25th International Semiconductor LaserConference (ISLC 2016) Kobe Meriken Park Oriental Hotel, Kobe, Japan E-mail: [email protected]

19–22 September 2016 LED China 2016 Shanghai New International Expo Centre (SNIEC),China E-mail: [email protected]

25–29 September 2016 ECSCRM 2016: 11th European Conference on Silicon Carbideand Related Materials Halkidiki, Greece E-mail: [email protected] www.ecscrm2016.org

2–6 October 2016 29th IEEE Photonics Conference (IPC 2016 )

Waikoloa, Hawaii, USA E-mail: [email protected] www.ipc-ieee.org

10–13 October 2016 2016 IEEE SOI-3D-Subthreshold Micro-electronics Technology Unified Conference(S3S SOI/3D/SubVt) — ‘Energy EfficientTechnology for the Internet of Things’ Hyatt Regency San Francisco Airport, Burlingham, CA, USA E-mail: [email protected] http://s3sconference.org

25–27 October 2016 SEMICON Europa 2016 Grenoble, France E-mail: [email protected]

26–28 October 20166th Annual World Congress of Nano Science& Technology (Nano S&T-2016) — ‘Theme: Small is All, The Future ofNanotechnology’SingaporeE-mail: [email protected] www.bitcongress.com/nano2016

7 November 2016 ITF2016 Japan New Otani Hotel – Tokyo, Japan E-mail: [email protected] www.itf2016.be/page.aspx/2218

3–7 December 201662nd annual IEEE International ElectronDevices Meeting (IEDM 2016) San Francisco Union Square Hilton Hotel, CA, USA Paper submission deadline: 10 August 2016E-mail: [email protected] www.ieee-iedm.org

14–16 December 2016 SEMICON Japan 2016 Tokyo International Exhibition Center (Tokyo Big Sight),Japan E-mail: [email protected] www.semiconjapan.org

28 January – 2 February 2017SPIE Photonics West 2017Moscone Center, San Francisco, CA, USA Abstract deadline: 18 July 2016 E-mail: [email protected] http://spie.org/SPIE-PHOTONICS-WEST-conference

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 4 • May/June 2016

109

Page 110: Download V.11, issue 4, May / June 2016 (36 MB)

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductor and advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 41,000+ international circulation

WEB SITE

Average of over 19,700 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

www.semiconductor-today.com

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET