7
Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects Juan Borja, Joel L. Plawsky, Toh-Ming Lu, William N. Gill, Thomas M. Shaw, Robert B. Laibowitz, Eric G. Liniger , Stephan A. Cohen, Robert Rosenberg, and Griselda Bonilla Citation: Journal of Vacuum Science & Technology A 32, 051508 (2014); doi: 10.1116/1.4891561 View online: http://dx.doi.org/10.1116/1.4891561 View Table of Contents: http://scitation.aip.org/content/avs/journal/jvsta/32/5?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing Articles you may be interested in Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics J. Appl. Phys. 115, 234508 (2014); 10.1063/1.4882023 Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant back end of the line dielectric systems J. Appl. Phys. 114, 074501 (2013); 10.1063/1.4818480 The effects of vacuum ultraviolet radiation on low-k dielectric films J. Appl. Phys. 112, 111101 (2012); 10.1063/1.4751317 Water diffusion and fracture behavior in nanoporous low- k dielectric film stacks J. Appl. Phys. 106, 033503 (2009); 10.1063/1.3187931 Nanoporous structure of low-dielectric-constant films: A process compatibility study J. Appl. Phys. 99, 113514 (2006); 10.1063/1.2201307 Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

Embed Size (px)

Citation preview

Page 1: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

Detection of charge carrier confinement into mobile ionic defects in nanoporousdielectric films for advanced interconnectsJuan Borja, Joel L. Plawsky, Toh-Ming Lu, William N. Gill, Thomas M. Shaw, Robert B. Laibowitz, Eric G. Liniger, Stephan A. Cohen, Robert Rosenberg, and Griselda Bonilla Citation: Journal of Vacuum Science & Technology A 32, 051508 (2014); doi: 10.1116/1.4891561 View online: http://dx.doi.org/10.1116/1.4891561 View Table of Contents: http://scitation.aip.org/content/avs/journal/jvsta/32/5?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing Articles you may be interested in Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics J. Appl. Phys. 115, 234508 (2014); 10.1063/1.4882023 Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant backend of the line dielectric systems J. Appl. Phys. 114, 074501 (2013); 10.1063/1.4818480 The effects of vacuum ultraviolet radiation on low-k dielectric films J. Appl. Phys. 112, 111101 (2012); 10.1063/1.4751317 Water diffusion and fracture behavior in nanoporous low- k dielectric film stacks J. Appl. Phys. 106, 033503 (2009); 10.1063/1.3187931 Nanoporous structure of low-dielectric-constant films: A process compatibility study J. Appl. Phys. 99, 113514 (2006); 10.1063/1.2201307

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 2: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

Detection of charge carrier confinement into mobile ionic defectsin nanoporous dielectric films for advanced interconnects

Juan Borja and Joel L. Plawskya)

Howard P. Isermann Department of Chemical and Biological Engineering, Troy, New York 12180

Toh-Ming LuDepartment of Physics, Rensselaer Polytechnic Institute, Troy, New York 12180

William N. GillHoward P. Isermann Department of Chemical and Biological Engineering, Troy, New York 12180

Thomas M. ShawIBM T.J. Watson Research Center, Yorktown Heights, New York 10598

Robert B. LaibowitzDepartment of Physics and Electrical Engineering, Columbia University, New York, New York 10027

Eric G. Liniger and Stephan A. CohenIBM T.J. Watson Research Center, Yorktown Heights, New York 10598

Robert RosenbergUniversity at Albany’s College of Nanoscale Science and Engineering, Albany, New York 12203

Griselda BonillaIBM T.J. Watson Research Center, Yorktown Heights, New York 10598

(Received 29 April 2014; accepted 14 July 2014; published 4 August 2014)

Reliability and robustness of low-k materials for advanced interconnects has become one of the

major challenges for the continuous down-scaling of silicon semiconductor devices. Metal cata-

lyzed time dependent breakdown is a major force preventing integration of sub-32 nm process tech-

nology nodes. Here, the authors demonstrate that ions can behave as trapping points for charge

carriers. A mechanism for describing trapping of charge carriers into mobile ions under bias and

temperature stress is presented. Charge carrier confinement into ionic center was found to be domi-

nated by ionic transport. After extended bias and temperature stress, the magnitude of charge trap-

ping into ionic centers decreased. Simulations suggest that built-in fields could reduce the effect of

externally applied fields in directing ionic drift, therefore inhibiting the trapping mechanism. This

work depicts the dual role of ionic species when catalyzing dielectric failure (mobile defect and

local field distortion). VC 2014 American Vacuum Society. [http://dx.doi.org/10.1116/1.4891561]

I. INTRODUCTION

Past few years the world has witnessed incredible advan-

ces in silicon semiconductor technology, especially in terms

of device’s miniaturization, performance, and applications.1

Unnoticed by most consumers, the consistent fabrication of

reduced nanoscale features is severely obstructing the devel-

opment of new devices.2 The fusion of novel fabrication

techniques and advanced materials promises to counteract

the present threat.3 The manufacturing of nanoscale features

is a major challenge by itself. However, a bigger challenge

lies in connecting billions of transistors.4 Communication

across individual and clusters of transistors and other devices

is achieved by global, semiglobal, and local interconnects.

Interconnects are the nervous system of chips and their sole

purpose is to allow communication between distant points

with minimal latency. The human brain has one of the most

advanced interconnect systems consisting of 1011 neurons

with more than 1015 connections.5 These are daunting

numbers even when compared to cutting edge nature

inspired cognitive computing designs. The work of

McQuinn et al.6 on the connectivity of a cognitive computer

based on a monkey’s brain draws on 4000 nodes and

300 000 connections. Complexity and link counts in the

human brain are certainly important; however, reliability

remains a crucial aspect. Most people manage to have suc-

cessful cognitive performance past 70 yr of age.7 The reli-

ability and sturdiness found in natural systems ought to

inspire modern computer chips and advanced interconnects.

Clearly, the challenge is not only to attain a large number of

connections at the nanoscale but ensure that such connec-

tions result in a reliable and robust system.

Fabrication of interconnects is overwhelmed with multi-

ple bottlenecks including the need for more robust low-kmaterials, liners, metal alloys, and reliability models.8 These

are important issues that are addressed on a daily basis by

integration and reliability engineers.9,10 Tackling these prob-

lems requires a high level of understanding about the mecha-

nisms that cause dielectric failure and the nature of

electronic and ionic transport in dielectric films. Increasing

the knowledge of the physics causing dielectric breakdown

a)Author to whom correspondence should be addressed; electronic mail:

[email protected]

051508-1 J. Vac. Sci. Technol. A 32(5), Sep/Oct 2014 0734-2101/2014/32(5)/051508/6/$30.00 VC 2014 American Vacuum Society 051508-1

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 3: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

will become one of the most valuable assets for achieving

performance and yield in future technology nodes.

The matter in question is not how long it takes for an

interconnect structure to fail, but rather how material proper-

ties and conduction mechanisms evolve in the dielectric

films prior to failure. In return, understanding such changes

can provide valuable clues for predicting time dependent

dielectric breakdown (TDDB). The purpose of the present

manuscript is to provide a description of how the transport

of charged species under bias and temperature stress (BTS)

affects the trapping of charge carriers. Ultimately, we por-

tray how these dynamics influence interconnect failure.

Degradation of low-k systems during BTS prior to ultimate

breakdown has been discussed in the past by many authors.

Atkin et al.11 mention that low-k materials undergo a substan-

tial change in conduction mechanisms during BTS. The author

argues that leakage evolves from a temperature dominated con-

duction process into a tunnelinglike mechanism prior to dielec-

tric breakdown. The change is correlated with a large increase

in trap or defect density after prolonged BTS. Atkin et al.11

and Haase12 agree that an increase in defect or trap concentra-

tion across the dielectric matrix can lead to the formation of a

critical conduction path for electrons. As the defect concentra-

tion increases, the probability for trap-assisted-tunneling

increases and so do the chances for dielectric breakdown.

Various authors have argued that during BTS, chemical

bonds in SiCOH films are reorganized.13–15 These authors

explain that the rupture of Si-C and SiC-O bonds in the

dielectric network are directly related to trap or defect den-

sity. Cleavage of Si-C and SiC-O bonds is more energeti-

cally feasible than C-H and SiO. Wolters and Van Der

Schoot16 theorized that the presence of such defects gener-

ated by electronic damage to the dielectric matrix can lower

leakage traces in MOS devices. These defects form scatter-

ing regions for electrons, which can eventually become con-

fined into such domains. Wolters and Van Der Schoot16

considered only defects with intrinsic origins, namely,

defects created by the interaction of electronic charge with

the dielectric matrix. Current interconnect devices have been

known to suffer from an additional fault, which can catalyze

dielectric failure, metal ions. Ionic defects refer to charge

centers in the dielectric generated by the transport of ions

from metallic electrodes during the application of bias and

temperature stress. The generation of intrinsic defects occurs

at a different timescale than the drift of ions. Therefore, con-

ditions selected for the present studies are such as to resolve

the role of ions, neutral species, traps, and additional defects

in the dynamics proposed by Wolters and Van Der Schoot.16

The present work is intended to provide insight into the

mechanisms, affecting dielectric failure by illustrating the

change in material and electrical properties brought forward

by the drift of charged species and charge carrier confinement.

We developed an expression based on Wolters and Van Der

Schoot16 and the framework presented in Borja et al.17,18 The

expression relates the accumulation of mobile defects during

BTS to the conduction mechanism in the interconnect device.

The model provides a fundamental physical mechanism capa-

ble of reproducing experimental trends. Furthermore, the

structure of the model allows one to simulate the transient evo-

lution of electronic aspects such as conduction, local electric

field, and distribution of species across the dielectric.

II. METHODS

The interconnect devices used consisted of comb–comb

structures fabricated using a 32 nm CMOS process on

300 mm wafers (Fig. 1).19,20 In order to safeguard the inter-

connect devices, all wafers were fully passivated. Three dif-

ferent interlevel dielectric (ILD) films were tested in the

study. The k value for the PECVD dielectrics used in this

study was approximately k� 2.55, the porosity for the

dielectric films are 16% for ILD-1, 16% for ILD-2 (higher

Carbon content than ILD-1), and 19% for ILD-3. The ILDs

employed differed primarily by manufacturing method and

carbon content. Samples contained a TaN based barrier.

Previous factors were not found to alter experimental results.

The applied field was designed as a bipolar, double ramp

waveform as shown in Fig. 1. Using a bipolar transient field

enables us to distinguish the effects of charged versus neutral

species in the trapping of charge carriers. The direction of

drift for charged species reacts directly to changes in field

polarity while diffusion of neutral species remains undis-

turbed. The initial ramp was expected to drive positive

charged mobile defects into the dielectric film from the an-

ode (x¼ 0) to cathode (x¼L). The second ramp also

allowed these defects to be transported into the low-k.

However, the second ramp enabled the measurement of the

change in conduction in the interconnect device due to the

accumulation of defects in the dielectric film. When the

applied ramp polarity was reversed, one was able to switch

the direction of drift for charged mobile defects and reiniti-

ate the transport process as described by Borja et al.17,18 It

must be mentioned that under reversed bias sweep, addi-

tional ions from the cathode might be able drift into the

dielectric and participate on charge trapping events. The am-

plitude of the waveform was selected to be much lower than

the mean value for breakdown voltage under ramp field

stress. The frequency used in this experiment was roughly

equal to 1.39 � 10�4 Hz. The use of slow frequencies pro-

vided enough time for slow moving species to accumulate in

significant amounts, thus generating a measurable change in

leakage trends between consecutive ramps. Figure 1(a)

shows the structure employed in the study while Fig. 1(b)

shows the waveform applied to devices. The stress cycle is

defined as a set of ramps with identical polarity.

III. RESULTS AND DISCUSSION

The measurement of charge fluence between consecutive

ramps of similar polarity results in the direct observation of

the charge that is trapped in defects. Charge carrier fluence

is defined as Q ¼Ð tf

0J dt. The theoretical explanation for the

change in charge fluence is that accumulated defects serve as

trapping centers for charge carriers. As electrons encounter

such regions they engage in collisions that lead to scattering

and temporary confinement. Wolters and Van Der Schoot16

predict that the effect on leakage by such interactions is

051508-2 Borja et al.: Detection of charge carrier confinement into mobile ionic defects 051508-2

J. Vac. Sci. Technol. A, Vol. 32, No. 5, Sep/Oct 2014

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 4: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

dictated by lnðJ=J0Þ ¼ ðEapp=E0Þ–ðN�xq=E0ee0Þ. Here, �x is

equal to the Debye length, �x ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffieedKbT=ðNq2Þ

p. In this

case, N is equal to the concentration of static-intrinsic (Nint)

and mobile (Nmob) defects inside the dielectric. Static-

intrinsic defects are regions in the dielectric matrix that have

been damaged due to the flow of electrons across the mate-

rial (e.g., dislocated bonds). Mobile defects can be ions, neu-

tral species, and hydrogen vacancies. We assume that Nint

varies slightly across the short duration of the experiment.

For prolonged stress, this assumption might not be applica-

ble. During BTS, mobile defects (Nmob) accumulate in the

low-k, thus increasing N and directly impacting ln(J/J0).

Increasing the value of N would yield a negative shift in the

ordinate for the linear trend in ln(J/J0). The shift in leakage

can be experimentally measured and is displayed in Fig. 2.

The area between leakage profiles yields the change in

charge fluence (DQ), where DQ ¼Ð tt

0jJ2 � J1jdt. The con-

centration of trapped charge carriers is defined as DQ=q.

Figure 2(a) shows the change in current leakage between

consecutive ramps for the first stress cycle. Figure 2(b)

shows the change in current leakage for the final cycle. The

shift in leakage (J1 vs J2) and the reversibility of the process

shown in Fig. 2 suggests that charged mobile defects (e.g.,

ions) could be responsible for the presented phenomena

rather than intrinsic (e.g., traps) and neutral defects.

Variables and constants are summarized in Table I.

Chen et al.21,22 have recently shown that the migration of

Cu ions can significantly accelerate failure in advanced inter-

connect devices. Chen et al.21,22 used interconnect devices

with and without liners to demonstrate the impact that Cu

ion transport has in dielectric breakdown. Liners are primar-

ily utilized for their transport barrier properties, but they also

play a significant role at improving adhesion between dielec-

tric and metal interfaces.21,22 Triangular voltage sweep

measurements confirm that Cu transport persists even in the

presence of liners. Nonetheless, structures with a liner outper-

formed liner-free devices by almost seven orders of magnitude

in TDDB tests.21,22 Lam et al.15 argue that ions from the liner

can also drift during BTS. Ta ions were found to migrate in

large quantities into the low-k. However, no amount of Cu was

detected after extensive BTS at the metal/dielectric interface.15

Failure to observe Cu drift by Lam et al.15 can be argued to

originate from the lack of sensitivity by energy-dispersive

X-ray spectroscopy required to resolve the small concentra-

tions (<0.08 wt. %) that would exist in the matrix.

Chen et al.21,22 show significant differences between I-V

characteristics for Cu interconnects with and without a bar-

rier. The leakage current for structures with liner is much

higher than liner free devices. Chen et al.21,22 argue that this

is due to electron conduction being limited by the bulk low-kin liner free interconnects. An alternative hypothesis can be

generated based on the present framework. We attribute

FIG. 1. (Color online) Geometry of interconnect structure along with waveform for applied field.

FIG. 2. Leakage profiles for consecutive ramps for initial (a) and final (b) stress cycles. Curves are displayed for profiles collected under equivalent

polarities.

051508-3 Borja et al.: Detection of charge carrier confinement into mobile ionic defects 051508-3

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 5: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

observations by Chen et al.21,22 to the excess concentration

of mobile charged defects in liner free structures compared

to devices employing liners. Figure 2 provides indication

that carrier confinement into mobile defects might be an

inhibited process. Over time, the difference between consec-

utive current profiles decreases, thus hinting at a self-limited

mechanism.

The concentration of trapped charge carriers (DQ=q) over

six complete stress cycles is shown in Fig. 3 for a broad range

of temperatures using two distinct low-k materials. Charge

carrier confinement into mobile defects has a strong depend-

ence on temperature. When temperature is increased, the dif-

fusivity of species is enhanced ðD ¼ D0 � exp ð�Ea=kBTÞÞ.This results in a higher mobility for defects as well as an

increase in the concentration of mobile defects, ensuing addi-

tional trapping of charge carriers. This effect is clearly

observed in Fig. 3 for ILD-1 and ILD-2. Upon extensive BTS,

little difference is observed for the leakage traces between

successive ramps independent of temperature or ILD material.

Curves in Fig. 3 reach an asymptote after extensive BTS,

which depicts inability to maintain the initial extent of charge

trapping. Charge trapping normally decreases by almost 30

times its initial value after the ninth stress cycle.

Assuming that the trapping of electrons follows the

Arrhenius relation, one could use the change in charge flu-

ence ðDQÞ in the expression lnðDQÞ ¼ K � Ea=kBT to

extract the activation energy, Ea. An estimate of the activa-

tion energy in three different ILD materials is shown in

Fig. 4. Activation energies range from 0.21 to 0.25 eV based

on the values from the slopes. These activation energies are

significantly smaller than the barrier height for traps in low-ksystems reported by Atkin et al.11 (1.2 eV) and Gischia

et al.23 (1.05–1.17 eV).

The self-limited nature of the process concurs with the

increase in the activation energy overtime shown in Fig. 5.

The activation energies for each of the ILD materials studied

increased after the first 2 h of stress by 26–57%. Noting that

ions are the most likely cause for changes in charge carrier

fluence, it is of interest to understand which features of ionic

transport are responsible for the increase in activation energy.

Inhibition could be caused by reduction reactions depleting

the concentrations of ions in the dielectric. Alternatively, the

local field created by distributed ions could grow to a signifi-

cant extent and counteract the applied stress, thus limiting

ionic drift within the low-k. The initial hypothesis was stud-

ied by performing tests on previously stressed structures after

one of two intermediate treatments. One treatment consisted

of placing biased samples with broken edge seals in a humid-

ity chamber for 60 h. The edge seal serves to prevent mois-

ture from entering the interconnect device. Moisture was

expected to drive additional oxidation of the metallic species,

thus increasing the concentration of ions. A change in capaci-

tance (19%) confirms that moisture penetrated the device

FIG. 3. Trapped charge carrier concentrations (DQ) for various temperatures in ILD-1 (a) and ILD-2 (b).

FIG. 4. Arrhenius plot for trapped charge carrier concentration (DQ) vs

1000/T. Curves were generated using data from initial stresses depicted in

Fig. 3.

TABLE I. Nomenclature.

Symbol Meaning

�x Debye length

e Dielectric constant

e0 Permittivity of vacuum

Kb Boltzmann constant

T Temperature

q Elementary charge

N Total trap concentration

Eapp Applied field

J0 Leakage at Eapp¼ 0

E0 J(E0)/J0¼ e

051508-4 Borja et al.: Detection of charge carrier confinement into mobile ionic defects 051508-4

J. Vac. Sci. Technol. A, Vol. 32, No. 5, Sep/Oct 2014

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 6: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

during incubation. Figure 6(a) shows the trapped charge car-

rier profiles for structures incubated in the humidity chamber.

The trends observed in the as-fabricated samples were not

recovered. Consequently, a reduction of ionic species is not

the primary source for the inhibition of charge trapping into

ionic centers. For the second treatment, built-in fields in pre-

viously biased samples were allowed to relax by placing sam-

ples in an oven at 100 �C for 24 h. Figure 6(b) shows the

trapped charge carrier concentration for samples incubated at

100 �C poststress. The profiles in Fig. 6(b) show no apparent

recovery of the dynamics witnessed in as-fabricated devices.

This indicates that built-in fields created by the distribution

of ions in the low-k are permanent, thus providing the insula-

tor with the means to store information about previously

applied stresses.

In order to investigate the effect of permanent local fields

on ionic transport and charge carrier trapping, we opted to

use the model by Borja et al.17,18 modified for dual-ramped,

bipolar applied fields. The model was used to verify whether

local induced electric fields can limit ionic drift within the

dielectric by counteracting an externally applied electric

field. Figure 7 shows simulated transient profiles for the elec-

tric field at the cathode. Inspection of the profiles in Fig. 7

suggests that over time, the build-up of ions from moving

fronts near the anode and cathode can generate local electric

fields that reduce the effect of an externally applied stress.

Therefore, inhibition of the mechanism occurs because the

ability to direct ionic drift by applying an external field is

limited by the presence of a strong internal field after exten-

sive BTS. The local field obeys Gauss’s law and therefore is

linked to accumulation of charged species. The accumulation

of ionic species can catalyze dielectric breakdown by creat-

ing a conduction path across the low-k film and consecu-

tively augmenting the local electric field responsible for

enabling trap-assisted Fowler–Nordheim tunneling.

The improved model assumes that ions originate from a de-

fective interface in the liner and can be injected into the dielec-

tric by convective and diffusive transport. The ions under

discussion could be Cu from the interconnect itself as

described by Chen et al.21,22 or residues from the liner as

argued by Lam et al.15 Further characterization would be

needed to identify their elemental nature. Nonetheless, simula-

tions can be developed in general terms by assuming a single

ionic specie. Based on this argument, one can state that mobile

defects are mostly ionic in nature; therefore, Nmob¼Nion. The

concentration of ions inside the dielectric is given by Eq. (1).

Here, a represents the resistance to ionic transport near the

liner/dielectric interface, and D and l are ionic diffusivity and

mobility, respectively. Field directed transport is contained in

the term lNiondV=dx, where mobility is related to D by the

Einstein relation, l ¼ Dq=KbT. The reversal of the field polar-

ity directly affects the convective term

dNion=dt¼r�ðDð1þa=ðKbTÞÞdNion=dxþlNion dV=dxÞ;(1)

d2V=dx2 ¼ �qNion=ee0: (2)

The local electric field is given by Poisson’s equation, Eq.

(2). It is assumed that there is no initial contamination in the

FIG. 6. Trapped charge carrier concentration on fresh and poststress treated

samples. (a) Samples incubated in humidity chamber for 60 h. (b) Samples

incubated at 100 �C for 24 h.

FIG. 7. Simulations showing the change in local electric field at the cathode

during application of the double-ramp bipolar applied field. Nint¼ 1.2 �1025 traps/m3, D0¼ 0.2 � 1013 m2/s, T¼ 175 �C.

FIG. 5. Transient change in activation energy as a function of stress time.

Data (DQ vs 1000/T) has been taken for each cycle in Fig. 3.

051508-5 Borja et al.: Detection of charge carrier confinement into mobile ionic defects 051508-5

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07

Page 7: Detection of charge carrier confinement into mobile ionic defects in nanoporous dielectric films for advanced interconnects

dielectric films. In addition, the concentration of ions avail-

able for transport is taken as their solubility Ce. At the cath-

ode, ions are expected to accumulate in the dielectric/liner

interface. The resulting boundary conditions are Nionð0;tÞ¼N0, Jð1;tÞ¼0, Vð0;tÞ¼VappðtÞ, and Vð1;tÞ¼0. Here, J is

the ionic flux at the cathode while Vapp is the applied voltage

shown in Fig. 1(b). The leakage profiles shown in Fig. 2 can

be reproduced by merging the present model with

lnðJ=J0Þ¼E=E0þN �xq=E0ee0, where N is equal to the total

concentration of ions and intrinsic defects (N¼NintþNions).

Here, Nint is assumed to be constant based on the mild condi-

tions for stress and test time.

Correlation of measured leakage values with the model is

possible by bridging ionic transport [Eqs. (1) and (2)] with the

expression for lnðJ=J0Þ. The correlation between the model

and measured leakage profiles for the first and second ramp in

the stress cycle is shown in Fig. 8. The shift in ordinate

observed in measured trends is associated with an increase in

the concentration of ions at the cathode, near the end of the

first ramp and at the onset of the second ramp. The model is

capable of replicating the measured leakage and the shift in

current associated with the accumulation of ions across the

low-k. Nonlinear regions in the inset graph at low field cannot

be replicated due to the complicated nature of transport mech-

anism in this domain. Similarly, it is unclear what generates

the nonlinear trends at high fields. Conduction mechanisms

such as trap-assisted Fowler–Nordheim tunneling might be re-

sponsible for these nonlinear dynamics. The values used for

describing Cu transport are closely related to estimates pre-

sented by Borja et al.17,18

IV. CONCLUSIONS

The trapping of electrons into ionic centers was investi-

gated by measuring the changes in leakage profiles between

sequential applied ramp fields. Measurements indicated that

the accumulation of ions results in a lowering of leakage cur-

rent primarily due to the trapping of charge carriers.

Measurements indicate that the trapping of charge carriers

into ionic species has an apparent activation energy of

0.21–0.25 eV. The reversibility of the mechanism leading to

the confinement of charge carriers by ions is inhibited by the

inability to direct ionic drift by the application of an external

field. Simulations suggest that the accumulation of charge

inside the dielectric field counteracts the transport effects

from externally applied fields. Hence, ionic transport cata-

lyzes dielectric breakdown by simultaneously creating a con-

duction path across the dielectric and by augmenting the

local electric fields responsible for enabling trap-assisted

Fowler–Nordheim tunneling.

ACKNOWLEDGMENT

The authors acknowledge Christian Witt

(GLOBALFOUNDRIES) for his insightful comments and

great discussion sessions.

1J. D. Meindl, Q. Chen, and J. A. Davis, Science 293, 2044 (2001).2D. E. Nikonov and I. A. Young, Proc. IEEE 101, 2498 (2013).3S. W. King, H. Simka, D. Herr, H. Akinaga, and M. Garner, APL Mater.

1, 040701 (2013).4A. Ceyhan and A. Naeemi, IEEE Trans. Electron Devices 60, 374 (2013).5M. Kantardzic, Data Mining: Concepts, Models, Methods, and Algorithms(John Wiley and Sons, NJ, 2011), p. 200.

6E. McQuinn, P. Datta, M. D. Flickner, W. P. Risk, and D. S. Modha,

Science 339, 512 (2013).7L. Nyberg, M. L€ovd�en, K. Riklund, U. Lindenberger, and L. B€ackman,

Trends Cognit. Sci. 16, 292 (2012).8A. Grill, S. M. Gates, T. E. Ryan, S. V. Nguyen, and D. Priyadarshini,

Appl. Phys. Rev. 1, 011306 (2014).9M. R. Baklanov, J. F. de Marneffe, D. Shamiryan, A. Urbanowicz, H. Shi,

T. V. Rakhimova, H. Huang, and P. S. Ho, J. Appl. Phys. 113, 041101

(2013).10K. Maex, M. R. Baklanov, D. Shamiryan, S. H. Brongersma, and Z. S.

Yanovitskaya, J. Appl. Phys. 93, 8793 (2003).11J. M. Atkin, T. M. Shaw, E. Liniger, R. B. Laibowitz, and T. F. Heinz,

Proceedings of the International Reliability Physics Symposium (IEEE,

New York, 2012), p. BD.1.1.12G. S. Haase, J. Appl. Phys. 105, 044908 (2009).13A. Raja, T. M. Shaw, A. Grill, R. B. Laibowitz, and T. F. Heinz, APS

March Meet. Bull. 58, 23004 (2013).14J. W. McPherson, Microelectron. Reliab. 52, 1753 (2012).15J. C. Lam, M. Y. Huang, T. Hau Ng, M. K. Dawood, F. Zhang, A. Du, H.

Sun, Z. Shen, and Z. Mai, Appl. Phys. Lett. 102, 022908 (2013).16D. R. Wolters and J. J. Van Der Schoot, J. Appl. Phys. 58, 831 (1985).17J. Borja, J. L. Plawsky, T.-M. Lu, and W. N. Gill, IEEE Trans. Electron

Devices 59, 1745 (2012).18J. Borja, J. L. Plawsky, T.-M. Lu, and W. N. Gill, J. Appl. Phys. 113,

034104 (2013).19J. Gambino, F. Chen, and J. He, Proceedings of the Custom Integrated

Circuits Conference (IEEE, Michigan, 2009), p. 141.20S. V. Nguyen et al., ECS Trans. 41, 3 (2012).21F. Chen et al., Proceedings of the International Reliability Physics

Symposium (IEEE, New York, 2012), p. 3A-3.22F. Chen and M. A. Shinosky, Microelectron. Reliab. 54, 529 (2014).23G. G. Gischia, K. Croes, G. Groeseneken, Z. Tokei, V. Afanasev, and L.

Zhao, Proceedings of the International Reliability Physics Symposium(IEEE, New York, 2010), p. 549.

FIG. 8. Model correlation to measured leakage for consecutive ramps.

Nint¼ 1.2 � 1025 traps/m3, Nion¼ 7.5 � 1025 ion/m3, D0¼ 0.2 � 1013 m2/s,

T¼ 175 �C.

051508-6 Borja et al.: Detection of charge carrier confinement into mobile ionic defects 051508-6

J. Vac. Sci. Technol. A, Vol. 32, No. 5, Sep/Oct 2014

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 84.88.136.149 On: Fri, 19 Dec 2014 08:54:07