36
Curriculum Vitæ Marco D. Santambrogio Contents 1 PERSONAL DATA 2 1.1 General data ....................................... 2 1.2 Publications ........................................ 2 1.3 Academic positions and affiliations ........................... 2 1.4 Education ......................................... 3 1.5 Visiting periods ...................................... 3 1.6 Service Activities ..................................... 4 2 SCIENTIFIC ACTIVITIES 5 2.0.1 International Conferences and Journal Organization ............. 5 2.0.2 Steering Committee ............................... 5 2.0.3 General Chair ................................... 6 2.0.4 Program Chair .................................. 6 2.0.5 Program Vice-Chair ............................... 6 2.0.6 Topic Chair .................................... 6 2.0.7 Topic Co-Chair .................................. 6 2.0.8 Track Chair .................................... 6 2.0.9 Workshop Organizer ............................... 6 2.0.10 Program Committee ............................... 7 2.1 Program committee, conference organization and revision activities ......... 8 2.1.1 International Workshops Organization ..................... 8 2.1.2 National Workshops and Seminars Organization ............... 8 2.1.3 Revision activities ................................ 9 2.2 Invited talks and Panelists ................................ 10 3 TEACHING ACTIVITIES 12 3.1 Courses .......................................... 12 3.2 Teaching Assistantships ................................. 12 3.3 Theses Supervision .................................... 13 4 AWARDS AND RESEARCH GRANTS 15 4.1 Awards .......................................... 15 4.2 Research Grants: ..................................... 15 5 PUBLICATIONS 17 1

Curriculum Vitˆ - Intranet DEIBhome.deib.polimi.it/santambr/doc/CVSantambrogio.pdf · 2017-05-27 · Curriculum Vitˆ Marco D. Santambrogio ... { EURASIP Journal on Embedded Systems

Embed Size (px)

Citation preview

Curriculum Vitaelig

Marco D Santambrogio

Contents

1 PERSONAL DATA 211 General data 212 Publications 213 Academic positions and affiliations 214 Education 315 Visiting periods 316 Service Activities 4

2 SCIENTIFIC ACTIVITIES 5201 International Conferences and Journal Organization 5202 Steering Committee 5203 General Chair 6204 Program Chair 6205 Program Vice-Chair 6206 Topic Chair 6207 Topic Co-Chair 6208 Track Chair 6209 Workshop Organizer 62010 Program Committee 7

21 Program committee conference organization and revision activities 8211 International Workshops Organization 8212 National Workshops and Seminars Organization 8213 Revision activities 9

22 Invited talks and Panelists 10

3 TEACHING ACTIVITIES 1231 Courses 1232 Teaching Assistantships 1233 Theses Supervision 13

4 AWARDS AND RESEARCH GRANTS 1541 Awards 1542 Research Grants 15

5 PUBLICATIONS 17

1

1 PERSONAL DATA

11 General data

Name Marco Domenico

Surname Santambrogio

Date of birth November 4 1977

Place of birth Monza (MB) - Italy

Citizenship Italian

Marital status Married

Office PoliMi Dipartimento di Elettronica e InformazionePolitecnico di MilanoVia G Ponzio 345Milano Italy 20133

Email marcosantambrogiopolimiitOffice phone +39 02 2399 4012Office Fax +39 02 2399 3411

12 Publications

bull SCOPUS Author ID 11540913800 - httpswwwscopuscomauthiddetailuriauthorId=11540913800 [ h-index 20 April 2017]

bull ORCID 0000-0002-9883-9693 - httpsorcidorg0000-0002-9883-9693

bull Google Schoolar httpsscholargooglecomcitationsuser=Dr2SsKIAAAAJ [h-index29 i10-index 69 April 2017]

bull DBLP httpdblpuni-trierdepershdsSantambrogioMarco_D=html

bull Research Gate httpswwwresearchgatenetprofileMarco_Santambrogio

13 Academic positions and affiliations

bull Academic positions

Since 22011 Assistant Professor (with tenure) at the Dipartimento di Elettronica ed Informazioneof Politecnico di Milano (Milano Italy)

Since 122009 Adjunct Professor in the College of Engineering of University of Illinois at Chicago(Chicago Illinois USA)

032010 - 032015 Research Affiliate at Massachusetts Institute of Technology - Computer Science andArtificial Intelligence Laboratory (Cambridge MA - USA)

112009 - 12011 PostdocResearch Assistant at the Dipartimento di Elettronica ed Informazione of Po-litecnico di Milano (Milano Italy)

022009 - 022010 Postdoc Fellow (research Self-Aware Computing Systems) at Massachusetts Instituteof Technology - Computer Science and Artificial Intelligence Laboratory (CambridgeMA - USA)

072008 - 102009 Research Assistant (research program Metodologie di progetto di sistemi informaticihardware e software (Design methodologies for hardware and software IT systems))at the Dipartimento di Elettronica ed Informazione of Politecnico di Milano (MilanoItaly)

2

032008-072008 Research Assistant (research program Analisi e definizione di possibili scenari applica-tivi di nuovi sistemi riconfigurabili (Analysis and definition of applicative scenarios fornovel reconfigurable technologies)) at the Dipartimento di Elettronica ed Informazioneof Politecnico di Milano (Milano Italy)

032005-022008 PhD Student in the Computer Engineering and Automation program at the Diparti-mento di Elettronica ed Informazione of Politecnico di Milano (Milano Italy)

112004-032005 Research Assistant (research program Definizione di un flusso di design per sistemiriconfigurabili basati su FPGA della Xilinx (Definition of a novel design flow forXilinx FPGA-based reconfigurable systems)) at the Dipartimento di Elettronica edInformazione of Politecnico di Milano (Milano Italy)

bull Affiliations

Since 2013 Senior Member of the Association for Computing Machinery (ACM)

Since 2011 Senior Member of the Institute of Electrical and Electronic Engineers (IEEE)

Since 2009 Member of the Italian Scientists and Scholars of North America Foundation (ISSNAF)

Since 2008 Member of HiPEAC Reconfigurable Computing Cluster

Since 2008 Member of HipEAC European Network of Excellence on High Performance and Em-bedded Architecture and Compilation

Since 2008 Member of the Association for Computing Machinery (ACM)

Since 2008 Member of the IEEE Circuits and Systems Society (CAS)

Since 2008 Member of the IEEE Computer Society (CS)

Since 2005 Member of the Institute of Electrical and Electronic Engineers (IEEE)

14 Education

15 May 2008 PhD in Information EngineeringDIPARTIMENTO DI ELETTRONICA ED INFORMAZIONE POLITECNICO DI MI-LANOPhD Thesis HardwareSoftware codesign methodologies for dynamically reconfigurable sys-temsAdvisor Prof D Sciuto Tutor Prof F Ferrandi

June 2004 Master of Science in Computer ScienceUNIVERSITY OF ILLINOIS AT CHICAGO CHICAGO ILLINOIS USAMaster Thesis Dynamic Reconfigurability in Embedded System Design A Model for theDynamic ReconfigurationAdvisor Prof John Lillis

April 2004 Laurea (MSc equivalent) in Computer EngineeringPOLITECNICO DI MILANOThesis A Methodology for Dynamic Reconfigurability in Embedded System DesignAdvisor Prof D Sciuto

15 Visiting periods

bull Postdoc Fellow Massachusetts Institute of Technology February 2009 - February 2010

bull Visiting researcher Heinz Nixdorf Institute January 2009

bull Invited researcher Northwestern University April - May 2007

bull Invited researcher Northwestern University February - June 2006

bull Visiting researcher Heinz Nixdorf Institute January 2006

bull MSc Student University of Illinois at Chicago 2002

3

16 Service Activities

bull Politecnico di Milano

Since 2017 Member of the organizing committee of the Innovation in Teaching Activities Initiativefor DEIB

Since 2013 Member of the organizing committee of the OpenHouse event (Information TechnologySection)

Since 2010 Organizer of the OpenDay event on behalf of the DEIB Information Technology Section

2008 - 2012 Founder and head of the PhDEI Association

Since 2011 Founder and head of the Rocca Fellows community

072005 - 122006 Head of the ICT area and member of the managing board of ISF-MI Association

July 2005 Co-founder of Engineer Without Border Milano (Ingegneria Senza Frontiere ISF-MI)

bull Others

Since 2016 Member of the IEEE CS Member amp Geographic Activities Board

Since 2016 Chair of the IEEE CS ARC Larson Paper Prize

Since Oct 2015 Vice-Chair of the IEEE Italian Chapter of the Computer Society

Since 2013 Member of the IEEE Italy Section as Student Activities Coordinator

2012 - 2015 Member of the IEEE CS Student Awards Committee (SAC)

Since 2012 Member of the IEEE CS Awards and Recognition Committee (ARC) - httpswwwcomputerorgwebchaptersmga-board

2009 - 2012 Member of the MIT Postdoctoral Advisory Council

2009 - 2012 Member of the National Postdoctoral Association (NAP)

012009 Co-founder and president of the iDRESD Association

012001- 042001 Head of the IT area for Caritas Ambrosiana and CeLIM of two humanitarian missionsin Kosovo (Jacova e Pristina)

022000 - 122000 Civil service at CeLIM NGO

4

2 SCIENTIFIC ACTIVITIES

201 International Conferences and Journal Organization

bull Editorial advisory board members

ndash Title Elsevier Editorial Board of Big Data Research Editor of the area Cyber-PhysicalSystemsEditor ElsevierReferences httpwwwjournalselseviercombig-data-researcheditorial-board FromDec 17 2013

bull Journal Associate Editor

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the22nd Reconfigurable Architectures Workshop (RAW 2014)

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the10th International Symposium on Reconfigurable Computing Architectures Tools andApplications (ARC 2014)

ndash Elsevier Journal on Future Generation Computer Systems (FGCS) - Special issue on the10th International Conference on Embedded and Ubiquitous Computing (EUC 2012)(special session title Multicore and Many-core Architectures for Future GenerationEmbedded)

bull Journal Guest Editor

ndash Microprocessor and Microsystems Journal Special IssueTitle Embedded Multicore Systems Architecture Performance and ApplicationEditor ElsevierGuest editors M Chang PA Hsiung M D Santambrogio W H LeeReferences httpwwwelsevierscitechcomcfpCall for papers Multicore Embeddedpdf

ndash EURASIP Journal of Reconfigurable ComutingTitle Self-Awareness in Reconfigurable Computing SystemsEditor Hindawi Publishing CorporationGuest editors T Becker M D Santambrogio M Happe M PlatznerReferences httpwwwhindawicomjournalsijrcsi807910cfp

ndash International Journal on Reconfigurable Computing Special IssueTitle Selected Papers from the International Conference on Reconfigurable Computingand FPGAs (ReConFigrsquo10)Editor Hindawi Publishing Corporation

ndash Journal of Systems ArchitectureTitle Design Flows and System Architectures for Adaptive Computing on Reconfig-urable PlatformsEditor ElsevierGuest editors M D Santambrogio I BravoReferences httpwwwelseviercomincapublicationsmiscJSASI-AdaptiveComputingTrendspdf

ndash EURASIP Journal on Embedded SystemsTitle Reconfigurable computing and hardwaresoftware codesignEditor Hindawi Publishing CorporationGuest editors T Plaks M D Santambrogio D SciutoReferences wwwhindawicomjournalsessirccpdfwwwhindawicomjournalsesraa731830html

202 Steering Committee

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2017

bull Embedded Operating System Workshop (EWiLi) 2016 2017

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2014 2015

5

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

1 PERSONAL DATA

11 General data

Name Marco Domenico

Surname Santambrogio

Date of birth November 4 1977

Place of birth Monza (MB) - Italy

Citizenship Italian

Marital status Married

Office PoliMi Dipartimento di Elettronica e InformazionePolitecnico di MilanoVia G Ponzio 345Milano Italy 20133

Email marcosantambrogiopolimiitOffice phone +39 02 2399 4012Office Fax +39 02 2399 3411

12 Publications

bull SCOPUS Author ID 11540913800 - httpswwwscopuscomauthiddetailuriauthorId=11540913800 [ h-index 20 April 2017]

bull ORCID 0000-0002-9883-9693 - httpsorcidorg0000-0002-9883-9693

bull Google Schoolar httpsscholargooglecomcitationsuser=Dr2SsKIAAAAJ [h-index29 i10-index 69 April 2017]

bull DBLP httpdblpuni-trierdepershdsSantambrogioMarco_D=html

bull Research Gate httpswwwresearchgatenetprofileMarco_Santambrogio

13 Academic positions and affiliations

bull Academic positions

Since 22011 Assistant Professor (with tenure) at the Dipartimento di Elettronica ed Informazioneof Politecnico di Milano (Milano Italy)

Since 122009 Adjunct Professor in the College of Engineering of University of Illinois at Chicago(Chicago Illinois USA)

032010 - 032015 Research Affiliate at Massachusetts Institute of Technology - Computer Science andArtificial Intelligence Laboratory (Cambridge MA - USA)

112009 - 12011 PostdocResearch Assistant at the Dipartimento di Elettronica ed Informazione of Po-litecnico di Milano (Milano Italy)

022009 - 022010 Postdoc Fellow (research Self-Aware Computing Systems) at Massachusetts Instituteof Technology - Computer Science and Artificial Intelligence Laboratory (CambridgeMA - USA)

072008 - 102009 Research Assistant (research program Metodologie di progetto di sistemi informaticihardware e software (Design methodologies for hardware and software IT systems))at the Dipartimento di Elettronica ed Informazione of Politecnico di Milano (MilanoItaly)

2

032008-072008 Research Assistant (research program Analisi e definizione di possibili scenari applica-tivi di nuovi sistemi riconfigurabili (Analysis and definition of applicative scenarios fornovel reconfigurable technologies)) at the Dipartimento di Elettronica ed Informazioneof Politecnico di Milano (Milano Italy)

032005-022008 PhD Student in the Computer Engineering and Automation program at the Diparti-mento di Elettronica ed Informazione of Politecnico di Milano (Milano Italy)

112004-032005 Research Assistant (research program Definizione di un flusso di design per sistemiriconfigurabili basati su FPGA della Xilinx (Definition of a novel design flow forXilinx FPGA-based reconfigurable systems)) at the Dipartimento di Elettronica edInformazione of Politecnico di Milano (Milano Italy)

bull Affiliations

Since 2013 Senior Member of the Association for Computing Machinery (ACM)

Since 2011 Senior Member of the Institute of Electrical and Electronic Engineers (IEEE)

Since 2009 Member of the Italian Scientists and Scholars of North America Foundation (ISSNAF)

Since 2008 Member of HiPEAC Reconfigurable Computing Cluster

Since 2008 Member of HipEAC European Network of Excellence on High Performance and Em-bedded Architecture and Compilation

Since 2008 Member of the Association for Computing Machinery (ACM)

Since 2008 Member of the IEEE Circuits and Systems Society (CAS)

Since 2008 Member of the IEEE Computer Society (CS)

Since 2005 Member of the Institute of Electrical and Electronic Engineers (IEEE)

14 Education

15 May 2008 PhD in Information EngineeringDIPARTIMENTO DI ELETTRONICA ED INFORMAZIONE POLITECNICO DI MI-LANOPhD Thesis HardwareSoftware codesign methodologies for dynamically reconfigurable sys-temsAdvisor Prof D Sciuto Tutor Prof F Ferrandi

June 2004 Master of Science in Computer ScienceUNIVERSITY OF ILLINOIS AT CHICAGO CHICAGO ILLINOIS USAMaster Thesis Dynamic Reconfigurability in Embedded System Design A Model for theDynamic ReconfigurationAdvisor Prof John Lillis

April 2004 Laurea (MSc equivalent) in Computer EngineeringPOLITECNICO DI MILANOThesis A Methodology for Dynamic Reconfigurability in Embedded System DesignAdvisor Prof D Sciuto

15 Visiting periods

bull Postdoc Fellow Massachusetts Institute of Technology February 2009 - February 2010

bull Visiting researcher Heinz Nixdorf Institute January 2009

bull Invited researcher Northwestern University April - May 2007

bull Invited researcher Northwestern University February - June 2006

bull Visiting researcher Heinz Nixdorf Institute January 2006

bull MSc Student University of Illinois at Chicago 2002

3

16 Service Activities

bull Politecnico di Milano

Since 2017 Member of the organizing committee of the Innovation in Teaching Activities Initiativefor DEIB

Since 2013 Member of the organizing committee of the OpenHouse event (Information TechnologySection)

Since 2010 Organizer of the OpenDay event on behalf of the DEIB Information Technology Section

2008 - 2012 Founder and head of the PhDEI Association

Since 2011 Founder and head of the Rocca Fellows community

072005 - 122006 Head of the ICT area and member of the managing board of ISF-MI Association

July 2005 Co-founder of Engineer Without Border Milano (Ingegneria Senza Frontiere ISF-MI)

bull Others

Since 2016 Member of the IEEE CS Member amp Geographic Activities Board

Since 2016 Chair of the IEEE CS ARC Larson Paper Prize

Since Oct 2015 Vice-Chair of the IEEE Italian Chapter of the Computer Society

Since 2013 Member of the IEEE Italy Section as Student Activities Coordinator

2012 - 2015 Member of the IEEE CS Student Awards Committee (SAC)

Since 2012 Member of the IEEE CS Awards and Recognition Committee (ARC) - httpswwwcomputerorgwebchaptersmga-board

2009 - 2012 Member of the MIT Postdoctoral Advisory Council

2009 - 2012 Member of the National Postdoctoral Association (NAP)

012009 Co-founder and president of the iDRESD Association

012001- 042001 Head of the IT area for Caritas Ambrosiana and CeLIM of two humanitarian missionsin Kosovo (Jacova e Pristina)

022000 - 122000 Civil service at CeLIM NGO

4

2 SCIENTIFIC ACTIVITIES

201 International Conferences and Journal Organization

bull Editorial advisory board members

ndash Title Elsevier Editorial Board of Big Data Research Editor of the area Cyber-PhysicalSystemsEditor ElsevierReferences httpwwwjournalselseviercombig-data-researcheditorial-board FromDec 17 2013

bull Journal Associate Editor

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the22nd Reconfigurable Architectures Workshop (RAW 2014)

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the10th International Symposium on Reconfigurable Computing Architectures Tools andApplications (ARC 2014)

ndash Elsevier Journal on Future Generation Computer Systems (FGCS) - Special issue on the10th International Conference on Embedded and Ubiquitous Computing (EUC 2012)(special session title Multicore and Many-core Architectures for Future GenerationEmbedded)

bull Journal Guest Editor

ndash Microprocessor and Microsystems Journal Special IssueTitle Embedded Multicore Systems Architecture Performance and ApplicationEditor ElsevierGuest editors M Chang PA Hsiung M D Santambrogio W H LeeReferences httpwwwelsevierscitechcomcfpCall for papers Multicore Embeddedpdf

ndash EURASIP Journal of Reconfigurable ComutingTitle Self-Awareness in Reconfigurable Computing SystemsEditor Hindawi Publishing CorporationGuest editors T Becker M D Santambrogio M Happe M PlatznerReferences httpwwwhindawicomjournalsijrcsi807910cfp

ndash International Journal on Reconfigurable Computing Special IssueTitle Selected Papers from the International Conference on Reconfigurable Computingand FPGAs (ReConFigrsquo10)Editor Hindawi Publishing Corporation

ndash Journal of Systems ArchitectureTitle Design Flows and System Architectures for Adaptive Computing on Reconfig-urable PlatformsEditor ElsevierGuest editors M D Santambrogio I BravoReferences httpwwwelseviercomincapublicationsmiscJSASI-AdaptiveComputingTrendspdf

ndash EURASIP Journal on Embedded SystemsTitle Reconfigurable computing and hardwaresoftware codesignEditor Hindawi Publishing CorporationGuest editors T Plaks M D Santambrogio D SciutoReferences wwwhindawicomjournalsessirccpdfwwwhindawicomjournalsesraa731830html

202 Steering Committee

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2017

bull Embedded Operating System Workshop (EWiLi) 2016 2017

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2014 2015

5

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

032008-072008 Research Assistant (research program Analisi e definizione di possibili scenari applica-tivi di nuovi sistemi riconfigurabili (Analysis and definition of applicative scenarios fornovel reconfigurable technologies)) at the Dipartimento di Elettronica ed Informazioneof Politecnico di Milano (Milano Italy)

032005-022008 PhD Student in the Computer Engineering and Automation program at the Diparti-mento di Elettronica ed Informazione of Politecnico di Milano (Milano Italy)

112004-032005 Research Assistant (research program Definizione di un flusso di design per sistemiriconfigurabili basati su FPGA della Xilinx (Definition of a novel design flow forXilinx FPGA-based reconfigurable systems)) at the Dipartimento di Elettronica edInformazione of Politecnico di Milano (Milano Italy)

bull Affiliations

Since 2013 Senior Member of the Association for Computing Machinery (ACM)

Since 2011 Senior Member of the Institute of Electrical and Electronic Engineers (IEEE)

Since 2009 Member of the Italian Scientists and Scholars of North America Foundation (ISSNAF)

Since 2008 Member of HiPEAC Reconfigurable Computing Cluster

Since 2008 Member of HipEAC European Network of Excellence on High Performance and Em-bedded Architecture and Compilation

Since 2008 Member of the Association for Computing Machinery (ACM)

Since 2008 Member of the IEEE Circuits and Systems Society (CAS)

Since 2008 Member of the IEEE Computer Society (CS)

Since 2005 Member of the Institute of Electrical and Electronic Engineers (IEEE)

14 Education

15 May 2008 PhD in Information EngineeringDIPARTIMENTO DI ELETTRONICA ED INFORMAZIONE POLITECNICO DI MI-LANOPhD Thesis HardwareSoftware codesign methodologies for dynamically reconfigurable sys-temsAdvisor Prof D Sciuto Tutor Prof F Ferrandi

June 2004 Master of Science in Computer ScienceUNIVERSITY OF ILLINOIS AT CHICAGO CHICAGO ILLINOIS USAMaster Thesis Dynamic Reconfigurability in Embedded System Design A Model for theDynamic ReconfigurationAdvisor Prof John Lillis

April 2004 Laurea (MSc equivalent) in Computer EngineeringPOLITECNICO DI MILANOThesis A Methodology for Dynamic Reconfigurability in Embedded System DesignAdvisor Prof D Sciuto

15 Visiting periods

bull Postdoc Fellow Massachusetts Institute of Technology February 2009 - February 2010

bull Visiting researcher Heinz Nixdorf Institute January 2009

bull Invited researcher Northwestern University April - May 2007

bull Invited researcher Northwestern University February - June 2006

bull Visiting researcher Heinz Nixdorf Institute January 2006

bull MSc Student University of Illinois at Chicago 2002

3

16 Service Activities

bull Politecnico di Milano

Since 2017 Member of the organizing committee of the Innovation in Teaching Activities Initiativefor DEIB

Since 2013 Member of the organizing committee of the OpenHouse event (Information TechnologySection)

Since 2010 Organizer of the OpenDay event on behalf of the DEIB Information Technology Section

2008 - 2012 Founder and head of the PhDEI Association

Since 2011 Founder and head of the Rocca Fellows community

072005 - 122006 Head of the ICT area and member of the managing board of ISF-MI Association

July 2005 Co-founder of Engineer Without Border Milano (Ingegneria Senza Frontiere ISF-MI)

bull Others

Since 2016 Member of the IEEE CS Member amp Geographic Activities Board

Since 2016 Chair of the IEEE CS ARC Larson Paper Prize

Since Oct 2015 Vice-Chair of the IEEE Italian Chapter of the Computer Society

Since 2013 Member of the IEEE Italy Section as Student Activities Coordinator

2012 - 2015 Member of the IEEE CS Student Awards Committee (SAC)

Since 2012 Member of the IEEE CS Awards and Recognition Committee (ARC) - httpswwwcomputerorgwebchaptersmga-board

2009 - 2012 Member of the MIT Postdoctoral Advisory Council

2009 - 2012 Member of the National Postdoctoral Association (NAP)

012009 Co-founder and president of the iDRESD Association

012001- 042001 Head of the IT area for Caritas Ambrosiana and CeLIM of two humanitarian missionsin Kosovo (Jacova e Pristina)

022000 - 122000 Civil service at CeLIM NGO

4

2 SCIENTIFIC ACTIVITIES

201 International Conferences and Journal Organization

bull Editorial advisory board members

ndash Title Elsevier Editorial Board of Big Data Research Editor of the area Cyber-PhysicalSystemsEditor ElsevierReferences httpwwwjournalselseviercombig-data-researcheditorial-board FromDec 17 2013

bull Journal Associate Editor

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the22nd Reconfigurable Architectures Workshop (RAW 2014)

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the10th International Symposium on Reconfigurable Computing Architectures Tools andApplications (ARC 2014)

ndash Elsevier Journal on Future Generation Computer Systems (FGCS) - Special issue on the10th International Conference on Embedded and Ubiquitous Computing (EUC 2012)(special session title Multicore and Many-core Architectures for Future GenerationEmbedded)

bull Journal Guest Editor

ndash Microprocessor and Microsystems Journal Special IssueTitle Embedded Multicore Systems Architecture Performance and ApplicationEditor ElsevierGuest editors M Chang PA Hsiung M D Santambrogio W H LeeReferences httpwwwelsevierscitechcomcfpCall for papers Multicore Embeddedpdf

ndash EURASIP Journal of Reconfigurable ComutingTitle Self-Awareness in Reconfigurable Computing SystemsEditor Hindawi Publishing CorporationGuest editors T Becker M D Santambrogio M Happe M PlatznerReferences httpwwwhindawicomjournalsijrcsi807910cfp

ndash International Journal on Reconfigurable Computing Special IssueTitle Selected Papers from the International Conference on Reconfigurable Computingand FPGAs (ReConFigrsquo10)Editor Hindawi Publishing Corporation

ndash Journal of Systems ArchitectureTitle Design Flows and System Architectures for Adaptive Computing on Reconfig-urable PlatformsEditor ElsevierGuest editors M D Santambrogio I BravoReferences httpwwwelseviercomincapublicationsmiscJSASI-AdaptiveComputingTrendspdf

ndash EURASIP Journal on Embedded SystemsTitle Reconfigurable computing and hardwaresoftware codesignEditor Hindawi Publishing CorporationGuest editors T Plaks M D Santambrogio D SciutoReferences wwwhindawicomjournalsessirccpdfwwwhindawicomjournalsesraa731830html

202 Steering Committee

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2017

bull Embedded Operating System Workshop (EWiLi) 2016 2017

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2014 2015

5

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

16 Service Activities

bull Politecnico di Milano

Since 2017 Member of the organizing committee of the Innovation in Teaching Activities Initiativefor DEIB

Since 2013 Member of the organizing committee of the OpenHouse event (Information TechnologySection)

Since 2010 Organizer of the OpenDay event on behalf of the DEIB Information Technology Section

2008 - 2012 Founder and head of the PhDEI Association

Since 2011 Founder and head of the Rocca Fellows community

072005 - 122006 Head of the ICT area and member of the managing board of ISF-MI Association

July 2005 Co-founder of Engineer Without Border Milano (Ingegneria Senza Frontiere ISF-MI)

bull Others

Since 2016 Member of the IEEE CS Member amp Geographic Activities Board

Since 2016 Chair of the IEEE CS ARC Larson Paper Prize

Since Oct 2015 Vice-Chair of the IEEE Italian Chapter of the Computer Society

Since 2013 Member of the IEEE Italy Section as Student Activities Coordinator

2012 - 2015 Member of the IEEE CS Student Awards Committee (SAC)

Since 2012 Member of the IEEE CS Awards and Recognition Committee (ARC) - httpswwwcomputerorgwebchaptersmga-board

2009 - 2012 Member of the MIT Postdoctoral Advisory Council

2009 - 2012 Member of the National Postdoctoral Association (NAP)

012009 Co-founder and president of the iDRESD Association

012001- 042001 Head of the IT area for Caritas Ambrosiana and CeLIM of two humanitarian missionsin Kosovo (Jacova e Pristina)

022000 - 122000 Civil service at CeLIM NGO

4

2 SCIENTIFIC ACTIVITIES

201 International Conferences and Journal Organization

bull Editorial advisory board members

ndash Title Elsevier Editorial Board of Big Data Research Editor of the area Cyber-PhysicalSystemsEditor ElsevierReferences httpwwwjournalselseviercombig-data-researcheditorial-board FromDec 17 2013

bull Journal Associate Editor

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the22nd Reconfigurable Architectures Workshop (RAW 2014)

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the10th International Symposium on Reconfigurable Computing Architectures Tools andApplications (ARC 2014)

ndash Elsevier Journal on Future Generation Computer Systems (FGCS) - Special issue on the10th International Conference on Embedded and Ubiquitous Computing (EUC 2012)(special session title Multicore and Many-core Architectures for Future GenerationEmbedded)

bull Journal Guest Editor

ndash Microprocessor and Microsystems Journal Special IssueTitle Embedded Multicore Systems Architecture Performance and ApplicationEditor ElsevierGuest editors M Chang PA Hsiung M D Santambrogio W H LeeReferences httpwwwelsevierscitechcomcfpCall for papers Multicore Embeddedpdf

ndash EURASIP Journal of Reconfigurable ComutingTitle Self-Awareness in Reconfigurable Computing SystemsEditor Hindawi Publishing CorporationGuest editors T Becker M D Santambrogio M Happe M PlatznerReferences httpwwwhindawicomjournalsijrcsi807910cfp

ndash International Journal on Reconfigurable Computing Special IssueTitle Selected Papers from the International Conference on Reconfigurable Computingand FPGAs (ReConFigrsquo10)Editor Hindawi Publishing Corporation

ndash Journal of Systems ArchitectureTitle Design Flows and System Architectures for Adaptive Computing on Reconfig-urable PlatformsEditor ElsevierGuest editors M D Santambrogio I BravoReferences httpwwwelseviercomincapublicationsmiscJSASI-AdaptiveComputingTrendspdf

ndash EURASIP Journal on Embedded SystemsTitle Reconfigurable computing and hardwaresoftware codesignEditor Hindawi Publishing CorporationGuest editors T Plaks M D Santambrogio D SciutoReferences wwwhindawicomjournalsessirccpdfwwwhindawicomjournalsesraa731830html

202 Steering Committee

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2017

bull Embedded Operating System Workshop (EWiLi) 2016 2017

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2014 2015

5

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

2 SCIENTIFIC ACTIVITIES

201 International Conferences and Journal Organization

bull Editorial advisory board members

ndash Title Elsevier Editorial Board of Big Data Research Editor of the area Cyber-PhysicalSystemsEditor ElsevierReferences httpwwwjournalselseviercombig-data-researcheditorial-board FromDec 17 2013

bull Journal Associate Editor

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the22nd Reconfigurable Architectures Workshop (RAW 2014)

ndash ACM Transactions on Reconfigurable Technology and Systems - Special section on the10th International Symposium on Reconfigurable Computing Architectures Tools andApplications (ARC 2014)

ndash Elsevier Journal on Future Generation Computer Systems (FGCS) - Special issue on the10th International Conference on Embedded and Ubiquitous Computing (EUC 2012)(special session title Multicore and Many-core Architectures for Future GenerationEmbedded)

bull Journal Guest Editor

ndash Microprocessor and Microsystems Journal Special IssueTitle Embedded Multicore Systems Architecture Performance and ApplicationEditor ElsevierGuest editors M Chang PA Hsiung M D Santambrogio W H LeeReferences httpwwwelsevierscitechcomcfpCall for papers Multicore Embeddedpdf

ndash EURASIP Journal of Reconfigurable ComutingTitle Self-Awareness in Reconfigurable Computing SystemsEditor Hindawi Publishing CorporationGuest editors T Becker M D Santambrogio M Happe M PlatznerReferences httpwwwhindawicomjournalsijrcsi807910cfp

ndash International Journal on Reconfigurable Computing Special IssueTitle Selected Papers from the International Conference on Reconfigurable Computingand FPGAs (ReConFigrsquo10)Editor Hindawi Publishing Corporation

ndash Journal of Systems ArchitectureTitle Design Flows and System Architectures for Adaptive Computing on Reconfig-urable PlatformsEditor ElsevierGuest editors M D Santambrogio I BravoReferences httpwwwelseviercomincapublicationsmiscJSASI-AdaptiveComputingTrendspdf

ndash EURASIP Journal on Embedded SystemsTitle Reconfigurable computing and hardwaresoftware codesignEditor Hindawi Publishing CorporationGuest editors T Plaks M D Santambrogio D SciutoReferences wwwhindawicomjournalsessirccpdfwwwhindawicomjournalsesraa731830html

202 Steering Committee

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2017

bull Embedded Operating System Workshop (EWiLi) 2016 2017

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2014 2015

5

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

203 General Chair

bull Annual IEEE International Conference on Application-specific Systems Architectures andProcessors (ASAP) 2017

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2014

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2014

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2013

204 Program Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2010(226 submitted papers 60 regular papers) 2017

bull IEEE International Conference on Smart City (SmartCity) 2016

bull IEEE Reconfigurable Architectures Workshop (RAW) 2014 and 2015

bull IEEE International Conference on Cyber Physical and Social Computing (CPSCom) 2015

bull International Symposium on Applied Reconfigurable Computing (ARC) 2014

bull HiPEAC Workshop on Reconfigurable Computing (WRC) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) 2012

205 Program Vice-Chair

bull IEEE Reconfigurable Architectures Workshop (RAW) 2013

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing (EUC) -Embedded Systems and HardwareSoftware Co-Design 2011

206 Topic Chair

bull Topic Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2015

207 Topic Co-Chair

bull Topic Co-Chair for the topic A6 Reliable and Reconfigurable Systems in Design Automationamp Test in Europe (DATE) 2012 2013 and 2014

208 Track Chair

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 2013

209 Workshop Organizer

bull IEEE Reconfigurable Architectures Workshop (RAW) 2016 2017

bull International Workshop on Computing in Heterogeneous Autonomous rsquoNrsquo Goal-orientedEnvironments (CHANGE) 2011 2012 2013 2014 2015 and 2016

6

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

2010 Program Committee

bull International Conference on Computer-Aided Design (ICCAD) 2015 2016 and 2017

bull IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM)2013 2014 2015 2016 and 2017

bull Conference on HardwareSoftware CoDesign and System Synthesis (CODES-ISSS) 20112012 2013 2014 2015 2016 and 2017

bull IEEE International Conference on Field Programmable Logic and Applications (FPL) 20082009 2010 2011 2012 2013 2014 2015 2016 and 2017

bull IEEE Reconfigurable Architectures Workshop (RAW) 2007 2008 2009 2010 2011 20122013 2014 2015 2016 and 2017

bull International Conference on Embedded Computer Systems Architectures Modeling andSimulation (SAMOS) 2015 2016 and 2017

bull ACM International Conference on Computing Frontiers (CF) 2016 and 2017

bull IEEE International Conference on Cloud and Autonomic Computing (ICCAC) 2015 2016and 2017

bull IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA) 2015 2016 and 2017

bull NASAESA Conference on Adaptive Hardware and Systems (AHS) 2012 2013 2014 2015and 2017

bull International Symposium on Applied Reconfigurable Computing (ARC) 2015 2016 and2017

bull IEEE International Symposium on Embedded MulticoreMany-core Systems-on-Chip (MC-SoC) 2015 and 2017

bull Design Automation amp Test in Europe (DATE) 2012 2013 2014 2015 and 2016

bull International Conference on ReConFigurable Computing and FPGAs (ReConFig) 20062008 2009 2010 2011 2012 2013 2014 2015 and 2016

bull International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC)2016

bull International Workshop on Reconfigurable Communication-centric Systems-on-Chip (Re-CoSoC) 2012 2013 2014 2015 and 2016

bull Workshop on Reconfigurable Computing (WRC) 2010 2011 2012 2013 2014 2015 and2016

bull International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies(HEART) 2010 and 2016

bull IEEEIFIP International Conference on Embedded and Ubiquitous Computing 2009 20102011 2012 2013 2014 and 2015

bull Design Automation Conference (DAC) 2012 2013 and 2014

bull Embedded Operating System Workshop (EWiLi) 2013 2014 and 2015

bull IFIPIEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2014

bull International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES) 2014

bull International Workshop on Reconfigurable Architectures and Self-adaptive Autonomic Sys-tems (RASAS) 2014

bull International Workshop on Multi-Objective Many-Core Design (MOMAC) 2014

7

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

bull IEEE International Conference on Emerging Technologies amp Factory Automation (ETFA)2014

bull Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) 2014

bull IEEE International Conference on Networking Architecture and Storage (NAS) 2010 20112012 and 2013

bull International Symposium on System-on-Chip (SoC) 2009 2010 2011 2012 and 2013

bull Southern Conference on Programmable Logic (SPL) Conference 2008 2009 2010 2011and 2012

bull International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)Conference 2006 2007 2008 2009 2010 2011 and 2012

bull International Workshop on Mobile Computing in the Clouds (MobiCloud) 2013

bull IEEE International Symposium on Embedded Multicore SoCs (MCSoC) 2013

bull IEEE Real-Time and Embedded Technology and Applications Symposium RTAS (AppliedMethodologies and Foundations) 2012

bull European Conference on the Applications of Evolutionary Computation (EVO) 2011

bull IEEE Field Programmable Technology (FPT) 2007 2008 2009 and 2010

bull SPIE Conference Microtechnologies for the New Millennium 2009 and 2010

bull IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 2009 and 2010

bull International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)2009

21 Program committee conference organization and revision activities

211 International Workshops Organization

bull International coordinatorInternational Conference on Industrial and Information System ICIIS 07 Date August2007Location University of Peradeniya Sri LankaConference Chairman Janaka EkanayakeWorkshop Chairman Marco D SantambrogioWorkshop Title Reconfigurable Computing

212 National Workshops and Seminars Organization

bull Scientific board member CALL4BRAIN - http www call4brain com TEDMED DeDonato - Politecnico di Milano Milano Dec 2 2016

bull Reconfigurable Computing Italian Workshop first Italian Meeting S01 - Politecnico di Mi-lano Milano Dec 19 2008

bull Partial Dynamic Reconfiguration Workshop Nokia Siemens Networks Cinisello BalsamoMilano April 23 2008

bull IEEE Expert now Tutorial on-line IEEE Delegation DEI - Politecnico di Milano Septem-ber 2007

8

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

213 Revision activities

bull IEEE Transactions on Very Large Scale Integration Systems (TVLSI)

bull IEEE Transaction on Computer-Aided Design (TCAD)

bull IEEE Transaction on Computer (TOC)

bull IEEE Micro

bull IEEE Transactions on Industrial Informatics (TII)

bull IEEE Transaction on Evolutionary Computation

bull IEEE Embedded Systems Letters (ESL)

bull IEEE Transactions on Signal Processing

bull ACM Computing Reviews

bull ACM Transaction on Reconfigurable Technology and Systems (TRETS)

bull ACM Transactions on Embedded Computing Systems (TECS)

bull Design Automation for Embedded Systems (DAEM)

bull Journal of Systems Architecture (JSA) - Elsevier

bull Integration the VLSI Journal - Elsevier

bull Computers amp Electrical Engineering - Elsevier

bull International Journal of Circuit Theory and Applications (IJCTA) - Wiley

bull Journal of Computer Science and Technology (JCST)

bull International Conferences

ndash Design Automation Conference (DAC)

ndash Design Automation and Test in Europe (DATE)

ndash IEEE International Symposium on Circuits and Systems (ISCAS)

ndash IEEE International Conference on Field Programmable Logic and Applications (FPL)

ndash IEEE Reconfigurable Architectures Workshop (RAW)

ndash IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

ndash IEEE International Conference on Field-Programmable Technology (FPT)

ndash ACM Great Lakes Symposium on VLSI (GLSVLSI)

ndash IEEEIFIP International Conference on Embedded and Ubiquitous Computing

ndash International Workshop on Reconfigurable and Multicore Embedded Systems (WoRMES)

ndash IFIP International Conference on Very Large Scale Integration (VLSI-SoC)

ndash International Symposium on System-on-Chip (ISSoC)

ndash Southern Conference on Programmable Logic (SPL) Conference

ndash Engineering of Reconfigurable Systems and Algorithms (ERSA)

ndash International Conference on Green Circuits and Systems (ICGCS)

9

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

22 Invited talks and Panelists

bull Invited talks

ndash Heterogeneous exascale Supercomputing the Role of CAD in the exaFPGA ProjectSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in a special session at DATE 17 LausanneSwitzerland 28 March 2017

ndash NECST and Xilinx an interesting historySpeaker M D SantambrogioXilinx San Jose CA USA 2 June 2016

ndash The NECST experienceSpeaker M D SantambrogioOracle Labs Redwood Shores CA USA 1 June 2016

ndash A self-adaptive approach to efficiently manage energy and performance in tomorrowrsquosheterogeneous computing systemsSpeaker M D SantambrogioDesign Automation amp Test in Europa Talk in an special session at DATE 16 DresdenGermany 16 March 2016

ndash Operating System-Level Performance and Power Management from Datacenters toEmbedded SystemsSpeaker M D SantambrogioThe International Conference on Hardware-Software Codesign and System SynthesisCODES+ISSS 15 Amsterdam Dutch 6 October 2015

ndash A birdrsquos eye view on the NECST Lab What (iwa)s the DRESD projectSpeaker M D SantambrogioXilinx San Jose CA USA 10 June 2015

ndash On how to implement efficient mobile devices power modelling and design methodologiesfor smart spacesSpeaker M D SantambrogioSamsung Research America Mountain View CA USA 9 June 2015

ndash From FPGA-based Reconfigurable Systems to Autonomic Adaptive Computing Systemsan Enabling Technologies PerspectiveSpeaker M D SantambrogioStanford University Stanford CA USA 6 June 2014

ndash Cores Allocation and Relocation Management for Self Dynamic Reconfigurable SystemsSpeaker M D SantambrogioALTERA San Jose CA USA 5 June 2014

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioBerkeley CA USA 2 June 2014

ndash How to enable FPGA-based self-adaptive computing systems - Keynote SpeechSpeaker M D SantambrogioWorkshop co-located with FPL 2013 entitled rdquoChallenges of Embedded Robotics CanFPGAs Overcome Themrdquo Porto Portugal 6 September 2013

ndash A birdrsquos eye view on the NECST Lab and behind the scene of this meetingSpeaker M D SantambrogioMIT MA USA 22 May 2013

ndash The Autonomic Operating System Research Project - Achievements and Future Direc-tionsSpeaker M D SantambrogioDesign Automation Conference DAC 2013 Austin Texas June 2 - 6 2013

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsInvited Speaker M D SantambrogioWorkshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) Oslo Nor-way September 1 2012

10

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiIBM Research Austin TX USA 9 August 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiOracle BelmontCA USA 8 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D Santambrogio and F SironiYahoo Santa ClaraCA USA 4 June 2012

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioUniversity of Illinois at Chicago Chicago IL USA September 15 2011

ndash The CHANGE project Enabling Technologies For Self-Aware Adaptive Computing Sys-temsSpeaker M D SantambrogioGDR SOC-SIP Systemes multiprocesseurs outil modele pour lrsquoestimation de la con-sommation techniques drsquooptimisation Paris France March 21 2011

ndash Enabling Technologies For Self-Aware Adaptive SystemsM D Santambrogio H Hoffmann J Eastep J E Miller A AgarwalNASAESA Conference on Adaptive Hardware and Systems AHS 2010 Anaheim Cal-ifornia USA June 15 - 18 2010

ndash From Reconfigurable Architectures to Self-Adaptive Autonomic Systems - KeynoteSpeechSpeaker M D SantambrogioInternational Workshop on Reconfigurable and Multicore Embedded System August29 2009

ndash A parallel-serial decimal multiplier architectureL Dadda M D Santambrogio4th Logic Synthesis Italian Day Politecnico di Milano Milano June 30 2008

ndash Reconfiguration technologies and DRESD contributionSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Design Flow for System-on-Programmable ChipSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Real Needs and LimitsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Partial Dynamic Reconfiguration Basic ConceptsSpeaker M D SantambrogioNokia Siemens Networks Cinisello Balsamo Milano April 23 2008

ndash Dynamic Reconfigurability in Embedded System DesignSpeaker M D SantambrogioHeinz Nixdorf Institute Paderborn Germany January 26 2006

bull Panelist

ndash April 24 - 15 2012 Goteborg Sweden HiPEAC Computing Systems Week April 2012Design and runtime management of reconfigurable systems

ndash July 9 - 11 2012 York UK 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Maturity of high level synthesis tools - useful orejust another hype

11

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

3 TEACHING ACTIVITIES

31 Courses

bull University Politecnico di Milano (Milano Italy)

ndash PhD Course

lowast Course Advanced Topic on Reconfigurable FPGA-Based Systems DesignTeacher prof M D Santambrogio prof A R MieleAcademic Year 1617

lowast Course Advanced Topics on Heterogeneous System Architectures architecturesprogramming models and resource managementTeacher prof M D Santambrogio prof A R MieleAcademic Year 1516

lowast Course FPGA-Based Systems DesignTeacher prof M D SantambrogioAcademic Year 1314

lowast Course Parallelism in Wonderland are you ready to see how deep the rabbit holegoesTeachers prof M D Santambrogio prof S CampanoniAcademic Year 1314

lowast Course From Reconfigurable Hardware to Self-Adaptive Computer ArchitecturesTeacher prof M D SantambrogioAcademic Year 1314 1213

ndash Undergraduate Course

lowast Course Informatica ed Elementi di Informatica Medica - (Bio Eng students)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1213 1112

lowast Course Informatica B - (Mech Eng studentes)Teacher prof M D SantambrogioAcademic Year 1617 1516 1415 1314 1011

lowast Course Projects of Ingegneria Informatica - Undergraduate CourseTeacher prof M D SantambrogioAcademic Year 0910 0809

bull University University of Illinois at Chicago (Chicago Illinois - USA)

ndash Course Advanced Computer Architecture (CSECEMENG 466) - Graduate Course(taught in English)Teacher prof M D SantambrogioAcademic Year 1314 1112 1011 0910

32 Teaching Assistantships

bull University Politecnico di Milano (Milano Italy)

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof D SciutoAcademic Year 1617 1516 1415 1011

ndash Course High Performance and Processors and Systems - Graduate course (taught inEnglish)Teacher prof D SciutoAcademic Year 1314 1213 1112 1011 0910 0708 0607

ndash Course Architettura Avanzata dei Calcolatori (Advanced Computer Architecture) -Graduate CourseTeacher prof R NegriniAcademic Year 1213 1112

12

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

ndash Course Architettura dei Calcolatori (Computer Architecture) - Graduate CourseTeacher prof D SciutoAcademic Year 0809 0708 0607

ndash Course Reti Logiche A - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0809 0708 0607 0506 0405

ndash Course Reti Logiche B - Undergraduate CourseTeacher prof F SaliceAcademic Year 0506

ndash Course Informatica I - Undergraduate CourseTeacher prof C BolchiniAcademic Year 0506

bull University Advanced Learning and Research Institute master in Embedded Systems (LuganoSwitzerland)

ndash Course Design Technologies - Graduate course (taught in English)Teacher prof G De MicheliAcademic Year 0708 0607

ndash Course Validation and Verification - Graduate course (taught in English)Teacher prof F SomenziAcademic Year 0607 0506 0405

bull University Universtiarsquo degli Studi di Milano (Crema Italy)

ndash Course Sistemi Operativi (Operating System) - Undergraduate CourseTeacher prof V PiuriAcademic Year 0809 0708 0607 0506

33 Theses Supervision

bull Advisor of the following PhD Theses at Politecnico di Milano

ndash Enabling power-awareness for multi-tenant systemsStudent M Ferroni Politecnico di Milano 22 Feb 2017

ndash On the design of autonomic techniques for runtime resource management in heteroge-neous systemsStudent G C Durelli Politecnico di Milano 5 Feb 2016

ndash On the role of polyhedral analysis in high performance reconfigurable hardware basedcomputing systemsStudent R Cattaneo Politecnico di Milano 17 Dec 2015

ndash Techniques and Tools for Efficient Qos-Driven Warehouse-Scale ComputingStudent D B Bartolini Politecnico di Milano 16 Feb 2015

ndash System Support for Adaptive Performance and Thermal Management of Chip-MultiprocessorsStudent F Sironi Politecnico di Milano 21 March 2014

bull Advisor of the following Master Theses in CS at UIC from 2008 28

bull Advisor of Master Theses in Computer Engineering at Politecnico di Milanofrom 2009 48

bull Advisor of Bachelor Theses in Biomedical Engineering at Politecnico di Milanofrom 2013 4

bull Advisor of Bachelor Theses in CS at Politecnico di Milano during the years2008 - 2014 34

bull Co-Advisor of the following Master ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 20 CSstudents for their MSc thesis works at Politecnico di Milano

13

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

bull Co-Advisor of the following Bachelor ThesesFrom 2005 until today Marco D Santambrogio has been the co-advisor of more than 80 CSstudents for their bachelor thesis works at Politecnico di Milano

14

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

4 AWARDS AND RESEARCH GRANTS

41 Awards

bull Advisor of the PhD and Student FPGA category winners of the Xilinx Open HardwareContest 2016 (PhD FPGA Category winner rdquoexaFPGA Iterative stencil cell loop accel-erationrdquo Student FPGA Category winner ProFAX Protein folding on FPGA [wwwopenhweu2016-finalists])

bull Co-author of the paper winner of the RAW 2016 Best Demo Award (rdquoOn the Automa-tion of High Level Synthesis of Convolutional Neural Networksrdquo [[10]])

bull Co-author of the paper winner of the EWiLi 2013 Best Paper Award (rdquoA Performance-Aware Quality of Service-Driven Scheduler for Multicore Processorsrdquo [[9]])

bull Co-author of the paper winner of the 2012 HiPEAC Paper Award (rdquoMetronome Op-erating System Level Performance Management via Self-Adaptive Computing [[61]])

bull Co-author of the Best Student Paper award sponsored by IEEE TCPP 7th IEEEInternational Conference on Autonomic Computing (ICAC) 2010 (Smartlocks Lock Acqui-sition Scheduling for Self-Aware Synchronization [[68]])

bull Co-author of the Best Paper Award Finalist IEEE International Conference on Field Pro-grammable Logic and Applications (FPL) 2009 (A Runtime Relocation Based Workflow forSelf Dynamic Reconfigurable Systems Design [[84]])

bull December 2008 awarded a Progetto Rocca Postdoc Fellowship at MIT

bull Dimitri N Chorafas PhD Thesis Award from the Chorafas Foundation (Berne Switzer-land) for the best PhD Theses in rdquolsquoSystems Engineering and Information Technologyrdquorsquo May2008 Thesis title HardwareSoftware codesign methodologies for dynamically reconfigurablesystems)

bull Co author of the Best paper award 15th International Conference on Very Large ScaleIntegration IFIP VLSI-SoC 2007 (ReCPU a Parallel and Pipelined Architecture for RegularExpression Matching [[108]])

42 Research Grants

bull H2020 EXTRA European projectPoliMi PI M D SantambrogioPeriod Sept 2015 - Sept 2018Funding 450K EURSantambrogiorsquos role in EXTRA

ndash PoliMi PI for EXTRA

ndash General Assembly Member of the EXTRA project

ndash Work package Leader of WP4 (Development of Reconfigurable Tools Platform)

bull FP7 SAVE European projectPoliMi PI Prof C Bolchini (cristianabolchinipolimiit)Period Sept 2013 - Sept 2016Santambrogiorsquos role in SAVE

ndash Task Leader of the following tasks T31 (Adaptive host OS components) and T32(Adaptation policiesstrategies)

bull FP7 FASTER European projectPoliMi PI Prof D Sciuto (donatellasciutopolimiit)Period Sept 2011 - Dec 2014Santambrogiorsquos role in EXTRA

ndash Work package Leader of WP2 (High-level analysis and reconfigurable system definition)

15

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

ndash Task Leader of the following tasks T22 (Application task profiling and identificationof reconfigurable cores) and T24 (Compile-time baseline scheduling and core mappingonto reconfigurable regions)

bull Fondazione Cariplo - Regione LombiardiaProject Title A Challenges Driven Design for Effective and Efficient Autonomic MobileComputing ArchitecturesPeriod Oct 2016 - March 2018Funding 100K EURSantambrogiorsquos role in the project Principal Investigator

bull HumanitasProject Title HUNA Humanitas University NECST ApplicationPeriod Dec 2016 - Jun 2018Funding 75K EURSantambrogiorsquos role in the project Principal Investigator

bull MathWorks Academic SupportProject Title Teaching computer programming and embedded systems with audio process-ing in MATLABPeriod Sept 2016 - Jun 2017Funding 38K EURSantambrogiorsquos role in the project Principal Investigator

bull Progetto Rocca Workshops and ColloquiaCollaboration between the MIT-CARBON Group and Politecnico di Milano - DRESD GroupPeriod January 2010Funding 25K USD

bull Swiss NSF Research Project (Division II)Project title Dynamically Adaptive Architectures for Nomadic Embedded SystemsPeriod 022010 - 012013Partners EPFL Prof David Atienza in cooperation with Politecnico di Milano (IT) ProfDonatella Sciuto and Dr Marco D Santambrogio

bull HiPEAC Collaboration GrantTitle of the research Self-Aware Reconfigurable Computing Systems for Energy Saving andPerformance EnhancementPeriod November 2010 - December 2011Funding 5K EUR

bull HiPEAC Collaboration GrantTitle of the research Self-aware and autonomic systemPeriod July 2009Funding 5K EUR

16

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

5 PUBLICATIONS

Book

[1] Pao-Ann Hsiung M D SANTAMBROGIO and Chun-Hsian Huang Reconfigurable SystemDesign and Verification Taylor amp FrancisCRC Press 2009

Journals

[1] M Rabozzi G C Durelli A Miele J Lillis and MARCO D SANTAMBROGIO ldquoFloor-planning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Genera-tionrdquo In IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 251 (2017)pp 151ndash164 issn 1063-8210 doi 101109TVLSI20162562361

[2] Riccardo Cattaneo Giuseppe Natale Carlo Sicignano Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn How to Accelerate Iterative Stencil Loops A Scalable Streaming-Based Approachrdquo In ACM Transactions on Architecture and Code Optimization (TACO)124 (2016) p 53 doi 1011452842615 url httpdoiacmorg1011452842615

[3] Gianluca C Durelli and MARCO D SANTAMBROGIOldquoAutonomic thread scaling libraryfor QoS managementrdquo In SIGBED Review 131 (2016) pp 41ndash47 doi 10114529079722907978 url httpdoiacmorg10114529079722907978

[4] Alberto Scolari Davide Basilio Bartolini and MARCO D SANTAMBROGIO Santambro-gioldquoA Software Cache Partitioning System for Hash-Based Cachesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 134 (Dec 2016) 571ndash5724 issn 1544-3566 doi 1011453018113 url httpdoiacmorg1011453018113

[5] Dionisios N Pnevmatikatos Kyprianos Papadimitriou Tobias Becker Peter Bohm An-dreas Brokalakis Karel Bruneel C Ciobanu Tom Davidson Georgi Gaydadjiev KarelHeyse Wayne Luk Xinyu Niu Ioannis Papaefstathiou Danilo Pau Oliver Pell ChristianPilato MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandt Tod Todmanand Elias Vansteenkiste ldquoFASTER Facilitating Analysis and Synthesis Technologies forEffective Reconfigurationrdquo In Microprocessors and Microsystems - Embedded HardwareDesign 394-5 (2015) pp 321ndash338 doi 101016jmicpro201409006 url httpdxdoiorg101016jmicpro201409006

[6] Davide B Bartolini Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIOldquoAutomated Fine-Grained CPU Provisioning for Virtual Machinesrdquo In ACM Transactionson Architecture and Code Optimization (TACO) 113 (2014) 271ndash2725 doi 1011452637480 url httpdoiacmorg1011452637480

[7] Xabier Iturbe Ali Ebrahim Khaled Benkrid Chuan Hong Tughrul Arslan Jon Perez Di-dier Keymeulen and MARCO D SANTAMBROGIO ldquoR3TOS-Based Autonomous Fault-Tolerant Systemsrdquo In IEEE Micro 346 (2014) pp 20ndash30 doi 101109MM201458url httpdxdoiorg101109MM201458

[8] Jacopo Panerati Martina Maggio Matteo Carminati Filippo Sironi Marco Triverio andMARCO D SANTAMBROGIO ldquoCoordination of Independent Loops in Self-AdaptiveSystemsrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 72(2014) 121ndash1216 doi 1011452611563 url httpdoiacmorg1011452611563

[9] Filippo Sironi Donatella Sciuto and MARCO D SANTAMBROGIO ldquoA performance-aware quality of service-driven scheduler for multicore processorsrdquo In SIGBED Review111 (2014) pp 50ndash55 doi 10114525974572597464 url httpdoiacmorg10114525974572597464

[10] Ravi Jhawar Vincenzo Piuri and MARCO D SANTAMBROGIO ldquoFault Tolerance Man-agement in Cloud Computing A System-Level Perspectiverdquo In IEEE Systems Journal 72(2013) pp 288ndash297 doi 101109JSYST20122221934 url httpdxdoiorg101109JSYST20122221934

[11] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoPower Optimization in Embedded Systems via Feedback Control of ResourceAllocationrdquo In IEEE Trans Contr Sys Techn 211 (2013) pp 239ndash246 doi 101109TCST20112177499 url httpdxdoiorg101109TCST20112177499

17

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[12] A A Nacci Francesco Trovo F Maggi Matteo Ferroni Andrea Cazzola Donatella Sciutoand MARCO D SANTAMBROGIOldquoAdaptive and Flexible Smartphone Power ModelingrdquoIn MONET 185 (2013) pp 600ndash609 doi 101007s11036-013-0470-y url httpdxdoiorg101007s11036-013-0470-y

[13] Vincenzo Rana Alessandro Antonio Nacci Ivan Beretta MARCO D SANTAMBROGIODavid Atienza and Donatella Sciuto ldquoDesign Methods for Parallel Hardware Implementa-tion of Multimedia Iterative Algorithmsrdquo In IEEE Design amp Test 304 (2013) pp 71ndash80doi 101109MDT20122223191 url httpdxdoiorg101109MDT2012

2223191

[14] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCOD SANTAMBROGIO ldquoOn the Evolution of Hardware Circuits via Reconfigurable Archi-tecturesrdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 54(2012) p 22 doi 10114523926162392620 url httpdoiacmorg10114523926162392620

[15] Martina Maggio Henry Hoffmann Alessandro Vittorio Papadopoulos Jacopo PaneratiMARCO D SANTAMBROGIO Anant Agarwal and Alberto LevaldquoComparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systemsrdquo In ACMTransactions on Autonomous and Adaptive Systems (TAAS) 74 (2012) p 36 doi 10114523825702382572 url httpdoiacmorg10114523825702382572

[16] Marco Maggioni MARCO D SANTAMBROGIO and Jie Liang ldquoGPU-accelerated Chem-ical Similarity Assessment for Large Scale Databasesrdquo In Proceedings of the InternationalConference on Computational Science ICCS 2011 Nanyang Technological University Sin-gapore 1-3 June 2011 Ed by Mitsuhisa Sato Satoshi Matsuoka Peter M A Sloot GDick van Albada and Jack Dongarra Vol 4 Procedia Computer Science Elsevier 2011pp 2007ndash2016 doi 101016jprocs201104219 url httpdxdoiorg101016jprocs201104219

[17] Alessio Montone MARCO D SANTAMBROGIO Francesco Redaelli and Donatella Sci-uto ldquoFloorplacement for Partial Reconfigurable FPGA-Based Systemsrdquo In Int J Recon-fig Comp 2011 (2011) 4836811ndash48368112 doi 1011552011483681 url http

dxdoiorg1011552011483681

[18] Federico Nava Donatella Sciuto MARCO D SANTAMBROGIO Stefan HerbrechtsmeierMario Porrmann Ulf Witkowski and Ulrich Ruckert ldquoApplying dynamic reconfigurationin the mobile robotics domain A case study on computer vision algorithmsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 43 (2011) p 29 doi10114520008322000841 url httpdoiacmorg10114520008322000841

[19] MARCO D SANTAMBROGIO and Renato Stefanelli ldquoA New Compact SD2 PositiveInteger Triangular Array Division Circuitrdquo In IEEE Transactions on Very Large ScaleIntegration Systems (TVLSI) 191 (2011) pp 42ndash51 doi 101109TVLSI20092030573url httpdxdoiorg101109TVLSI20092030573

[20] Alessio Montone MARCO D SANTAMBROGIO Donatella Sciuto and Seda OgrenciMemik ldquoPlacement and Floorplanning in Dynamically Reconfigurable FPGAsrdquo In ACMTransaction on Reconfigurable Technology and Systems (TRETS) 34 (2010) p 24 doi10114518626481862654 url httpdoiacmorg10114518626481862654

[21] MARCO D SANTAMBROGIO ldquoFrom reconfigurable architectures to self-adaptive auto-nomic systemsrdquo In IJES 434 (2010) pp 172ndash181 doi 101504IJES2010039021url httpdxdoiorg101504IJES2010039021

[22] Simone Corbetta Massimo Morandi Marco Novati MARCO D SANTAMBROGIO Do-natella Sciuto and Paola Spoletini ldquoInternal and External Bitstream Relocation for PartialDynamic Reconfigurationrdquo In IEEE Transactions on Very Large Scale Integration Systems(TVLSI) 1711 (2009) pp 1650ndash1654 doi 101109TVLSI20082005670 url httpdxdoiorg101109TVLSI20082005670

[23] Roberto Cordone Francesco Redaelli Massimo Redaelli MARCO D SANTAMBROGIOand Donatella Sciuto ldquoPartitioning and Scheduling of Task Graphs on Partially Dynam-ically Reconfigurable FPGAsrdquo In IEEE Transaction on CAD of Integrated Circuits andSystems (TCAD) 285 (2009) pp 662ndash675 doi 101109TCAD20092015739 urlhttpdxdoiorg101109TCAD20092015739

18

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[24] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfig-urable Architecturesrdquo In Int J Reconfig Comp 2009 (2009) 5410671ndash54106712 doi1011552009541067 url httpdxdoiorg1011552009541067

Editorials

[1] Houcine Hassan Laurence T Yang Haibo Zhang and MARCO D SANTAMBROGIOldquoSpecial Issue on Multicore and Many-core Architectures for Future Generation EmbeddedSystemsrdquo In Future Generation Comp Syst 56 (2016) pp 169ndash170 doi 101016jfuture201511017 url httpdxdoiorg101016jfuture201511017

[2] MARCO D SANTAMBROGIO and Ramachandran Vaidyanathan ldquoGuest Editorial RAW2014rdquo In ACM Transaction on Reconfigurable Technology and Systems (TRETS) 92(2016) p 13 doi 1011452841314 url httpdoiacmorg1011452841314

[3] Diana Goehringer MARCO D SANTAMBROGIO Joao M P Cardoso and Koen Ber-tels ldquoGuest Editorial ARC 2014rdquo In ACM Transaction on Reconfigurable Technology andSystems (TRETS) 91 (2015) p 5 doi 1011452831431 url httpdoiacmorg1011452831431

[4] J Morris Chang MARCO D SANTAMBROGIO and Pao-Ann Hsiung ldquoEmbedded mul-ticore systems Architecture performance and applicationrdquo In Microprocessors and Mi-crosystems - Embedded Hardware Design 378-B (2013) pp 885ndash886 doi 101016jmicpro201311001 url httpdxdoiorg101016jmicpro201311001

[5] Claudia Feregrino Miguel Arias Kris Gaj Viktor K Prasanna MARCO D SANTAM-BROGIO and Ron Sass ldquoSelected Papers from the International Conference on Reconfig-urable Computing and FPGAs (ReConFigrsquo10)rdquo In Int J Reconfig Comp 2012 (2012)3198271ndash3198272 doi 1011552012319827 url httpdxdoiorg1011552012319827

[6] Ignacio Bravo Munoz and MARCO D SANTAMBROGIO ldquoDesign flows and system ar-chitectures for adaptive computing on reconfigurable platformsrdquo In Journal of SystemsArchitecture - Embedded Systems Design 5611 (2010) pp 543ndash544 doi 101016j

sysarc201010007 url httpdxdoiorg101016jsysarc201010007

[7] Toomas P Plaks MARCO D SANTAMBROGIO and Donatella Sciuto ldquoReconfigurableComputing and HardwareSoftware Codesignrdquo In EURASIP J Emb Sys 2008 (2008)doi 1011552008731830 url httpdxdoiorg1011552008731830

Conferences

[1] P Cancian G W Di Donato V Rana and MARCO D SANTAMBROGIO ldquoAn em-bedded Gabor-based palm vein recognition systemrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 405ndash408 doi 101109BHI20177897291

[2] L Cerina and M D Santambrogio ldquoReconfigurable embedded systems applications forversatile biomedical measurementsrdquo In Design Automation Test in Europe ConferenceExhibition (DATE) 2017 2017 pp 1420ndash1425 doi 1023919DATE20177927215

[3] A Purgato MARCO D SANTAMBROGIO T Berger-Wolf and A G Forbes ldquoInterac-tive visualization for brain spatio-temporal networksrdquo In 2017 IEEE EMBS InternationalConference on Biomedical Health Informatics (BHI) 2017 pp 21ndash24 doi 101109BHI20177897195

[4] M Rabozzi G Natale E Del Sozzo A Scolari L Stornaiuolo and M D SantambrogioldquoHeterogeneous exascale supercomputing The role of CAD in the exaFPGA projectrdquo InDesign Automation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 410ndash415 doi 1023919DATE20177927025

19

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[5] D Stroobandt C B Ciobanu M D Santambrogio G Figueiredo A Brokalakis DPnevmatikatos M Huebner T Becker and A J W Thom ldquoAn open reconfigurableresearch platform as stepping stone to exascale high-performance computingrdquo In DesignAutomation Test in Europe Conference Exhibition (DATE) 2017 2017 pp 416ndash421 doi1023919DATE20177927026

[6] L Di Tucci K OrsquoBrien M Blott and M D SantambrogioldquoArchitectural optimizations forhigh performance and energy efficient Smith-Waterman implementation on FPGAs usingOpenCLrdquo In Design Automation Test in Europe Conference Exhibition (DATE) 20172017 pp 716ndash721 doi 1023919DATE20177927082

[7] Andrea Cirigliano Alessandro Antonio Nacci Roberto Cordone and MARCO D SAN-TAMBROGIO ldquoFloor plan design and automatic nodes deployment for indoor locationand monitoring systemsrdquo In Proceedings of the 2016 ACM International Joint Confer-ence on Pervasive and Ubiquitous Computing UbiComp Adjunct 2016 Heidelberg Ger-many September 12-16 2016 Ed by Paul Lukowicz Antonio Kruger Andreas BullingYoun-Kyung Lim and Shwetak N Patel ACM 2016 pp 45ndash48 doi 10114529682192971415 url httpdoiacmorg10114529682192971415

[8] Giuseppe Natale Giulio Stramondo Pietro Bressana Riccardo Cattaneo Donatella Sciutoand MARCO D SANTAMBROGIO ldquoA polyhedral model-based framework for dataflowimplementation on FPGA devices of iterative stencil loopsrdquo In Proceedings of the 35thInternational Conference on Computer-Aided Design ICCAD 2016 Austin TX USANovember 7-10 2016 Ed by Frank Liu ACM 2016 p 77 doi 1011452966986

2966995 url httpdoiacmorg10114529669862966995

[9] Marco Rabozzi Matteo Mazzucchelli Roberto Cordone Giovanni Matteo Fumarola andMARCO D SANTAMBROGIO ldquoPreemption-aware planning on big-data systemsrdquo InProceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPoPP 2016 Barcelona Spain March 12-16 2016 Ed by Rafael Asenjoand Tim Harris ACM 2016 481ndash482 doi 10114528511412851187 url http

doiacmorg10114528511412851187

[10] Andrea Solazzo Emanuele Del Sozzo Irene De Rose Matteo De Silvestri Gianluca CDurelli and MARCO D SANTAMBROGIO ldquoHardware Design Automation of Convolu-tional Neural Networksrdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI2016 Pittsburgh PA USA July 11-13 2016 IEEE Computer Society 2016 pp 224ndash229doi 101109ISVLSI2016101 url httpdxdoiorg101109ISVLSI2016101

[11] Emanuele Del Sozzo Gianluca C Durelli E M G Trainiti Antonio Miele MARCO DSANTAMBROGIO and Cristiana Bolchini ldquoWorkload-aware power optimization strategyfor asymmetric multiprocessorsrdquo In 2016 Design Automation amp Test in Europe Conferenceamp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucciand Jurgen Teich IEEE 2016 pp 531ndash534 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459367

[12] Dirk Stroobandt Ana Lucia Varbanescu Catalin Bogdan Ciobanu Muhammed Al KadiAndreas Brokalakis George Charitopoulos Tim Todman Xinyu Niu Dionisios N Pnev-matikatos Amit Kulkarni Elias Vansteenkiste Wayne Luk MARCO D SANTAMBRO-GIO Donatella Sciuto Michael Hubner Tobias Becker Georgi Gaydadjiev Antonis Niki-takis and Alex J W Thom ldquoEXTRA Towards the exploitation of eXascale technol-ogy for reconfigurable architecturesrdquo In 11th International Symposium on ReconfigurableCommunication-centric Systems-on-Chip ReCoSoC 2016 Tallinn Estonia June 27-292016 IEEE 2016 pp 1ndash7 doi 101109ReCoSoC20167533896 url httpdxdoiorg101109ReCoSoC20167533896

[13] E M G Trainiti Gianluca C Durelli Antonio Miele Cristiana Bolchini and MARCOD SANTAMBROGIO ldquoA self-adaptive approach to efficiently manage energy and per-formance in tomorrowrsquos heterogeneous computing systemsrdquo In 2016 Design Automationamp Test in Europe Conference amp Exhibition DATE 2016 Dresden Germany March 14-18 2016 Ed by Luca Fanucci and Jurgen Teich IEEE 2016 pp 906ndash911 url httpieeexploreieeeorgxplfreeabs_alljsparnumber=7459437

20

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[14] Cristiana Bolchini Gianluca C Durelli Antonio Miele Gabriele Pallotta and MARCO DSANTAMBROGIO ldquoAn orchestrated approach to efficiently manage resources in heteroge-neous system architecturesrdquo In 33rd IEEE International Conference on Computer DesignICCD 2015 New York City NY USA October 18-21 2015 IEEE Computer Society 2015pp 200ndash207 doi 101109ICCD20157357104 url httpdxdoiorg101109ICCD20157357104

[15] Riccardo Cattaneo Gabriele Pallotta Donatella Sciuto and MARCO D SANTAMBRO-GIO ldquoExplicitly isolating data and computation in high level synthesis the role of poly-hedral frameworkrdquo In International Conference on ReConFigurable Computing and FP-GAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael HubnerMaya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393304 url httpdxdoiorg101109ReConFig20157393304

[16] George Charitopoulos Dionisios N Pnevmatikatos MARCO D SANTAMBROGIO Kypri-anos Papadimitriou and Danilo PauldquoA Run-Time System for Partially Reconfigurable FP-GAs The case of STMicroelectronics SPEAr boardrdquo In Parallel Computing On the Roadto Exascale Proceedings of the International Conference on Parallel Computing ParCo2015 1-4 September 2015 Edinburgh Scotland UK Ed by Gerhard R Joubert HughLeather Mark Parsons Frans J Peters and Mark Sawyer Vol 27 Advances in ParallelComputing IOS Press 2015 pp 553ndash562 doi 103233978-1-61499-621-7-553 urlhttpdxdoiorg103233978-1-61499-621-7-553

[17] Catalin Bogdan Ciobanu Ana Lucia Varbanescu Dionisios N Pnevmatikatos GeorgeCharitopoulos Xinyu Niu Wayne Luk MARCO D SANTAMBROGIO Donatella Sci-uto Muhammed Al Kadi Michael Hubner Tobias Becker Georgi Gaydadjiev AndreasBrokalakis Antonis Nikitakis Alex J W Thom Elias Vansteenkiste and Dirk StroobandtldquoEXTRA Towards an Efficient Open Platform for Reconfigurable High Performance Com-putingrdquo In 18th IEEE International Conference on Computational Science and Engineer-ing CSE 2015 Porto Portugal October 21-23 2015 Ed by Christian Plessl Didier ElBaz Guojing Cong Joao M P Cardoso Luıs Veiga and Thomas Rauber IEEE ComputerSociety 2015 pp 339ndash342 doi 101109CSE201554 url httpdxdoiorg101109CSE201554

[18] Andrea Corna Andrea Damiani Matteo Ferroni Alessandro Antonio Nacci Donatella Sci-uto and Marco Domenico SantambrogioldquoOpenMPower An Open and Accessible DatabaseAbout Real World Mobile Devicesrdquo In 13th IEEE International Conference on Embeddedand Ubiquitous Computing EUC 2013 Porto Portugal October 21-23 2015 Ed by EliBozorgzadeh Joao M P Cardoso Rui Abreu and Seda Ogrenci Memik IEEE ComputerSociety 2015 pp 183ndash187 doi 101109EUC201516 url httpdxdoiorg101109EUC201516

[19] Enrico A Deiana Marco Rabozzi Riccardo Cattaneo and MARCO D SANTAMBROGIOldquoA multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architec-turesrdquo In International Conference on ReConFigurable Computing and FPGAs ReConFig2015 Riviera Maya Mexico December 7-9 2015 Ed by Michael Hubner Maya Gokhaleand Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393328 urlhttpdxdoiorg101109ReConFig20157393328

[20] Matteo Ferroni Alessandro Antonio Nacci Matteo Turri Marco Domenico Santambrogioand Donatella Sciuto ldquoExperimental Evaluation and Modeling of Thermal Phenomena onMobile Devicesrdquo In 2015 Euromicro Conference on Digital System Design DSD 2015Madeira Portugal August 26-28 2015 IEEE Computer Society 2015 pp 306ndash313 doi101109DSD201520 url httpdxdoiorg101109DSD201520

[21] Giulia Gnemmi Mattia Crippa Gianluca Durelli Riccardo Cattaneo Gabriele Pallottaand MARCO D SANTAMBROGIO ldquoOn how to efficiently accelerate brain network anal-ysis on FPGA-based computing systemrdquo In International Conference on ReConFigurableComputing and FPGAs ReConFig 2015 Riviera Maya Mexico December 7-9 2015 Edby Michael Hubner Maya Gokhale and Rene Cumplido IEEE 2015 pp 1ndash6 doi 101109ReConFig20157393330 url httpdxdoiorg101109ReConFig20157393330

21

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[22] Antonio Miele Gianluca Carlo Durelli Marco Domenico Santambrogio and Cristiana Bol-chini ldquoA System-Level Simulation Framework for Evaluating Resource Management Poli-cies for Heterogeneous System Architecturesrdquo In 2015 Euromicro Conference on DigitalSystem Design DSD 2015 Madeira Portugal August 26-28 2015 IEEE Computer Soci-ety 2015 pp 637ndash644 doi 101109DSD201599 url httpdxdoiorg101109DSD201599

[23] Davide Pagano Mikel Vuka Marco Rabozzi Riccardo Cattaneo Donatella Sciuto andMARCO D SANTAMBROGIO ldquoThermal-aware floorplanning for partially-reconfigurableFPGA-based systemsrdquo In Proceedings of the 2015 Design Automation amp Test in EuropeConference amp Exhibition DATE 2015 Grenoble France March 9-13 2015 Ed by Wolf-gang Nebel and David Atienza ACM 2015 pp 920ndash923 url httpdlacmorgcitationcfmid=2757026

[24] Andrea Piscitello Francesco Paduano Alessandro Antonio Nacci Danny Noferi MARCOD SANTAMBROGIO and Donatella SciutoldquoDanger-system Exploring new ways to man-age occupants safety in smart buildingrdquo In WF-IoT 2015 pp 675ndash680 doi 101109WF-IoT20157389135 url httpdxdoiorg101109WF-IoT20157389135

[25] Marco Rabozzi Antonio Miele and MARCO D SANTAMBROGIO ldquoFloorplanning forPartially-Reconfigurable FPGAs via Feasible Placements Detectionrdquo In 23rd IEEE AnnualInternational Symposium on Field-Programmable Custom Computing Machines FCCM2015 Vancouver BC Canada May 2-6 2015 IEEE Computer Society 2015 pp 252ndash255 doi 101109FCCM201516 url httpdxdoiorg101109FCCM201516

[26] MARCO D SANTAMBROGIO Jose L Ayala Simone Campanoni Riccardo CattaneoGianluca C Durelli Matteo Ferroni Alessandro Antonio Nacci Jose Pagan Marina Za-pater and Monica Vallejo ldquoPower-awareness and smart-resource management in embed-ded computing systemsrdquo In 2015 International Conference on HardwareSoftware Code-sign and System Synthesis CODES+ISSS 2015 Amsterdam Netherlands October 4-92015 Ed by Gabriela Nicolescu and Andreas Gerstlauer IEEE 2015 pp 94ndash103 doi101109CODESISSS20157331372 url httpdxdoiorg101109CODESISSS20157331372

[27] Gianluca Durelli Marcello Coppola Karim Djafarian George Kornaros Antonio MieleMichele Paolino Oliver Pell Christian Plessl MARCO D SANTAMBROGIO and Cris-tiana Bolchini ldquoSAVE Towards Efficient Resource Management in Heterogeneous SystemArchitecturesrdquo In Reconfigurable Computing Architectures Tools and Applications - 10thInternational Symposium ARC 2014 Vilamoura Portugal April 14-16 2014 ProceedingsEd by Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and KoenBertels Vol 8405 Lecture Notes in Computer Science Springer 2014 pp 337ndash344 doi101007978-3-319-05960-0_38 url httpdxdoiorg101007978-3-319-05960-0_38

[28] Gianluca C Durelli Marcello Pogliani Antonio Miele Christian Plessl Heinrich RieblerMARCO D SANTAMBROGIO Gavin Vaz and Cristiana Bolchini ldquoRuntime ResourceManagement in Heterogeneous System Architectures The SAVE Approachrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 142ndash149 doi101109ISPA201427 url httpdxdoiorg101109ISPA201427

[29] Matteo Ferroni Andrea Damiani Alessandro Antonio Nacci Donatella Sciuto and MarcoDomenico Santambrogio ldquocODA An Open-Source Framework to Easily Design Context-Aware Android Appsrdquo In 12th IEEE International Conference on Embedded and Ubiqui-tous Computing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society2014 pp 33ndash38 doi 101109EUC201414 url httpdxdoiorg101109EUC201414

[30] Matteo Ferroni Andrea Cazzola Francesco Trovo Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn Power and Energy Consumption Modeling for Smart Mobile DevicesrdquoIn 12th IEEE International Conference on Embedded and Ubiquitous Computing EUC2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014 pp 273ndash280 doi101109EUC201447 url httpdxdoiorg101109EUC201447

22

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[31] Diana Goehringer Marco Domenico Santambrogio Joao M P Cardoso and Koen Bertelseds Reconfigurable Computing Architectures Tools and Applications - 10th InternationalSymposium ARC 2014 Vilamoura Portugal April 14-16 2014 Proceedings Vol 8405Lecture Notes in Computer Science Springer 2014 isbn 978-3-319-05959-4 doi 101007978-3-319-05960-0 url httpdxdoiorg101007978-3-319-05960-0

[32] A A Nacci Giovanni Bettinazzi Christian Pilato Vincenzo Rana MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoA SystemC-based framework for the simulation ofappliances networks in energy-aware smart spacesrdquo In WF-IoT 2014 pp 485ndash490 doi101109WF-IoT20146803215 url httpdxdoiorg101109WF-IoT20146803215

[33] Alessandro Antonio Nacci Vincenzo Rana Donatella Sciuto and Marco Domenico San-tambrogio ldquoAn Open-Source Efficient and Parameterizable Hardware Implementation ofthe AES Algorithmrdquo In IEEE International Symposium on Parallel and Distributed Pro-cessing with Applications ISPA 2014 Milan Italy August 26-28 2014 IEEE ComputerSociety 2014 pp 85ndash92 doi 101109ISPA201420 url httpdxdoiorg101109ISPA201420

[34] Alessandro Antonio Nacci Vincenzo Rana MARCO D SANTAMBROGIO and DonatellaSciuto ldquoImproving the security and the scalability of the AES algorithm (abstract only)rdquoIn The 2014 ACMSIGDA International Symposium on Field-Programmable Gate ArraysFPGA rsquo14 Monterey CA USA - February 26 - 28 2014 Ed by Vaughn Betz and GeorgeA Constantinides ACM 2014 p 256 doi 10114525546882554735 url http

doiacmorg10114525546882554735

[35] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Georgi Nedeltchev Gay-dadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Danilo Pau OliverPell Christian Pilato MARCO D SANTAMBROGIO Donatella Sciuto and Dirk StroobandtldquoEffective Reconfigurable Design The FASTER Approachrdquo In Reconfigurable ComputingArchitectures Tools and Applications - 10th International Symposium ARC 2014 Vilam-oura Portugal April 14-16 2014 Proceedings Ed by Diana Goehringer Marco DomenicoSantambrogio Joao M P Cardoso and Koen Bertels Vol 8405 Lecture Notes in Com-puter Science Springer 2014 pp 318ndash323 doi 101007978-3-319-05960-0_35 urlhttpdxdoiorg101007978-3-319-05960-0_35

[36] Marco Rabozzi John Lillis and MARCO D SANTAMBROGIOldquoFloorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programmingrdquo In 22nd IEEEAnnual International Symposium on Field-Programmable Custom Computing MachinesFCCM 2014 Boston MA USA May 11-13 2014 IEEE Computer Society 2014 pp 186ndash193 doi 101109FCCM201461 url httpdxdoiorg101109FCCM201461

[37] Vincenzo Rana Francesco Bruschi Marco Paolieri Donatella Sciuto and Marco DomenicoSantambrogio ldquoOn How to Efficiently Implement Regular Expression Matching on FPGA-Based Systemsrdquo In 12th IEEE International Conference on Embedded and UbiquitousComputing EUC 2014 Milano Italy August 26-28 2014 IEEE Computer Society 2014pp 304ndash309 doi 101109EUC201452 url httpdxdoiorg101109EUC201452

[38] Alberto Scolari Filippo Sironi Donatella Sciuto and Marco Domenico Santambrogio ldquoASurvey on Recent Hardware and Software-Level Cache Management Techniquesrdquo In IEEEInternational Symposium on Parallel and Distributed Processing with Applications ISPA2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 242ndash247 doi101109ISPA201441 url httpdxdoiorg101109ISPA201441

[39] Fabrizio Spada Alberto Scolari Gianluca C Durelli Riccardo Cattaneo MARCO D SAN-TAMBROGIO Donatella Sciuto Dionisios N Pnevmatikatos Georgi Gaydadjiev OliverPell Andreas Brokalakis Wayne Luk Dirk Stroobandt and Danilo Pau ldquoFPGA-BasedDesign Using the FASTER Toolchain The Case of STM Spear Development Boardrdquo InIEEE International Symposium on Parallel and Distributed Processing with ApplicationsISPA 2014 Milan Italy August 26-28 2014 IEEE Computer Society 2014 pp 134ndash141doi 101109ISPA201426 url httpdxdoiorg101109ISPA201426

23

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[40] Davide B Bartolini Riccardo Cattaneo Gianluca Durelli Martina Maggio MARCO DSANTAMBROGIO and Filippo Sironi ldquoThe autonomic operating system research projectachievements and future directionsrdquo In The 50th Annual Design Automation Conference2013 DAC rsquo13 Austin TX USA May 29 - June 07 2013 ACM 2013 771ndash7710 doi10114524632092488828 url httpdoiacmorg10114524632092488828

[41] Davide B Bartolini Filippo Sironi Martina Maggio Gianluca Durelli Donatella Sciutoand MARCO D SANTAMBROGIO ldquoTowards a performance-as-a-service cloudrdquo In ACMSymposium on Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013Ed by Guy M Lohman ACM 2013 261ndash262 doi 10114525236162525933 urlhttpdoiacmorg10114525236162525933

[42] Riccardo Cattaneo Christian Pilato Matteo Mastinu Oliver Kadlcek Oliver Pell andMarco Domenico Santambrogio ldquoRuntime adaptation on dataflow HPC platformsrdquo In2013 NASAESA Conference on Adaptive Hardware and Systems AHS 2013 Torino ItalyJune 24-27 2013 IEEE 2013 pp 84ndash91 doi 101109AHS20136604230 url httpdxdoiorg101109AHS20136604230

[43] Riccardo Cattaneo Christian Pilato Gianluca Durelli Marco Domenico Santambrogio andDonatella Sciuto ldquoSMASH A heuristic methodology for designing partially reconfigurableMPSoCsrdquo In Proceedings of the 24th IEEE International Symposium on Rapid SystemPrototyping RSP 2013 Montreal QC Canada October 3-4 2013 IEEE 2013 pp 102ndash108 doi 101109RSP20136683965 url httpdxdoiorg101109RSP20136683965

[44] Matteo Ferroni Andrea Cazzola Domenico Matteo Alessandro Antonio Nacci DonatellaSciuto and Marco Domenico Santambrogio ldquoMPower gain back your android battery liferdquoIn The 2013 ACM International Joint Conference on Pervasive and Ubiquitous ComputingUbiComp rsquo13 Zurich Switzerland September 8-12 2013 - Adjunct Publication Ed byFriedemann Mattern Silvia Santini John F Canny Marc Langheinrich and Jun RekimotoACM 2013 pp 171ndash174 doi 10114524940912494147 url httpdoiacmorg10114524940912494147

[45] Henry Hoffmann Martina Maggio MARCO D SANTAMBROGIO Alberto Leva andAnant AgarwalldquoA generalized software framework for accurate and efficient management ofperformance goalsrdquo In Proceedings of the International Conference on Embedded SoftwareEMSOFT 2013 Montreal QC Canada September 29 - Oct 4 2013 IEEE 2013 191ndash1910 doi 101109EMSOFT20136658597 url httpdxdoiorg101109EMSOFT20136658597

[46] A A Nacci Matteo Mazzucchelli Martina Maggio Alessandra Bonetto Donatella Sciutoand MARCO D SANTAMBROGIOldquoMorphoneOS Context-Awareness in Everyday LiferdquoIn 2013 Euromicro Conference on Digital System Design DSD 2013 Los Alamitos CAUSA September 4-6 2013 IEEE Computer Society 2013 pp 779ndash786 doi 101109DSD201389 url httpdxdoiorg101109DSD201389

[47] Jacopo Panerati Filippo Sironi Matteo Carminati Martina Maggio Giovanni BeltramePiotr J Gmytrasiewicz Donatella Sciuto and MARCO D SANTAMBROGIO ldquoOn self-adaptive resource allocation through reinforcement learningrdquo In 2013 NASAESA Con-ference on Adaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013IEEE 2013 pp 23ndash30 doi 101109AHS20136604222 url httpdxdoiorg101109AHS20136604222

[48] Christian Pilato Riccardo Cattaneo Gianluca Durelli Alessandro Antonio Nacci MarcoDomenico Santambrogio and Donatella Sciuto ldquoA2B An integrated framework for de-signing heterogeneous and reconfigurable systemsrdquo In 2013 NASAESA Conference onAdaptive Hardware and Systems AHS 2013 Torino Italy June 24-27 2013 IEEE 2013pp 198ndash205 doi 101109AHS20136604246 url httpdxdoiorg101109AHS20136604246

[49] MARCO D SANTAMBROGIO Christian Pilato Dionisios N Pnevmatikatos KyprianosPapadimitriou Dirk Stroobandt and Donatella Sciuto ldquoThe FASTER vision for designingdynamically reconfigurable systemsrdquo In Proceedings of 2013 International Conference onIC Design amp Technology ICICDT 2013 Pavia Italy May 29-31 2013 IEEE 2013 pp 5ndash8 doi 101109ICICDT20136563290 url httpdxdoiorg101109ICICDT20136563290

24

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[50] Alberto Scolari Filippo Sironi Davide B Bartolini Donatella Sciuto and MARCO DSANTAMBROGIOldquoColoring the cloud for predictable performancerdquo In ACM Symposiumon Cloud Computing SOCC rsquo13 Santa Clara CA USA October 1-3 2013 Ed by GuyM Lohman ACM 2013 471ndash472 doi 10114525236162525955 url httpdoiacmorg10114525236162525955

[51] Filippo Sironi Martina Maggio Riccardo Cattaneo Giovanni F Del Nero Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoThermOS System support for dynamic thermalmanagement of chip multi-processorsrdquo In Proceedings of the 22nd International Confer-ence on Parallel Architectures and Compilation Techniques Edinburgh United KingdomSeptember 7-11 2013 Ed by Christian Fensch Michael F P OrsquoBoyle Andre Seznec andFrancois Bodin IEEE Computer Society 2013 pp 41ndash50 doi 101109PACT2013

6618802 url httpdxdoiorg101109PACT20136618802

[52] Sheetal Bhandari Shaila Subbaraman Shashank Pujari Fabio Cancare Francesco BruschiMARCO D SANTAMBROGIO and Paolo Roberto Grassi ldquoHigh Speed Dynamic PartialReconfiguration for Real Time Multimedia Signal Processingrdquo In 15th Euromicro Confer-ence on Digital System Design DSD 2012 Cesme Izmir Turkey September 5-8 2012IEEE Computer Society 2012 pp 319ndash326 doi 101109DSD201274 url http

dxdoiorg101109DSD201274

[53] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoAn open-source design and validation platformfor reconfigurable systemsrdquo In 22nd International Conference on Field Programmable Logicand Applications (FPL) Oslo Norway August 29-31 2012 Ed by Dirk Koch SatnamSingh and Jim Toslashrresen IEEE 2012 pp 707ndash710 doi 101109FPL20126339158url httpdxdoiorg101109FPL20126339158

[54] Alessandra Bonetto Matteo Ferroni Domenico Matteo A A Nacci Matteo MazzucchelliDonatella Sciuto and MARCO D SANTAMBROGIO ldquoMPower Towards an AdaptivePower Management System for Mobile Devicesrdquo In 15th IEEE International Conferenceon Computational Science and Engineering CSE 2012 Paphos Cyprus December 5-72012 IEEE Computer Society 2012 pp 318ndash325 doi 101109ICCSE201251 urlhttpdxdoiorg101109ICCSE201251

[55] Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sci-uto and MARCO D SANTAMBROGIO ldquoTaBit A framework for task graph to bitstreamgenerationrdquo In 2012 International Conference on Embedded Computer Systems Architec-tures Modeling and Simulation SAMOS XII Samos Greece July 16-19 2012 IEEE2012 pp 201ndash208 doi 101109SAMOS20126404175 url httpdxdoiorg101109SAMOS20126404175

[56] Andrea Cazzaniga Gianluca Durelli Christian Pilato Donatella Sciuto and MARCO DSANTAMBROGIO ldquoOn the Development of a Runtime Reconfigurable Multicore System-on-Chiprdquo In 15th Euromicro Conference on Digital System Design DSD 2012 CesmeIzmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 132ndash135 doi101109DSD201293 url httpdxdoiorg101109DSD201293

[57] Luigi Dadda M Pisoni and MARCO D SANTAMBROGIO ldquoA Parallel-Serial DecimalMultiplier Architecturerdquo In 15th IEEE International Conference on Computational Scienceand Engineering CSE 2012 Paphos Cyprus December 5-7 2012 IEEE Computer Society2012 pp 310ndash317 doi 101109ICCSE201250 url httpdxdoiorg101109ICCSE201250

[58] Kyprianos Papadimitriou Christian Pilato Dionisios N Pnevmatikatos MARCO D SAN-TAMBROGIO Catalin Bogdan Ciobanu Tod Todman Tobias Becker Tom DavidsonXinyu Niu Georgi Gaydadjiev Wayne Luk and Dirk Stroobandt ldquoNovel Design Methodsand a Tool Flow for Unleashing Dynamic Reconfigurationrdquo In 15th IEEE InternationalConference on Computational Science and Engineering CSE 2012 Paphos Cyprus De-cember 5-7 2012 IEEE Computer Society 2012 pp 391ndash398 doi 101109ICCSE201261 url httpdxdoiorg101109ICCSE201261

25

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[59] Christian Pilato Andrea Cazzaniga Gianluca Durelli Andres Otero Donatella Sciuto andMARCO D SANTAMBROGIO ldquoOn the automatic integration of hardware acceleratorsinto FPGA-based embedded systemsrdquo In 22nd International Conference on Field Pro-grammable Logic and Applications (FPL) Oslo Norway August 29-31 2012 Ed by DirkKoch Satnam Singh and Jim Toslashrresen IEEE 2012 pp 607ndash610 doi 101109FPL20126339218 url httpdxdoiorg101109FPL20126339218

[60] Dionisios N Pnevmatikatos Tobias Becker Andreas Brokalakis Karel Bruneel GeorgiGaydadjiev Wayne Luk Kyprianos Papadimitriou Ioannis Papaefstathiou Oliver PellChristian Pilato M Robart MARCO D SANTAMBROGIO Donatella Sciuto Dirk Stroobandtand Tim Todman ldquoFASTER Facilitating Analysis and Synthesis Technologies for Effec-tive Reconfigurationrdquo In 15th Euromicro Conference on Digital System Design DSD 2012Cesme Izmir Turkey September 5-8 2012 IEEE Computer Society 2012 pp 234ndash241doi 101109DSD201259 url httpdxdoiorg101109DSD201259

[61] Filippo Sironi Davide B Bartolini Simone Campanoni Fabio Cancare Henry HoffmannDonatella Sciuto and MARCO D SANTAMBROGIOldquoMetronome operating system levelperformance management via self-adaptive computingrdquo In The 49th Annual Design Au-tomation Conference 2012 DAC rsquo12 San Francisco CA USA June 3-7 2012 Ed byPatrick Groeneveld Donatella Sciuto and Soha Hassoun ACM 2012 pp 856ndash865 doi10114522283602228514 url httpdoiacmorg10114522283602228514

[62] Abdulkadir Akin Ivan Beretta A A Nacci Vincenzo Rana MARCO D SANTAMBRO-GIO and David Atienza ldquoA high-performance parallel implementation of the Chambollealgorithmrdquo In Design Automation and Test in Europe DATE 2011 Grenoble FranceMarch 14-18 2011 IEEE 2011 pp 1436ndash1441 doi 101109DATE20115763232 urlhttpdxdoiorg101109DATE20115763232

[63] Fabio Cancare Sheetal Bhandari Davide B Bartolini Matteo Carminati and MARCOD SANTAMBROGIO ldquoA birdrsquos eye view of FPGA-based Evolvable Hardwarerdquo In 2011NASAESA Conference on Adaptive Hardware and Systems AHS 2011 San Diego Cal-ifornia USA June 6-9 2011 Ed by David Merodio Tughrul Arslan Umeshkumar DPatel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan Michael Newell Luca Fos-sati and Duane Armstrong IEEE 2011 pp 169ndash175 doi 101109AHS20115963932url httpdxdoiorg101109AHS20115963932

[64] Sebastian Korf Dario Cozzi Markus Koester Jens Hagemeyer Mario Porrmann UlrichRuckert and MARCO D SANTAMBROGIO ldquoAutomatic HDL-Based Generation of Ho-mogeneous Hard Macros for FPGAsrdquo In IEEE 19th Annual International Symposiumon Field-Programmable Custom Computing Machines FCCM 2011 Salt Lake City UtahUSA 1-3 May 2011 Ed by Paul Chow and Michael J Wirthlin IEEE Computer Society2011 pp 125ndash132 doi 101109FCCM201136 url httpdxdoiorg101109FCCM201136

[65] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto LevaldquoDecision making in autonomic computing systems comparison of approachesand techniquesrdquo In Proceedings of the 8th International Conference on Autonomic Comput-ing ICAC 2011 Karlsruhe Germany June 14-18 2011 Ed by Hartmut Schmeck Wolf-gang Rosenstiel Tarek F Abdelzaher and Joseph L Hellerstein ACM 2011 pp 201ndash204doi 10114519985821998629 url httpdoiacmorg10114519985821998629

[66] Filippo Sironi Andrea Cuoccio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoEvolvable systems on reconfigurable architecture via self-aware adaptiveapplicationsrdquo In 2011 NASAESA Conference on Adaptive Hardware and Systems AHS2011 San Diego California USA June 6-9 2011 Ed by David Merodio Tughrul ArslanUmeshkumar D Patel Didier Keymeulen Khaled Benkrid Ahmet T Erdogan MichaelNewell Luca Fossati and Duane Armstrong IEEE 2011 pp 176ndash183 doi 101109AHS20115963933 url httpdxdoiorg101109AHS20115963933

[67] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA direct bitstreammanipulation approach for Virtex4-based evolvable systemsrdquo In International Symposiumon Circuits and Systems (ISCAS 2010) May 30 - June 2 2010 Paris France IEEE 2010pp 853ndash856 doi 101109ISCAS20105537429 url httpdxdoiorg101109ISCAS20105537429

26

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[68] Jonathan Eastep David Wingate MARCO D SANTAMBROGIO and Anant AgarwalldquoSmartlocks lock acquisition scheduling for self-aware synchronizationrdquo In Proceedingsof the 7th International Conference on Autonomic Computing ICAC 2010 WashingtonDC USA June 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and EmreKiciman ACM 2010 pp 215ndash224 doi 10114518090491809079 url httpdoiacmorg10114518090491809079

[69] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats a generic interface for specifying program per-formance and goals in autonomous computing environmentsrdquo In Proceedings of the 7thInternational Conference on Autonomic Computing ICAC 2010 Washington DC USAJune 7-11 2010 Ed by Manish Parashar Renato J O Figueiredo and Emre KicimanACM 2010 pp 79ndash88 doi 10114518090491809065 url httpdoiacmorg10114518090491809065

[70] Henry Hoffmann Jonathan Eastep MARCO D SANTAMBROGIO Jason E Miller andAnant Agarwal ldquoApplication heartbeats for software performance and healthrdquo In Pro-ceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of ParallelProgramming PPOPP 2010 Bangalore India January 9-14 2010 Ed by R Govindara-jan David A Padua and Mary W Hall ACM 2010 pp 347ndash348 doi 10114516934531693507 url httpdoiacmorg10114516934531693507

[71] Xabier Iturbe Khaled Benkrid Tughrul Arslan Imanol Martinez Mikel Azkarate-askasuaand MARCO D SANTAMBROGIO ldquoA Roadmap for Autonomous Fault-Tolerant Sys-temsrdquo In Proceedings of the 2010 Conference on Design amp Architectures for Signal ampImage Processing DASIP 2010 Edinburgh Scotland UK October 26-28 2010 ElectronicChips amp Systems design Initiative ECSI IEEE 2010 pp 311ndash321 doi 101109DASIP20105706281 url httpdxdoiorg101109DASIP20105706281

[72] Martina Maggio Henry Hoffmann MARCO D SANTAMBROGIO Anant Agarwal andAlberto Leva ldquoControlling software applications via resource allocation within the heart-beats frameworkrdquo In Proceedings of the 49th IEEE Conference on Decision and ControlCDC 2010 December 15-17 2010 Atlanta Georgia USA IEEE 2010 pp 3736ndash3741 doi101109CDC20105717893 url httpdxdoiorg101109CDC20105717893

[73] Alessandro Panella MARCO D SANTAMBROGIO Francesco Redaelli Fabio Cancareand Donatella Sciuto ldquoA design workflow for dynamically reconfigurable multi-FPGA sys-temsrdquo In 18th IEEEIFIP VLSI-SoC 2010 IEEEIFIP WG 105 International Confer-ence on Very Large Scale Integration of System-on-Chip Madrid Spain 27-29 Septem-ber 2010 IEEE 2010 pp 414ndash419 doi 101109VLSISOC20105642697 url httpdxdoiorg101109VLSISOC20105642697

[74] Christoph Puttmann Mario Porrmann Paolo Roberto Grassi MARCO D SANTAMBRO-GIO and Ulrich Ruckert ldquoHigh level specification of embedded listeners for monitoring ofNetwork-on-Chipsrdquo In International Symposium on Circuits and Systems (ISCAS 2010)May 30 - June 2 2010 Paris France IEEE 2010 pp 3333ndash3336 doi 101109ISCAS20105537894 url httpdxdoiorg101109ISCAS20105537894

[75] MARCO D SANTAMBROGIO Henry Hoffmann Jonathan Eastep and Anant AgarwalldquoEnabling technologies for self-aware adaptive systemsrdquo In 2010 NASAESA Conferenceon Adaptive Hardware and Systems AHS 2010 Anaheim California USA June 15-182010 Ed by Tughrul Arslan Didier Keymeulen David Merodio Khaled Benkrid AhmetT Erdogan and Umeshkumar D Patel IEEE 2010 pp 149ndash156 doi 101109AHS20105546266 url httpdxdoiorg101109AHS20105546266

[76] Filippo Sironi Marco Triverio Henry Hoffmann Martina Maggio and MARCO D SAN-TAMBROGIO ldquoSelf-Aware Adaptation in FPGA-based Systemsrdquo In International Con-ference on Field Programmable Logic and Applications FPL 2010 August 31 2010 - Septem-ber 2 2010 Milano Italy IEEE Computer Society 2010 pp 187ndash192 doi 101109FPL201043 url httpdxdoiorg101109FPL201043

[77] Ivan Beretta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoOn-line task management for a reconfigurable cryptographic architecturerdquo In 23rd IEEE In-ternational Symposium on Parallel and Distributed Processing IPDPS 2009 Rome ItalyMay 23-29 2009 IEEE 2009 pp 1ndash4 doi 101109IPDPS20095161211 url httpdxdoiorg101109IPDPS20095161211

27

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[78] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoAn application-centered design flow for self reconfigurable systems implementationrdquo In Proceedings ofthe 14th Asia South Pacific Design Automation Conference ASP-DAC 2009 YokohamaJapan January 19-22 2009 Ed by Kazutoshi Wakabayashi IEEE 2009 pp 248ndash253doi 101109ASPDAC20094796488 url httpdxdoiorg101109ASPDAC20094796488

[79] Dario Cozzi Claudia Fare Alessandro Meroni Vincenzo Rana MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoReconfigurable NoC design flow for multiple applicationsrun-time mapping on FPGA devicesrdquo In Proceedings of the 19th ACM Great Lakes Sym-posium on VLSI 2009 Boston Area MA USA May 10-12 2009 Ed by Fabrizio Lom-bardi Sanjukta Bhanja Yehia Massoud and R Iris Bahar ACM 2009 pp 421ndash424 doi10114515315421531638 url httpdoiacmorg10114515315421531638

[80] Paolo Roberto Grassi MARCO D SANTAMBROGIO Jens Hagemeyer Christopher Pohland Mario Porrmann ldquoSiLLis A Simplified Language for Monitoring and Debugging of Re-configurable Systemsrdquo In Proceedings of the 2009 International Conference on Engineeringof Reconfigurable Systems amp Algorithms ERSA 2009 July 13-16 2009 Las Vegas NevadaUSA Ed by Toomas P Plaks CSREA Press 2009 pp 174ndash180

[81] Vincenzo Rana Srinivasan Murali David Atienza MARCO D SANTAMBROGIO LucaBenini and Donatella Sciuto ldquoMinimization of the reconfiguration latency for the map-ping of applications on FPGA-based systemsrdquo In Proceedings of the 7th InternationalConference on HardwareSoftware Codesign and System Synthesis CODES+ISSS 2009Grenoble France October 11-16 2009 Ed by Wolfgang Rosenstiel and Kazutoshi Wak-abayashi ACM 2009 pp 325ndash334 doi 10114516294351629480 url httpdoiacmorg10114516294351629480

[82] MARCO D SANTAMBROGIO ldquoFrom Reconfigurable Architectures to Self-Adaptive Au-tonomic Systemsrdquo In Proceedings of the 12th IEEE International Conference on Com-putational Science and Engineering CSE 2009 Vancouver BC Canada August 29-312009 IEEE Computer Society 2009 pp 926ndash931 doi 101109CSE2009490 urlhttpdxdoiorg101109CSE2009490

[83] MARCO D SANTAMBROGIO Massimo Redaelli and Marco Maggioni ldquoTask graphscheduling for reconfigurable architectures driven by reconfigurations hiding and resourcesreuserdquo In Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009 BostonArea MA USA May 10-12 2009 Ed by Fabrizio Lombardi Sanjukta Bhanja Yehia Mas-soud and R Iris Bahar ACM 2009 pp 21ndash26 doi 10114515315421531552 urlhttpdoiacmorg10114515315421531552

[84] MARCO D SANTAMBROGIO Massimo Morandi Marco Novati and Donatella SciutoldquoA runtime relocation based workflow for self dynamic reconfigurable systems designrdquo In19th International Conference on Field Programmable Logic and Applications FPL 2009August 31 - September 2 2009 Prague Czech Republic Ed by Martin Danek Jiri Kadlecand Brent E Nelson IEEE 2009 pp 86ndash91 doi 101109FPL20095272545 urlhttpdxdoiorg101109FPL20095272545

[85] Ivano Bonesana Marco Paolieri and MARCO D SANTAMBROGIOldquoAn adaptable FPGA-based System for Regular Expression Matchingrdquo In Design Automation and Test in Eu-rope DATE 2008 Munich Germany March 10-14 2008 Ed by Donatella Sciuto ACM2008 pp 1262ndash1267 doi 101109DATE20084484852 url httpdxdoiorg101109DATE20084484852

[86] Fabio Cancare MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA design flow tai-lored for self dynamic reconfigurable architecturerdquo In 22nd IEEE International Sympo-sium on Parallel and Distributed Processing IPDPS 2008 Miami Florida USA April14-18 2008 IEEE 2008 pp 1ndash8 doi 10 1109 IPDPS 2008 4536526 url http

dxdoiorg101109IPDPS20084536526

[87] Simone Corbetta Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoA light-weight Network-on-Chip architecture for dynamically reconfigurable systemsrdquo InProceedings of the 2008 International Conference on Embedded Computer Systems Ar-chitectures Modeling and Simulation (IC-SAMOS 2008) Samos Greece July 21-24 2008Ed by Walid A Najjar and Holger Blume IEEE 2008 pp 49ndash56 doi 101109ICSAMOS20084664846 url httpdxdoiorg101109ICSAMOS20084664846

28

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[88] Andrea Cuoccio Paolo Roberto Grassi Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoA Generation Flow for Self-Reconfiguration Controllers Customiza-tionrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 279ndash284 doi 101109DELTA200835 url httpdxdoiorg101109DELTA200835

[89] Carlo Curino Luca Fossati Vincenzo Rana Francesco Redaelli MARCO D SANTAM-BROGIO and Donatella Sciuto ldquoThe Shining embedded system design methodology basedon self dynamic reconfigurable architecturesrdquo In Proceedings of the 13th Asia South Pa-cific Design Automation Conference ASP-DAC 2008 Seoul Korea January 21-24 2008Ed by Chong-Min Kyung Kiyoung Choi and Soonhoi Ha IEEE 2008 pp 595ndash600 doi101109ASPDAC20084484021 url httpdxdoiorg101109ASPDAC20084484021

[90] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Requirements-Driven Reconfigurable SoC Communication Infrastructure DesignFlowrdquo In 4th IEEE International Symposium on Electronic Design Test and ApplicationsDELTA 2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 405ndash409 doi 101109DELTA2008127 url httpdxdoiorg101109DELTA2008127

[91] Alessandro Meroni Vincenzo Rana MARCO D SANTAMBROGIO and Francesco Br-uschi ldquoA Requirements-Driven Simulation Framework for Communication InfrastructuresDesignrdquo In Forum on specification and Design Languages FDL 2008 September 23-252008 Stuttgart Germany Proceedings IEEE 2008 pp 111ndash117 doi 101109FDL20084641431 url httpdxdoiorg101109FDL20084641431

[92] Alessio Montone MARCO D SANTAMBROGIO and Donatella Sciuto ldquoA Design Work-flow for the Identification of Area Constraints in Dynamic Reconfigurable Systemsrdquo In4th IEEE International Symposium on Electronic Design Test and Applications DELTA2008 Hong Kong January 23-25 2008 IEEE Computer Society 2008 pp 450ndash453 doi101109DELTA200895 url httpdxdoiorg101109DELTA200895

[93] Alessio Montone Francesco Redaelli MARCO D SANTAMBROGIO and Seda OgrenciMemikldquoA Reconfiguration-Aware Floorplacer for FPGAsrdquo In ReConFigrsquo08 2008 Interna-tional Conference on Reconfigurable Computing and FPGAs 3-5 December 2008 CancunMexico Proceedings IEEE Computer Society 2008 pp 109ndash114 doi 101109ReConFig200836 url httpdxdoiorg101109ReConFig200836

[94] Alessio Montone Vincenzo Rana MARCO D SANTAMBROGIO and Donatella SciutoldquoHARPE A Harvard-based processing element tailored for partial dynamic reconfigurablearchitecturesrdquo In 22nd IEEE International Symposium on Parallel and Distributed Pro-cessing IPDPS 2008 Miami Florida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi101109IPDPS20084536507 url httpdxdoiorg101109IPDPS2008

4536507

[95] Massimo Morandi Marco Novati MARCO D SANTAMBROGIO and Donatella SciutoldquoCore Allocation and Relocation Management for a Self Dynamically Reconfigurable Archi-tecturerdquo In IEEE Computer Society Annual Symposium on VLSI ISVLSI 2008 7-9 April2008 Montpellier France IEEE Computer Society 2008 pp 286ndash291 doi 101109

ISVLSI200839 url httpdxdoiorg101109ISVLSI200839

[96] Vincenzo Rana David Atienza MARCO D SANTAMBROGIO Donatella Sciuto andGiovanni De Micheli ldquoA Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communicationrdquo In VLSI-SoC Design Methodologies for SoC and SiP- 16th IFIP WG 105IEEE International Conference on Very Large Scale IntegrationVLSI-SoC 2008 Rhodes Island Greece October 13-15 2008 Revised Selected Papers Edby Christian Piguet Ricardo Reis and Dimitrios Soudris Vol 313 IFIP Advances inInformation and Communication Technology Springer 2008 pp 232ndash250 doi 101007978-3-642-12267-5_13 url httpdxdoiorg101007978-3-642-12267-5_13

[97] Francesco Redaelli MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAn ILPFormulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfig-urable Architecturesrdquo In ReConFigrsquo08 2008 International Conference on ReconfigurableComputing and FPGAs 3-5 December 2008 Cancun Mexico Proceedings IEEE Com-

29

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

puter Society 2008 pp 97ndash102 doi 101109ReConFig200842 url httpdxdoiorg101109ReConFig200842

[98] Francesco Redaelli MARCO D SANTAMBROGIO and Donatella Sciuto ldquoTask Schedul-ing with Configuration Prefetching and Anti-Fragmentation techniques on DynamicallyReconfigurable Systemsrdquo In Design Automation and Test in Europe DATE 2008 Mu-nich Germany March 10-14 2008 Ed by Donatella Sciuto ACM 2008 pp 519ndash522 doi101109DATE20084484902 url httpdxdoiorg101109DATE20084484902

[99] MARCO D SANTAMBROGIO Vincenzo Rana and Donatella Sciuto ldquoOperating systemsupport for online partial dynamic reconfiguration managementrdquo In FPL 2008 Interna-tional Conference on Field Programmable Logic and Applications Heidelberg Germany8-10 September 2008 IEEE 2008 pp 455ndash458 doi 101109FPL20084629982 urlhttpdxdoiorg101109FPL20084629982

[100] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDesign methodology for partial dy-namic reconfiguration a new degree of freedom in the HWSW codesignrdquo In 22nd IEEEInternational Symposium on Parallel and Distributed Processing IPDPS 2008 MiamiFlorida USA April 14-18 2008 IEEE 2008 pp 1ndash8 doi 101109IPDPS20084536542url httpdxdoiorg101109IPDPS20084536542

[101] Anna Antola Marco Castagna Pamela Gotti and MARCO D SANTAMBROGIOldquoEvolv-able Hardware A Functional Level Evolution Framework Based on ImpulseCrdquo In Proceed-ings of the 2007 International Conference on Engineering of Reconfigurable Systems ampAlgorithms ERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas PPlaks CSREA Press 2007 pp 216ndash219

[102] Cristiana Bolchini Antonio Miele and MARCO D SANTAMBROGIO ldquoTMR and PartialDynamic Reconfiguration to mitigate SEU faults in FPGAsrdquo In 22nd IEEE InternationalSymposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007) 26-28 September2007 Rome Italy Ed by Cristiana Bolchini Yong-Bin Kim Adelio Salsano and NurA Touba IEEE Computer Society 2007 pp 87ndash95 doi 101109DFT200725 urlhttpdxdoiorg101109DFT200725

[103] Cristiana Bolchini Davide Quarta and MARCO D SANTAMBROGIO ldquoSEU mitigationfor sram-based fpgas through dynamic partial reconfigurationrdquo In Proceedings of the 17thACM Great Lakes Symposium on VLSI 2007 Stresa Lago Maggiore Italy March 11-132007 Ed by Hai Zhou Enrico Macii Zhiyuan Yan and Yehia Massoud ACM 2007pp 55ndash60 doi 10114512287841228803 url httpdoiacmorg101145

12287841228803

[104] Cristiana Bolchini Fabio Salice and MARCO D SANTAMBROGIO ldquoExploring PartialReconfiguration for Mitigating SEU faults in SRAM-Based FPGAsrdquo In Proceedings of the2007 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2007 Las Vegas Nevada USA June 25-28 2007 Ed by Toomas P Plaks CSREAPress 2007 pp 199ndash202

[105] Simone Corbetta Fabrizio Ferrandi Massimo Morandi Marco Novati MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoTwo Novel Approaches to Online Partial BitstreamRelocation in a Dynamically Reconfigurable Systemrdquo In 2007 IEEE Computer SocietyAnnual Symposium on VLSI (ISVLSI 2007) May 9-11 2007 Porto Alegre Brazil IEEEComputer Society 2007 pp 457ndash458 doi 101109ISVLSI200799 url httpdxdoiorg101109ISVLSI200799

[106] Matteo Giani Massimo Redaelli MARCO D SANTAMBROGIO and Donatella SciutoldquoTask Partitioning for the Scheduling on Reconfigurable Systems driven by SpecificationSelf-Similarityrdquo In Proceedings of the 2007 International Conference on Engineering ofReconfigurable Systems amp Algorithms ERSA 2007 Las Vegas Nevada USA June 25-282007 Ed by Toomas P Plaks CSREA Press 2007 pp 78ndash84

[107] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU A paralleland pipelined architecture for regular expression matchingrdquo In IFIP VLSI-SoC 2007 IFIPWG 105 International Conference on Very Large Scale Integration of System-on-ChipAtlanta GA USA 15-17 October 2007 IEEE 2007 pp 19ndash24 doi 101109VLSISOC20074402466 url httpdxdoiorg101109VLSISOC20074402466

30

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[108] Marco Paolieri Ivano Bonesana and MARCO D SANTAMBROGIO ldquoReCPU a Paralleland Pipelined Architecture for Regular Expression Matchingrdquo In VLSI-SoC AdvancedTopics on Systems on a Chip - A Selection of Extended Versions of the Best Papers ofthe Fourteenth International Conference on Very Large Scale Integration of System onChip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIP Springer 2007pp 1ndash20 doi 101007978-0-387-89558-1_6 url httpdxdoiorg101007978-0-387-89558-1_6

[109] Vincenzo Rana MARCO D SANTAMBROGIO and Donatella Sciuto ldquoDynamic Recon-figurability in Embedded System Designrdquo In International Symposium on Circuits and Sys-tems (ISCAS 2007) 27-20 May 2007 New Orleans Louisiana USA IEEE 2007 pp 2734ndash2737 doi 101109ISCAS2007378618 url httpdxdoiorg101109ISCAS2007378618

[110] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo In IFIPVLSI-SoC 2007 IFIP WG 105 International Conference on Very Large Scale Integrationof System-on-Chip Atlanta GA USA 15-17 October 2007 IEEE 2007 pp 128ndash133 doi101109VLSISOC20074402485 url httpdxdoiorg101109VLSISOC20074402485

[111] Vincenzo Rana Chiara Sandionigi MARCO D SANTAMBROGIO and Donatella SciutoldquoAn adaptive genetic algorithm for dynamically reconfigurable modules allocationrdquo InVLSI-SoC Advanced Topics on Systems on a Chip - A Selection of Extended Versions ofthe Best Papers of the Fourteenth International Conference on Very Large Scale Integrationof System on Chip (VLSI-SoC2007) October 15-17 2007 Atlanta USA Vol 291 IFIPSpringer 2007 pp 1ndash18 doi 101007978-0-387-89558-1_12 url httpdxdoiorg101007978-0-387-89558-1_12

[112] Vincenzo Rana MARCO D SANTAMBROGIO Donatella Sciuto Boris Kettelhoit MarkusKoster Mario Porrmann and Ulrich Ruckert ldquoPartial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linuxrdquo In 21th International Parallel and Dis-tributed Processing Symposium (IPDPS 2007) Proceedings 26-30 March 2007 Long BeachCalifornia USA IEEE 2007 pp 1ndash8 doi 101109IPDPS2007370363 url httpdxdoiorg101109IPDPS2007370363

[113] MARCO D SANTAMBROGIO Seda Ogrenci Memik Vincenzo Rana Umut A Acarand Donatella Sciuto ldquoA novel SoC design methodology combining adaptive software andreconfigurable hardwarerdquo In 2007 International Conference on Computer-Aided DesignICCAD 2007 San Jose CA USA November 5-8 2007 Ed by Georges G E GielenIEEE Computer Society 2007 pp 303ndash308 doi 101109ICCAD20074397281 urlhttpdxdoiorg101109ICCAD20074397281

[114] Giovanni Agosta MARCO D SANTAMBROGIO and Seda Ogrenci Memik ldquoAdaptiveMetrics for System-Level Functional Partitioningrdquo In Forum on specification and DesignLanguages FDL 2006 September 19-22 2006 Darmstadt Germany Proceedings ECSI2006 pp 153ndash155 url httpwwwecsi- associationorgecsimainaspl1=

libraryamp38fn=defamp38id=341

[115] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoSynthesis of Object Oriented Models on Reconfigurable Hardwarerdquo In Proceedings ofthe 2006 International Conference on Engineering of Reconfigurable Systems amp AlgorithmsERSA 2006 Las Vegas Nevada USA June 26-29 2006 Ed by Toomas P Plaks CSREAPress 2006 pp 249ndash250

[116] Carlo Amicucci Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoSyCERS a SystemC Design Exploration Framework for SoC Reconfigurable Architec-turerdquo In Proceedings of the 2006 International Conference on Engineering of Reconfig-urable Systems amp Algorithms ERSA 2006 Las Vegas Nevada USA June 26-29 2006Ed by Toomas P Plaks CSREA Press 2006 pp 63ndash69

[117] Simone Borgio Davide Bosisio Fabrizio Ferrandi Matteo Monchiero MARCO D SAN-TAMBROGIO Donatella Sciuto and Antonino Tumeo ldquoHardware DWT accelerator forMultiProcessor System-on-Chip on FPGArdquo In Proceedings of 2006 International Confer-ence on Embedded Computer Systems Architectures Modeling and Simulation (IC-SAMOS2006) Samos Greece July 17-20 2006 Ed by Georgi Gaydadjiev C John Glossner

31

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

Jarmo Takala and Stamatis Vassiliadis IEEE 2006 pp 107ndash114 doi 101109ICSAMOS2006300816 url httpdxdoiorg101109ICSAMOS2006300816

[118] Roberto Cordone Fabrizio Ferrandi MARCO D SANTAMBROGIO Gianluca Palermoand Donatella Sciuto ldquoUsing speculative computation and parallelizing techniques to im-prove scheduling of control based designsrdquo In Proceedings of the 2006 Conference on AsiaSouth Pacific Design Automation ASP-DAC 2006 Yokohama Japan January 24-272006 Ed by Fumiyasu Hirose IEEE 2006 pp 898ndash904 doi 101109ASPDAC20061594800 url httpdxdoiorg101109ASPDAC20061594800

[119] Fabrizio Ferrandi G Ferrara R Palazzo Vincenzo Rana and MARCO D SANTAM-BROGIO ldquoVHDL to FPGA automatic IP-Core generation a case study on Xilinx designflowrdquo In 20th International Parallel and Distributed Processing Symposium (IPDPS 2006)Proceedings 25-29 April 2006 Rhodes Island Greece IEEE 2006 doi 101109IPDPS20061639491 url httpdxdoiorg101109IPDPS20061639491

[120] Marco Giorgetta MARCO D SANTAMBROGIO Donatella Sciuto and Paola SpoletinildquoA graph-coloring approach to the allocation and tasks scheduling for reconfigurable ar-chitecturesrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 24ndash29 doi 101109VLSISOC2006313267 url httpdxdoiorg101109VLSISOC2006313267

[121] Matteo Murgida Alessandro Panella Vincenzo Rana MARCO D SANTAMBROGIOand Donatella Sciuto ldquoFast IP-Core Generation in a Partial Dynamic ReconfigurationWorkflowrdquo In IFIP VLSI-SoC 2006 IFIP WG 105 International Conference on VeryLarge Scale Integration of System-on-Chip Nice France 16-18 October 2006 IEEE 2006pp 74ndash79 doi 101109VLSISOC2006313207 url httpdxdoiorg101109VLSISOC2006313207

[122] Vincenzo Rana MARCO D SANTAMBROGIO Seda Ogrenci Memik and Donatella Sci-utoldquoCombining hardware reconfiguration and adaptive computation for a novel SoC designmethodologyrdquo In 2006 IEEE International Conference on Field Programmable TechnologyFPT 2006 Bangkok Thailand December 13-15 2006 Ed by George A ConstantinidesWai-Kei Mak Phaophak Sirisuk and Theerayod Wiangtong IEEE 2006 pp 293ndash296 doi101109FPT2006270331 url httpdxdoiorg101109FPT2006270331

[123] MARCO D SANTAMBROGIO and Donatella Sciuto ldquoPartial Dynamic ReconfigurationThe Caronte Approach A New Degree of Freedom in the HWSW Codesignrdquo In Proceed-ings of the 2006 International Conference on Field Programmable Logic and Applications(FPL) Madrid Spain August 28-30 2006 IEEE 2006 pp 1ndash2 doi 101109FPL2006311355 url httpdxdoiorg101109FPL2006311355

[124] MARCO D SANTAMBROGIO Christina Tziviskou and Gesualdo Le Moli ldquoMorfWebA New Way of Living the Web Accessrdquo In 2006 International Conference on Informationand Communication Technologies and Development ICTD rsquo06 Berkeley California USAMay 25-26 2006 Ed by Kentaro Toyama IEEE 2006 pp 310ndash316 doi 101109ICTD2006301869 url httpdxdoiorg101109ICTD2006301869

[125] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella Sciuto ldquoCaronte A Complete Methodology for the Implementation of PartiallyDynamically Self-Reconfiguring Systems on FPGA Platformsrdquo In 13th IEEE Symposiumon Field-Programmable Custom Computing Machines (FCCM 2005) 17-20 April 2005Napa CA USA Proceedings IEEE Computer Society 2005 pp 321ndash322 doi 101109FCCM200525 url httpdxdoiorg101109FCCM200525

[126] Alberto Donato Fabrizio Ferrandi Massimo Redaelli MARCO D SANTAMBROGIO andDonatella SciutoldquoCaronte A methodology for the Implementation of Partially dynamicallySelf-Reconfiguring Systems on FPGA Platformsrdquo In VLSI-SoC From Systems To SiliconProceedings of IFIP TC 10 WG 105 Thirteenth International Conference on Very LargeScale Integration of System on Chip (VLSI-SoC 2005) October 17-19 2005 Perth Aus-tralia Ed by Ricardo Augusto da Luz Reis Adam Osseiran and Hans-Jorg PfleidererVol 240 IFIP Springer 2005 pp 87ndash109 doi 101007978-0-387-73661-7_7 urlhttpdxdoiorg101007978-0-387-73661-7_7

32

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[127] Alberto Donato Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoOperating system support for dynamically reconfigurable SoC architecturesrdquo In Proceed-ings 2005 IEEE International SOC Conference September 25-28 2005 Washington DullesAirport Herndon VA IEEE 2005 pp 233ndash238 doi 101109SOCC20051554501 urlhttpdxdoiorg101109SOCC20051554501

Workshops

[1] Marco Arnaboldi Matteo Ferroni and MARCO D SANTAMBROGIOldquoTowards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisorrdquo In Proceedings of the Embed-ded Operating Systems Workshop co-located with the Embedded Systems Week (ESWEEK2016) Pittsburgh PA USA October 6 2016 Ed by Jalil Boukhobza MARCO D SAN-TAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_17pdf

[2] Cristiana Bolchini Stefano Cherubin Gianluca C Durelli Simone Libutti Antonio Mieleand MARCO D SANTAMBROGIO ldquoA Runtime Controller for OpenCL Applications onHeterogeneous System Architecturesrdquo In Proceedings of the Embedded Operating SystemsWorkshop co-located with the Embedded Systems Week (ESWEEK 2016) Pittsburgh PAUSA October 6 2016 Ed by Jalil Boukhobza MARCO D SANTAMBROGIO and FrankSinghoff Vol 1697 CEUR Workshop Proceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_16pdf

[3] Jalil Boukhobza MARCO D SANTAMBROGIO and Frank Singhoff eds Proceedings ofthe Embedded Operating Systems Workshop co-located with the Embedded Systems Week(ESWEEK 2016) Pittsburgh PA USA October 6 2016 Vol 1697 CEUR Workshop Pro-ceedings CEUR-WSorg 2016 url httpceur-wsorgVol-1697

[4] Fabiola Casasopra Gea Bianchi Gianluca C Durelli and MARCO D SANTAMBROGIOldquoParallel Protein Identification Using an FPGA-Based Solutionrdquo In 2016 IEEE Interna-tional Parallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016Chicago IL USA May 23-27 2016 IEEE Computer Society 2016 pp 295ndash299 doi101109IPDPSW2016170 url httpdxdoiorg101109IPDPSW2016170

[5] Gianluca C Durelli Fabrizio Spada Christian Pilato and MARCO D SANTAMBROGIOldquoScala-Based Domain-Specific Language for Creating Accelerator-Based SoCsrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 225ndash232 doi 101109IPDPSW2016169 url httpdxdoiorg101109IPDPSW2016169

[6] Matteo Ferroni Juan A Colmenares Steven A Hofmeyr John Kubiatowicz and MARCOD SANTAMBROGIO ldquoEnabling Power-Awareness for the Xen Hypervisorrdquo In Proceed-ings of the Embedded Operating Systems Workshop co-located with the Embedded SystemsWeek (ESWEEK 2016) Pittsburgh PA USA October 6 2016 Ed by Jalil BoukhobzaMARCO D SANTAMBROGIO and Frank Singhoff Vol 1697 CEUR Workshop Proceed-ings CEUR-WSorg 2016 url httpceur-wsorgVol-1697EWiLi16_10pdf

[7] Giulia Guidi Enrico Reggiani Lorenzo Di Tucci Gianluca Durelli Michaela Blott andMARCO D SANTAMBROGIO ldquoOn How to Improve FPGA-Based Systems Design Pro-ductivity via SDAccelrdquo In 2016 IEEE International Parallel and Distributed ProcessingSymposium Workshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016IEEE Computer Society 2016 pp 247ndash252 doi 101109IPDPSW2016171 url httpdxdoiorg101109IPDPSW2016171

[8] Andrea Purgato Davide Tantillo Marco Rabozzi Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoResource-Efficient Scheduling for Partially-Reconfigurable FPGA-BasedSystemsrdquo In 2016 IEEE International Parallel and Distributed Processing SymposiumWorkshops IPDPS Workshops 2016 Chicago IL USA May 23-27 2016 IEEE Com-puter Society 2016 pp 189ndash197 doi 101109IPDPSW2016176 url httpdxdoiorg101109IPDPSW2016176

33

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[9] MARCO D SANTAMBROGIO Ramachandran Vaidyanathan Diana Goehringer andSteven J E Wilton ldquoRAW Introduction and Committeesrdquo In 2016 IEEE InternationalParallel and Distributed Processing Symposium Workshops IPDPS Workshops 2016 ChicagoIL USA May 23-27 2016 IEEE Computer Society 2016 pp 101ndash102 doi 101109IPDPSW2016224 url httpdxdoiorg101109IPDPSW2016224

[10] Emanuele Del Sozzo Andrea Solazzo Antonio Miele and MARCO D SANTAMBROGIOldquoOn the Automation of High Level Synthesis of Convolutional Neural Networksrdquo In 2016IEEE International Parallel and Distributed Processing Symposium Workshops IPDPSWorkshops 2016 Chicago IL USA May 23-27 2016 IEEE Computer Society 2016pp 217ndash224 doi 101109IPDPSW2016153 url httpdxdoiorg101109IPDPSW2016153

[11] Jurgen Becker Ken Eguro Diana Gohringer Wayne Luk MARCO D SANTAMBROGIORamachandran Vaidyanathan and Steven J E Wilton ldquoRAW Introduction and Commit-teesrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 68ndash69 doi 101109IPDPSW2015157 url httpdxdoiorg101109IPDPSW2015157

[12] Riccardo Cattaneo Mahdi Badie Moradmand Donatella Sciuto and MARCO D SAN-TAMBROGIO ldquoK-Ways Partitioning of Polyhedral Process Networks A Multi-level Ap-proachrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 182ndash189 doi 101109IPDPSW201517 url httpdxdoiorg101109

IPDPSW201517

[13] Gianluca Durelli and Marco Domenico Santambrogio ldquoAutonomic Thread Scaling Libraryfor QoS Managementrdquo In Proceedings of the embedded operating system workshop - EmbedWith Linux 2015 Amsterdam The Netherlands October 8 2015 Ed by Jalil BoukhobzaJean-Philippe Diguet Pierre Ficheux and Frank Singhoff Vol 1464 CEUR WorkshopProceedings CEUR-WSorg 2015 url httpceur-wsorgVol-1464ewili15_14pdf

[14] Marco Rabozzi Riccardo Cattaneo Tobias Becker Wayne Luk and MARCO D SANTAM-BROGIOldquoRelocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Sys-temsrdquo In 2015 IEEE International Parallel and Distributed Processing Symposium Work-shop IPDPS 2015 Hyderabad India May 25-29 2015 IEEE Computer Society 2015pp 97ndash104 doi 101109IPDPSW201552 url httpdxdoiorg101109IPDPSW201552

[15] Jurgen Becker Ramachandran Vaidyanathan MARCO D SANTAMBROGIO Jim Toslashrre-sen Ron Sass and Philip Heng Wai Leong ldquoRAW Introduction and Committeesrdquo In 2014IEEE International Parallel amp Distributed Processing Symposium Workshops Phoenix AZUSA May 19-23 2014 IEEE Computer Society 2014 pp 109ndash110 doi 101109IPDPSW2014208 url httpdxdoiorg101109IPDPSW2014208

[16] Riccardo Cattaneo Riccardo Bellini Gianluca Durelli Christian Pilato MARCO D SAN-TAMBROGIO and Donatella Sciuto ldquoPaRA-Sched A Reconfiguration-Aware Schedulerfor Reconfigurable Architecturesrdquo In 2014 IEEE International Parallel amp Distributed Pro-cessing Symposium Workshops Phoenix AZ USA May 19-23 2014 IEEE ComputerSociety 2014 pp 243ndash250 doi 101109IPDPSW201432 url httpdxdoiorg101109IPDPSW201432

[17] Gianluca Durelli Fabrizio Spada Riccardo Cattaneo Christian Pilato Danilo Pau andMARCO D SANTAMBROGIO ldquoAdaptive Raytracing Implementation Using Partial Dy-namic Reconfigurationrdquo In 2014 IEEE International Parallel amp Distributed ProcessingSymposium Workshops Phoenix AZ USA May 19-23 2014 IEEE Computer Society2014 pp 236ndash242 doi 101109IPDPSW201431 url httpdxdoiorg101109IPDPSW201431

[18] Davide B Bartolini Matteo Carminati Fabio Cancare MARCO D SANTAMBROGIOand Donatella Sciuto ldquoHERA Projectrsquos Holistic Evolutionary Frameworkrdquo In 2013 IEEEInternational Symposium on Parallel amp Distributed Processing Workshops and Phd ForumCambridge MA USA May 20-24 2013 IEEE 2013 pp 231ndash238 doi 101109IPDPSW2013110 url httpdxdoiorg101109IPDPSW2013110

34

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[19] Jurgen Becker Ramachandran Vaidyanathan Peter Athanas MARCO D SANTAMBRO-GIO Rene Cumplido and Oliver SanderldquoRAW Introductionrdquo In 2013 IEEE InternationalSymposium on Parallel amp Distributed Processing Workshops and Phd Forum CambridgeMA USA May 20-24 2013 IEEE 2013 pp 103ndash105 doi 101109IPDPSW2013282url httpdxdoiorg101109IPDPSW2013282

[20] Fabio Cancare Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCO DSANTAMBROGIO ldquoD-RECS A complete methodology to implement Self Dynamic Re-configurable FPGA-based systemsrdquo In 2013 8th International Workshop on Reconfigurableand Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013 pp 1ndash6 doi 101109ReCoSoC20136581550 url httpdxdoiorg101109ReCoSoC20136581550

[21] Riccardo Cattaneo Xinyu Niu Christian Pilato Tobias Becker Wayne Luk and MARCOD SANTAMBROGIO ldquoA framework for effective exploitation of partial reconfiguration indataflow computingrdquo In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) Darmstadt Germany July 10-12 2013 IEEE 2013pp 1ndash8 doi 101109ReCoSoC20136581535 url httpdxdoiorg101109ReCoSoC20136581535

[22] Gianluca Durelli Alessandro Antonio Nacci Riccardo Cattaneo Christian Pilato DonatellaSciuto and MARCO D SANTAMBROGIO ldquoA Flexible Interconnection Structure forReconfigurable FPGA Dataflow Applicationsrdquo In 2013 IEEE International Symposiumon Parallel amp Distributed Processing Workshops and Phd Forum Cambridge MA USAMay 20-24 2013 IEEE 2013 pp 192ndash201 doi 101109IPDPSW2013127 url httpdxdoiorg101109IPDPSW2013127

[23] Davide B Bartolini Filippo Sironi Martina Maggio Riccardo Cattaneo Donatella Sciutoand Marco Domenico Santambrogio ldquoA Framework for Thermal and Performance Manage-mentrdquo In 2012 Workshop on Managing Systems Automatically and Dynamically MADrsquo12Hollywood CA USA October 7 2012 Ed by Peter Bodık and Greg Bronevetsky USENIXAssociation 2012 url https www usenix org conference mad12 workshop -

programpresentationbartolini

[24] Fabio Cancare Davide B Bartolini Matteo Carminati Donatella Sciuto and MARCO DSANTAMBROGIO ldquoDGECS Description Generator for Evolved Circuits Synthesisrdquo In26th IEEE International Parallel and Distributed Processing Symposium Workshops amp PhDForum IPDPS 2012 Shanghai China May 21-25 2012 IEEE Computer Society 2012pp 454ndash461 doi 101109IPDPSW201259 url httpdxdoiorg101109IPDPSW201259

[25] Gianluca Durelli Christian Pilato Andrea Cazzaniga Donatella Sciuto and MARCOD SANTAMBROGIO ldquoAutomatic run-time manager generation for reconfigurable MP-SoC architecturesrdquo In 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Le-andro Soares Indrusiak Guy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash8 doi101109ReCoSoC20126322883 url httpdxdoiorg101109ReCoSoC20126322883

[26] Gianluca Durelli Federica Cresci Donatella Sciuto Mario Porrmann and MARCO DSANTAMBROGIO ldquoMini-Robotrsquos Performance Optimization via Online Reconfigurationand HWSW Task Schedulingrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 437ndash442 doi 101109IPDPSW201256 urlhttpdxdoiorg101109IPDPSW201256

[27] MARCO D SANTAMBROGIO Fabio Cancare Riccardo Cattaneo S Bhandariy and Do-natella Sciuto ldquoAn Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systemsrdquo In 26th IEEE International Parallel and Distributed Pro-cessing Symposium Workshops amp PhD Forum IPDPS 2012 Shanghai China May 21-252012 IEEE Computer Society 2012 pp 336ndash343 doi 101109IPDPSW201241 urlhttpdxdoiorg101109IPDPSW201241

35

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS

[28] MARCO D SANTAMBROGIO Dionisios N Pnevmatikatos Kyprianos PapadimitriouChristian Pilato Georgi Gaydadjiev Dirk Stroobandt Tom Davidson Tobias Becker TimTodman Wayne Luk Alessandra Bonetto Andrea Cazzaniga Gianluca Durelli and Do-natella Sciuto ldquoSmart technologies for effective reconfiguration The FASTER approachrdquoIn 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC) York United Kingdom July 9-11 2012 Ed by Leandro Soares IndrusiakGuy Gogniat and Nikolaos S Voros IEEE 2012 pp 1ndash7 doi 101109ReCoSoC20126322881 url httpdxdoiorg101109ReCoSoC20126322881

[29] MARCO D SANTAMBROGIO and Henry Hoffmann eds 1st International Workshopon Computing in Heterogeneous Autonomous rsquoNrsquo Goal-Oriented Environments CHANGE2011 Newport Beach CA USA March 6 2011 IEEE 2011 isbn 978-1-4577-0199-3 urlhttpieeexploreieeeorgxplmostRecentIssuejsppunumber=6166943

[30] MARCO D SANTAMBROGIO Andrea Cazzaniga Alessandra Bonetto and DonatellaSciuto ldquoReBit A Tool to Manage and Analyse FPGA-Based Reconfigurable Systemsrdquo In25th IEEE International Symposium on Parallel and Distributed Processing IPDPS 2011Anchorage Alaska USA 16-20 May 2011 - Workshop Proceedings IEEE 2011 pp 220ndash227 doi 101109IPDPS2011145 url httpdxdoiorg101109IPDPS2011145

[31] Alessio Montone MARCO D SANTAMBROGIO and Donatella SciutoldquoWirelength drivenfloorplacement for FPGA-based partial reconfigurable systemsrdquo In 24th IEEE Interna-tional Symposium on Parallel and Distributed Processing IPDPS 2010 Atlanta GeorgiaUSA 19-23 April 2010 - Workshop Proceedings IEEE 2010 pp 1ndash8 doi 101109

IPDPSW20105470756 url httpdxdoiorg101109IPDPSW20105470756

[32] MARCO D SANTAMBROGIO Paolo Roberto Grassi Davide Candiloro and DonatellaSciuto ldquoAnalysis and validation of partially dynamically reconfigurable architecture basedon Xilinx FPGAsrdquo In 24th IEEE International Symposium on Parallel and DistributedProcessing IPDPS 2010 Atlanta Georgia USA 19-23 April 2010 - Workshop ProceedingsIEEE 2010 pp 1ndash4 doi 101109IPDPSW20105470680 url httpdxdoiorg101109IPDPSW20105470680

[33] MARCO D SANTAMBROGIO Vincenzo Rana Ivan Beretta and Donatella Sciuto ldquoOp-erating system runtime management of partially dynamically reconfigurable embedded sys-temsrdquo In 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia ESTIMe-dia 2010 28-29 October 2010 Scottsdale AZ USA IEEE Computer Society 2010 pp 1ndash10 doi 101109ESTMED20105666975 url httpdxdoiorg101109ESTMED20105666975

[34] Giovanni Agosta Francesco Bruschi MARCO D SANTAMBROGIO and Donatella Sci-uto ldquoA Data Oriented Approach to the Design of Reconfigurable Stream Decodersrdquo InProceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia ES-TImedia 2005 September 22-23 2005 New York Metropolitan Area USA Ed by MiguelMiranda and Soonhoi Ha IEEE Computer Society 2005 pp 107ndash112 doi 101109

ESTMED20051518084 url httpdxdoiorg101109ESTMED20051518084

[35] Fabrizio Ferrandi MARCO D SANTAMBROGIO and Donatella SciutoldquoA Design Method-ology for Dynamic Reconfiguration The Caronte Architecturerdquo In 19th International Par-allel and Distributed Processing Symposium (IPDPS 2005) CD-ROM Abstracts Proceed-ings 4-8 April 2005 Denver CO USA IEEE Computer Society 2005 doi 101109IPDPS200517 url httpdxdoiorg101109IPDPS200517

36

  • PERSONAL DATA
    • General data
    • Publications
    • Academic positions and affiliations
    • Education
    • Visiting periods
    • Service Activities
      • SCIENTIFIC ACTIVITIES
        • International Conferences and Journal Organization
          • Steering Committee
          • General Chair
          • Program Chair
          • Program Vice-Chair
          • Topic Chair
          • Topic Co-Chair
          • Track Chair
          • Workshop Organizer
          • Program Committee
            • Program committee conference organization and revision activities
              • International Workshops Organization
              • National Workshops and Seminars Organization
              • Revision activities
                • Invited talks and Panelists
                  • TEACHING ACTIVITIES
                    • Courses
                    • Teaching Assistantships
                    • Theses Supervision
                      • AWARDS AND RESEARCH GRANTS
                        • Awards
                        • Research Grants
                          • PUBLICATIONS