19
TECHCET CA LLC 11622 El Camino Real #100 San Diego, CA 92130 +1-480-382-8336 [email protected] 2020 TECHCET’S CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS PHOTORESISTS, EXTENSIONS & ANCILLARIES Prepared By: Mark Neisser, Ph.D.

CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

TECHCET CA LLC11622 El Camino Real #100San Diego, CA [email protected]

2020 TECHCET’SCRITICAL MATERIALS REPORT™

LITHOGRAPHY MATERIALSPHOTORESISTS, EXTENSIONS & ANCILLARIESPrepared By:Mark Neisser, Ph.D.

Page 2: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

TABLE OF CONTENTS

1 EXECUTIVE SUMMARY 9

1.1 MARKET GROWTH - REVENUES 10

1.2 EXTENSIONS 11

1.3 PRICING TRENDS 12

1.4 GROWTH SEGMENT -- EUV RESIST 13

1.5 GROWTH SEGMENT -- KRF RESIST 14

1.6 GROWTH SEGMENT -- NTD DEVELOP AND RINSE 15

1.7 PHOTORESIST MARKET SHARES 16

1.8 NEW INDUSTRY ENTRANTS 17

1.9 TECHNOLOGY TRENDS 18

1.10 ANALYST ASSESSMENT 19

2 SCOPE, PURPOSE AND METHODOLOGY 20

2.1 SCOPE 21

2.2 PURPOSE 22

2.3 METHODOLOGY 23

2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS 24

3 SEMICONDUCTOR INDUSTRY MARKET OUTLOOK 25

3.1 SEMICONDUCTOR INDUSTRY MARKET STATUS 26

3.2 WORLDWIDE ECONOMY 283.2.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY 30

3.2.2 SEMICONDUCTOR UNITS FORECAST 31

3.3 ELECTRONIC GOODS MARKET 323.3.1 SMART PHONES 33

3.3.2 AUTOMOTIVE 34

3.3.2.1 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 37

3.3.2.2 SEMICONDUCTOR CONTENT BY AUTOMOTIVE ELECTRONIC SYSTEM 38

3.3.3 SERVERS / IT 39

3.3.4 PC/TABLETS 41

3.4 SEMICONDUCTOR INDUSTRY FORECASTS 423.4.1 SEMICONDUCTORS UNIT GROWTH FORECAST 43

3.4.2 EQUIPMENT SPENDING AND FAB AND CAPITAL INVESTMENTS 44

3.4.3 OVERALL CHINA MARKET TRENDS 45

3.4.4 WAFER START FORECAST 46

3.5 SEMICONDUCTOR INDUSTRY MARKET OUTLOOK SUMMARY 50

4 LITHOGRAPHY MATERIALS MARKET LANDSCAPE 52

4.1 PHOTORESIST MARKET FORECASTS & MARKET DRIVERS 534.1.1 SEMICONDUCTOR DEVICE DEMAND DRIVES LITHO MATERIALS 54

4.1.2 2020 PHOTOLITHOGRAPHY TRENDS 55

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 2

Page 3: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

TABLE OF CONTENTS

4.1.3 OVERALL RESIST MARKET FORECAST – VOLUMES 56

4.1.3.1 OVERALL RESIST MARKET FORECAST – REVENUES 57

4.1.4 KRF GROWTH DRIVE BY 3D NAND STRUCTURES 58

4.1.4.1 KRF RESIST FORECAST – VOLUME 594.1.4.2 KRF RESIST FORECAST – REVENUES 60

4.1.5 I-LINE & G-LINE RESISTS STATUS & TRENDS 61

4.1.6 200MM VS. 300MM PROCESSING TRENDS IMPACTING i-line & g-line RESISTS 62

4.1.7 EUV RESIST REVENUE FORECAST 63

4.2 EXTENSION MATERIALS FORECASTS & MARKET DRIVERS 644.2.1 EXTENSION MATERIALS FORECAST – VOLUMES 654.2.1.1 Extension Materials Forecast – Revenues 66

4.2.2 KEY SUPPLIERS OF EXTENSION MATERIALS 67

4.2.3 TOP ARC (TARC) AND IMMERSION TOPCOAT STATUS & TRENDS 68

4.2.4 LINE TRIM AND CONTACT HOLE SHRINK MATERIALS STATUS & TRENDS 69

4.3 ANCILLARY MATERIALS – DEVELOPER & EBR TRENDS 704.3.1 POSITIVE TONE DEVELOP MATERIALS STATUS & TRENDS 714.3.2 NEGATIVE TONE DEVELOP (NTD) MATERIALS STATUS 72

4.3.3 RINSE MATERIALS STATUS AND CHALLENGES 73

4.3.4 NTD AND RINSE VOLUME FORECAST 74

4.3.5 EDGE BEAD REMOVERS VOLUME FORECAST TRENDS 75

4.4 EUV LITHOGRAPHY AND MATERIALS 764.4.1 EUV OVERVIEW 774.4.1.1 78

4.4.1.2 79

4.4.2 80

EUV Overview - Usage is Increasing RapidlyEUV Overview - EUV Materials Growth Drivers & Trends EUV RESIST FORECAST – VOLUMES

4.4.2.1 EUV RESIST FORECAST – REVENUES 81

4.4.3 EUV TECHNOLOGY TRENDS THAT IMPACT BUSINESS 824.4.3.1 EUV Technology Trends - Status and Overview 83

4.4.3.2 EUV Technology Trends – Challenge of Noise/Defects 84

4.4.3.3 EUV Technology – 2 Types of EUV Photoresists: CAR & MOR 86

4.4.3.4 EUV Resist Technology Trends 87

4.4.3.5 EUV Resist Technology Trends - EUV Resist Limitations 88

4.4.4 EUV LIMITATIONS TO GROWTH – LITHO TOOL SUPPLY 89

4.4.5 RESIST SUPPLIER STATUS AND ACTIVITIES 91

4.4.5.1 Resist Supplier Status and Activities – DuPont & EUV 92

4.4.6 EUV RESIST SUPPLIER STATUS AND ACTIVITY – A NOTE ABOUT EUV ANCILLARIES 93

4.5 OTHER NEW MATERIALS FOR PATTERNING 944.5.1 DIRECTED SELF ASSEMBLY (DSA) HAS RENEWED ACTIVITY 95

4.5.1.1 DSA Applications Reported by Intel this year 96

4.5.2 NANO IMPRINT LITHOGRAPHY IS CLOSE TO PRODUCTION FOR 3DNAND FLASH 97

4.5.2.1 NanoImprint Lithography (NIL) – How Does it Work? 98

4.5.3 DRY DEPOSIT AND DEVELOP EUV RESIST 99

4.5.4 NEW PATTERNING METHODS – ASSESSMENT 100

4.6 COMMENT ON REGIONAL TRENDS/DRIVERS 1014.6.1 SOUTH KOREA WANTS MORE IMPORT INDEPENDENCE 102

4.6.2 CHINA FACTORS 1034.6.3 TRADE TENSIONS AFFECT CHINA’S SC INDUSTRY 104

4.6.4 CHINESE DOMESTIC SUPPLIERS 105

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 3

Page 4: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

TABLE OF CONTENTS

4.7 EHS AND LOGISTIC ISSUES 1064.7.1 PANDEMIC AFFECTS ON INTERNATIONAL SUPPLY CHAIN 107

4.7.2 PFOS AND PFAS 108

109

110

5 SUPPLIER MARKET LANDSCAPE

5.1 PHOTORESIST MARKET SHARES

5.2 PHOTORESIST SUPPLY MARKET DYNAMICS 1115.2.1 SUPPLIER YEAR-END RESULTS & SYNOPSIS – FUJIFILM 112

5.2.2 SUPPLIER YEAR-END RESULTS & SYNOPSIS – JSR 113

5.2.3 SUPPLIER YEAR-END RESULTS & SYNOPSIS - SHIN-ETSU 114

5.2.4 SUPPLIER YEAR-END RESULTS & SYNOPSIS – SUMITOMO 115

5.2.5 SUPPLIER YEAR-END RESULTS & SYNOPSIS – TOK 116

5.2.6 SUPPLIER YEAR-END RESULTS & SYNOPSIS – DUPONT 117

5.2.7 SUPPLIER YEAR-END RESULTS & SYNOPSIS – INPRIA 118

5.3 PLANT CLOSURES AND PRODUCT DISCONTINUATIONS 119

7 SUPPLIER PROFILES 124

6 SUB TIER MATERIAL SUPPLY CHAIN CONSIDERATIONS 120

6.1 RAW MATERIAL SUPPLY CHAIN CONSIDERATIONS 121

6.2 RAW MATERIALS EHS AND LOGISTICS ISSUES 122

6.3 RAW MATERIAL PRICING TRENDS 123

AVANTORBASFBREWER SCIENCECHANG CHUNDONGJIN CHEMICALDUPONTEASTMANEVERLIGHT CHEMICALFUJIFILMINPRIAIRRESISTABLE MATERIALSJIANGSU HANTOP PHOTO-MATERIALSJIANGSU NATA OPTO-ELECTRONICMATERIALS

JSRKEMPURKUMHO PETROCHEMICALMERCK KGAA/EMD/AZMOSES LAKE INDUSTRIESNISSAN CHEMICALPHICHEMRUIHONGSACHEMSHIN-ETSUSUMITOMOSUNTIFICTOK

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 4

Page 5: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

FIGURES & TABLES

FIGURE 1: PHOTORESIST REVENUES HISTORY & FORECAST ESTIMATES 10

FIGURE 2: EXTENSION MATERIALS REVENUES HISTORY & FORECAST ESTIMATES 11

FIGURE 3: EUV RESIST REVENUES HISTORY & FORECAST ESTIMATES 13

FIGURE 4: KRF RESIST VOLUME HISTORY & FORECAST ESTIMATES 14

FIGURE 5: SOLVENT VOLUMES FOR NTD RINSE AND DEVELOP FORECAST EST.15

FIGURE 6: 2020 PHOTORESIST MARKET SHARES (% OF WW REVENUES) 16

FIGURE 7: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN 30

FIGURE 8: WORLDWIDE SEMICONDUCTOR SALES 31

FIGURE 9: SEMICONDUCTOR CHIP APPLICATIONS 32

FIGURE 10: MOBILE PHONE SHIPMENTS WW ESTIMATES 33

FIGURE 11: OIL PRICE PER BARREL US WTI 35

FIGURE 12: SEMICONDUCTOR SPEND PER VEHICLE TYPE 37

FIGURE 13: SEMICONDUCTOR CONTENT BY AUTOMOTIVE APPLICATION 38

FIGURE 14: SEMICONDUCTOR REVENUE GROWTH FORECASTS 42

FIGURE 15: SEMICONDUCTOR UNITS HISTORY AND FORECAST 43

FIGURE 16: 200 MM WAFER CAPACITY FORECAST 46

FIGURE 17: 2020/ 2019 GROWTH ESTIMATES FOR 3DNAN, DRAM ANDLOGIC DEVICES (ADVANCED NODES AND LEADING EDGE) 48

FIGURE 18: 2020 OUTLOOK 51

FIGURE 19: ADVANCED NODE WAFER STARTS (RELATIVE 200MM EQUIV.) 54

FIGURE 20: RESIST VOLUMES HISTORY & FORECAST ESTIMATES 56

FIGURE 21 :PHOTORESIST HISTORY & FORECAST ESTIMATES 57

FIGURE 22: 2D AND 3D NAND STRUCTURES 58

FIGURE 23: KRF RESIST VOLUMES HISTORY & FORECAST ESTIMATES 59

FIGURE 24: KRF RESIST REVENUES HISTORY & FORECAST ESTIMATES 60

FIGURE 25: EUV RESIST REVENUES HISTORY & FORECAST ESTIMATES 63

FIGURE 26: EXTENSIONS VOLUMES HISTORY & FORECAST ESTIMATES 65

FIGURE 27: EXTENSIONS REVENUES HISTORY & FORECAST ESTIMATES 66

FIGURE 28 : SOLVENT VOLUMES FOR NTD RINSE & DEVELOP FORECAST EST. 74

FIGURE 29 :EBR RELATIVE VOLUMES* HISTORY & FORECAST ESTIMATES 75

F I G U R E S

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 5

Page 6: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

FIGURES & TABLES

FIGURE 30: EUV LAYERS EVOLUTION 79

FIGURE 31: EUV RESIST VOLUME 5-YEAR FORECAST 80

FIGURE 32: EUV RESIST REVENUE 5-YEAR FORECAST 81

FIGURE 33: EUV LITHOGRAPHY OPTICAL PATH 83

FIGURE 34: EUV LITHOGRAPHY NOISE 8

FIGURE 35: EUV LIGHT AND PHOTON ABSORPTION GIVES NOISE 85

FIGURE 36: CAR RESIST ACTIVATION MECHANISM 86

FIGURE 37: EUV MOR RESIST ACTIVATION MECHANISM 86

FIGURE 38: RESOLUTION VS. EXPOSURE DOSE* 88

FIGURE 39: EUV TOOL CAPACITY 90

FIGURE 40: RECTIFICATION OF EUV PATTERNS 96

FIGURE 41: NANOIMPRINT PROCESS 98

FIGURE 42: RESIST VOLUME 107

FIGURE 43: 2020 PHOTORESIST MARKET SHARES 110

TABLE 1: COVID-19 LOCKDOWNS AND RESTRICTIONS BY REGION(APRIL 2020) 27

TABLE 2: GLOBAL GDP AND SEMICONDUCTOR REVENUES* 28

TABLE 3: IMF WORLD ECONOMIC OUTLOOK* 29

TABLE 4: 2020 AUTO INDUSTRY GROWTH IMPACT FACTORS 36

TABLE 5: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES FORECAST 2020 40

TABLE 6: WORLDWIDE DEVICE SHIPMENTS BY DEVICE TYPE, 2020-2022 41

TABLE 7: 2019 INVESTMENT PLANS FOR SELECTED DEVICE COMPANIES 44

TABLE 8: CHINA FABS CAPABILITIES STATUS 45

TABLE 9: 2020/ 2019 GROWTH ESTIMATES FOR 3DNAND, DRAM AND LOGIC DEVICES (ADVANCED NODES AND LEADING EDGE) 47

TABLE 10: SUPPLIERS OF NOTE FOR EXTENSION MATERIALS 67

TABLE 11: JSR TARGET OF VALUEACT 113

T A B L E S

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 6

Page 7: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

2 SCOPE, PURPOSE AND METHODOLOGY

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 7

Page 8: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

SCOPE

• This report covers the lithography materials market and supply-chain for these materials used in semiconductor device fabrication. The report contains data and analysis from TECHCET’s data base and Sr. Analyst experience, as well as that developed from primary and secondary market research. For more information on TECHCET Critical materials Reports™ please go to https://TECHCET.com

• Photoresists are defined as the spun-on photo-active resins used to capture photons in microlithographic patterning. Extensions are defined as materials that extend the resolution of photoresists including bottom anti-reflective coatings (BARC or spin-on carbon SOC) and top anti-reflective coatings (TARC), spin-on hard-masks (SOHM), adhesion layers (AL), and shrink/trim materials. Ancillaries are defined as critical materials for microlithography including edge-bead removers (EBR), strip/rinse solvents, and developers.

• Note that TECHCET does not define Post-Etch Residue Remover (PERR) chemistries as part of lithography materials, but as "Wet Chemicals / Specialty Cleaning Chemicals" as shown in the table in Section 2.4.

2.1

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 8

Page 9: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

PURPOSE

This Critical Materials Report™ (CMR) provides focused information for supply-chain managers, process integration and R&D directors, as well as business development managers, and financial analysts. The report covers information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments.2.2

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 9

Page 10: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

METHODOLOGY

TECHCET employs subject matter experts having first-hand experience within the industries which they analyze. Most of TECHCET’s analysts have over 25 years of direct and relevant experience in their field. Our analysts survey the commercial and technical staff of IC manufacturers and their suppliers and conduct extensive research of literature and commerce statistics to ascertain the current and future market environment and global supply risks. Combining this data with TECHCET’s proprietary, quantitative wafer forecast results in a viable long-term market forecast for a variety of process materials.

2.3

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 10

Page 11: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

OVERVIEW OFOTHER TECHCET CMR™ REPORTS

• TECHCET produces electronic material supply chain reports each year as one of its functions for the Critical Materials Council. Reports to be published in 2019 can be found at www.techcet.com and are listed in the table below:

2.42020 TECHCET CRITICAL MATERIAL REPORTS™

1. CMP Consumables (Slurry, Pads, Disks)

2. ALD/CVD Precursors (Metals & Dielectrics)

3. Equipment Components- Quartz

4. Equipment Components- Silicon, SiC, Ceramics

5. Gases- Electronic Specialty, Bulk, & Rare

6. Rare Earth Market

7. Photoresist, Ancillary, & Extensions

8. Silicon Wafers

9. Sputter Targets

10. Metal Chemicals

11. Wet Chemical

12. Roadmaps & Device Technology

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 11

Page 12: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

TABLE OF CONTENTS 1 Avantor ......................................................................................................................... 133

1.1 Avantor - Company Overview ............................................................................................. 133

1.2 Business Segments .............................................................................................................. 133

1.3 Financial Overview .............................................................................................................. 133

1.4 News ................................................................................................................................... 134

1.5 Key Customers (especially electronics /chip companies) ..................................................... 134

1.6 Manufacturing Locations, Capabilities, and Certifications ................................................... 134

1.7 JVs and Partnerships ........................................................................................................... 135

1.8 Sales Reps and Distributors by Region ................................................................................. 135

1.9 TECHCET Analyst Assessment .............................................................................................. 136

2 BASF ............................................................................................................................. 137

2.1 BASF - Company Overview .................................................................................................. 137

2.2 Business Segments .............................................................................................................. 138

2.3 Financial Overview .............................................................................................................. 140

2.4 News ................................................................................................................................... 140

2.5 Key Customers (especially electronics /chip companies) ..................................................... 141

2.6 Manufacturing Locations, Capabilities, and Certifications ................................................... 141

2.7 JVs and Partnerships ........................................................................................................... 142

2.8 Sales Reps and Distributors by Region ................................................................................. 142

2.9 TECHCET Analyst Assessment .............................................................................................. 143

3 Brewer Science ............................................................................................................. 144

3.1 Brewer Science - Company Overview .................................................................................. 144

3.2 Business Segments .............................................................................................................. 144

3.3 Financial Overview .............................................................................................................. 145

3.4 News ................................................................................................................................... 145

3.5 Key Customers (especially electronics/chip companies) ...................................................... 145

3.6 Manufacturing Locations, Capabilities, and Certifications ................................................... 145

3.7 JVs and Partnerships ........................................................................................................... 146

3.8 Sales Reps and Distributors by Region ................................................................................. 146

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 12

Page 13: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

3.9 TECHCET Analyst Assessment .............................................................................................. 147

4 Chang Chun ................................................................................................................... 149

4.1 Chang Chun Group - Company Overview ............................................................................. 149

4.2 Business Segments .............................................................................................................. 149

4.3 Electronic materials offered in photoresist: ......................................................................... 150

4.4 Financial Overview .............................................................................................................. 150

4.5 News ................................................................................................................................... 150

4.6 Key Customers (especially electronics /chip companies) ..................................................... 150

4.7 Manufacturing Locations, Capabilities, and Certifications ................................................... 150

4.8 JVs and Partnerships ........................................................................................................... 151

4.9 Sales Reps and Distributors by Region ................................................................................. 151

4.10 TECHCET Analyst Assessment .......................................................................................... 152

5 Dongjin Chemical .......................................................................................................... 152

5.1 Dongjin Semichem Co., Ltd. - Company Overview ............................................................... 152

5.2 Business Segments .............................................................................................................. 154

5.3 Financial Overview .............................................................................................................. 154

5.4 News ................................................................................................................................... 155

5.5 Key Customers (especially electronics/chip companies) ...................................................... 155

5.6 Manufacturing Locations, Capabilities, and Certifications ................................................... 155

5.7 JVs and Partnerships ........................................................................................................... 156

5.8 Sales Reps and Distributors by Region ................................................................................. 156

5.9 TECHCET Analyst Assessment .............................................................................................. 156

6 Dupont .......................................................................................................................... 157

6.1 DuPont-Company Overview ................................................................................................ 157

6.2 Business Segments .............................................................................................................. 158

6.3 Financial Overview .............................................................................................................. 159

6.4 News ................................................................................................................................... 160

6.5 Key Customers .................................................................................................................... 160

6.6 Manufacturing Locations, Capabilities, and Accreditations .................................................. 160

6.7 JVs and Partnerships ........................................................................................................... 161

6.8 Sales Reps and Distributors by Region ................................................................................. 162

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 13

Page 14: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

6.9 TECHCET Analyst Assessment .............................................................................................. 162

7 Eastman ........................................................................................................................ 162

7.1 Eastman - Company Overview ............................................................................................. 162

7.2 Business Segments .............................................................................................................. 164

7.3 Financial Overview .............................................................................................................. 165

7.4 New .................................................................................................................................... 165

7.5 TECHCET Analyst Assessment .............................................................................................. 166

8 Everlight Chemical ........................................................................................................ 166

8.1 Everlight Chemical - Company Overview ............................................................................. 166

8.2 Business Segments .............................................................................................................. 167

8.3 Financial Overview .............................................................................................................. 167

8.4 News ................................................................................................................................... 168

8.5 Manufacturing Locations, Capabilities, and Certifications ................................................... 168

8.6 TECHCET Analyst Assessment .............................................................................................. 168

9 Fujifilm .......................................................................................................................... 168

9.1 FUJIFILM Corporation - Company Overview ........................................................................ 168

9.2 Business Segments .............................................................................................................. 169

9.3 Financial Overview .............................................................................................................. 170

9.4 News ................................................................................................................................... 171

9.5 TECHCET Analyst Assessment .............................................................................................. 171

10 Inpria ......................................................................................................................... 172

10.1 Inpria - Company Overview ............................................................................................. 172

10.2 Business Segments .......................................................................................................... 172

10.3 Financial Overview .......................................................................................................... 172

10.4 News ............................................................................................................................... 172

10.5 Manufacturing Locations, Capabilities, and Certifications ................................................ 172

10.6 JVs and Partnerships ........................................................................................................ 173

10.7 Sales Reps and Distributors by Region ............................................................................. 173

10.8 TECHCET Analyst Assessment .......................................................................................... 173

11 Irresistible Materials .................................................................................................. 173

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 14

Page 15: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

11.1 Irresistible Materials - Company Overview ...................................................................... 173

11.2 Business Segments .......................................................................................................... 174

11.3 Financial Overview .......................................................................................................... 174

11.4 News ............................................................................................................................... 174

11.5 Key Customers (especially electronics /chip companies) .................................................. 174

11.6 Manufacturing Locations, Capabilities, and Certifications ................................................ 174

11.7 JVs and Partnerships ........................................................................................................ 174

11.8 Sales Reps and Distributors by Region ............................................................................. 174

11.9 TECHCET Analyst Assessment .......................................................................................... 175

12 Jiangsu HanTop Photo-Materials ................................................................................ 175

12.1 Jiangsu HanTop Photo Materials Co., Ltd. - Company Overview ....................................... 175

13 Jiangsu Nata Opto-electronic Material ....................................................................... 175

13.1 Company Overview-Jiangsu Nata Opto-electronic Material ............................................. 175

13.2 Business Segments-Jiangsu Nata Opto-electronic Material .............................................. 176

13.3 Financial Overview .......................................................................................................... 176

13.4 News ............................................................................................................................... 177

13.5 Key Customers (especially electronics /chip companies) .................................................. 177

13.6 Manufacturing Locations, Capabilities, and Accreditations .............................................. 177

13.7 Sales Reps and Distributors by Region ............................................................................. 178

13.8 TECHCET Analyst Assessment .......................................................................................... 178

14 JSR ............................................................................................................................. 178

14.1 JSR Corporation - Company Overview .............................................................................. 178

14.2 Business Segments .......................................................................................................... 179

14.3 Financial Overview .......................................................................................................... 180

14.4 News ............................................................................................................................... 180

14.5 Key Customers ................................................................................................................. 180

14.6 Manufacturing Locations, Capabilities, and Certifications ................................................ 181

14.7 JVs and Partnerships ........................................................................................................ 181

14.8 Sales Reps and Distributors by Region ............................................................................. 181

14.9 TECHCET Analyst Assessment .......................................................................................... 183

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 15

Page 16: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

15 Kempur ...................................................................................................................... 183

15.1 KEMPUR - Company Overview ......................................................................................... 183

15.2 Business Segments .......................................................................................................... 183

15.3 Financial Overview .......................................................................................................... 185

15.4 News ............................................................................................................................... 185

15.5 Manufacturing Locations, Capabilities, and Certifications ................................................ 185

15.6 JVs and Partnerships ........................................................................................................ 185

15.7 Sales Reps and Distributors by Region ............................................................................. 185

15.8 TECHCET Analyst Assessment .......................................................................................... 185

16 Kumho Petrochemical ................................................................................................ 186

16.1 Kumho Petrochemical Co., Ltd. - Company Overview ....................................................... 186

16.2 Business Segments .......................................................................................................... 186

16.3 Financial Overview .......................................................................................................... 186

16.4 News ............................................................................................................................... 187

16.5 Key Customers ................................................................................................................. 187

16.6 TECHCET Analyst Assessment .......................................................................................... 187

17 Merck KGaA / EMD / AZ ............................................................................................. 187

17.1 Merck Company Overview ............................................................................................... 187

17.2 Business Segments .......................................................................................................... 188

17.3 Financial Overview .......................................................................................................... 189

17.4 News ............................................................................................................................... 190

17.5 Manufacturing Locations, Capabilities, and Accreditations .............................................. 190

17.6 Sales Reps and Distributors by Region ............................................................................. 191

17.7 TECHCET Analyst Assessment .......................................................................................... 192

17.8 Reference ........................................................................................................................ 192

18 Moses Lake Industries ................................................................................................ 192

18.1 Moses Lake Industries - Company Overview .................................................................... 192

18.2 Business Segments .......................................................................................................... 193

18.3 Financial Overview .......................................................................................................... 193

18.4 News ............................................................................................................................... 194

18.5 Key Customers (especially electronics /chip companies) .................................................. 194

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 16

Page 17: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

18.6 Manufacturing Locations, Capabilities, and Certifications ................................................ 194

18.7 JVs and Partnerships ........................................................................................................ 194

18.8 Sales Reps and Distributors by Region ............................................................................. 194

18.9 TECHCET Analyst Assessment .......................................................................................... 195

19 Nissan Chemical ......................................................................................................... 195

19.1 Nissan Chemical Corporation - Company Overview .......................................................... 195

19.2 Business Segments .......................................................................................................... 196

19.3 Financial Overview .......................................................................................................... 196

19.4 News ............................................................................................................................... 197

19.5 Key Customers ................................................................................................................. 197

19.6 Manufacturing Locations, Capabilities, and Certifications ................................................ 197

19.7 JVs and Partnerships ........................................................................................................ 198

19.8 Sales Reps and Distributors by Region ............................................................................. 198

19.9 TECHCET Analyst Assessment .......................................................................................... 199

20 PhiChem .................................................................................................................... 200

20.1 PhiChem - Company Overview ......................................................................................... 200

20.2 Business Segments .......................................................................................................... 200

20.3 Financial Overview .......................................................................................................... 201

20.4 News ............................................................................................................................... 201

20.5 Key Customers ................................................................................................................. 201

20.6 Manufacturing Locations, Capabilities, and Accreditations .............................................. 202

20.7 JVs and Partnerships ........................................................................................................ 202

20.8 Sales Reps and Distributors by Region ............................................................................. 202

20.9 TECHCET Analyst Assessment .......................................................................................... 203

21 RuiHong ..................................................................................................................... 203

21.1 Suzhou Ruihong Electronic Chemical Co., Ltd. - Company Overview ................................. 203

21.2 Business Segments .......................................................................................................... 203

21.3 Financial Overview .......................................................................................................... 203

21.4 News ............................................................................................................................... 203

21.5 TECHCET Analyst Assessment .......................................................................................... 204

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 17

Page 18: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

22 SACHEM ..................................................................................................................... 204

22.1 SACHEM - Company Overview ......................................................................................... 204

22.2 Business Segments .......................................................................................................... 204

22.3 Financial Overview .......................................................................................................... 205

22.4 News ............................................................................................................................... 205

22.5 TECHCET Analyst Assessment .......................................................................................... 205

23 Shin-Etsu .................................................................................................................... 205

23.1 Shin-Etsu Chemical Co., Ltd. - Company Overview ........................................................... 205

23.2 Business Segments .......................................................................................................... 206

23.3 Financial Overview .......................................................................................................... 207

23.4 News ............................................................................................................................... 207

23.5 Sales Reps and Distributors by Region ............................................................................. 208

23.6 TECHCET Analyst Assessment .......................................................................................... 208

24 Sumitomo .................................................................................................................. 208

24.1 Sumitomo Chemical - Company Overview ....................................................................... 208

24.2 Business Segments .......................................................................................................... 209

24.3 Financial Overview .......................................................................................................... 210

24.4 News ............................................................................................................................... 210

24.5 TECHCET Analyst Assessment .......................................................................................... 210

25 Suntific ...................................................................................................................... 211

25.1 Suntific - Company Overview ........................................................................................... 211

25.2 Business Segments .......................................................................................................... 211

25.3 Financial Overview .......................................................................................................... 211

25.4 News ............................................................................................................................... 211

25.5 TECHCET Analyst Assessment .......................................................................................... 211

26 TOK ............................................................................................................................ 212

26.1 Tokyo Ohka Kogyo (TOK) - Company Overview ................................................................ 212

26.2 Business Segments .......................................................................................................... 213

26.3 Financial Overview .......................................................................................................... 213

26.4 News ............................................................................................................................... 214

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 18

Page 19: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS · table of contents 4.1.3 overall resist market forecast – volumes 56 4.1.3.1 overall resist market forecast – revenues 57

26.5 Key Customers ................................................................................................................. 214

26.6 Manufacturing Locations, Capabilities, and Certifications ................................................ 214

26.7 JVs and Partnerships ........................................................................................................ 215

26.8 Sales Reps and Distributors by Region ............................................................................. 215

26.9 TECHCET Analyst Assessment .......................................................................................... 216

TECHCET-CMR-LITHOMaterials-CMCF-TOC-061720LS Copyright 2020 TECHCET CA, LLC all rights reserved

TECHCET Clients & CMC Confidential www.techcet.com 19