11

Click here to load reader

Block Copolymer Lithography

  • Upload
    c-grant

  • View
    218

  • Download
    3

Embed Size (px)

Citation preview

Page 1: Block Copolymer Lithography

Block Copolymer LithographyChristopher M. Bates,† Michael J. Maher,† Dustin W. Janes,‡ Christopher J. Ellison,‡

and C. Grant Willson*,†,‡

†Department of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States‡McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States

ABSTRACT: This Perspective addresses the current state ofblock copolymer lithography and identifies key challenges andopportunities within the field. Significant strides in experimentaland theoretical thin film research have nucleated the transition ofblock copolymers “from lab to fab”, but outstanding questionsremain about the optimal materials, processes, and analyticaltechniques for first-generation devices and beyond. Particularattention herein is focused on advances and issues related tothermal annealing. Block copolymers are poised to change thetraditional lithographic resolution enhancement paradigm from“top-down” to “bottom-up”.

The microelectronics industry constantly strives to increasethe speed of microprocessors and the storage density of

hard disk drives. Historically, the number of transistors on acomputer chip has approximately doubled every 18 monthsatrend known as “Moore’s law”.1 Photolithography, thetraditional patterning methodology used to fabricate thesedevices, has become prohibitively expensive.2 For example,exposure tool costs have increased exponentially; next-generation extreme ultraviolet prototype tools are reported tocurrently cost at least $125 million, and state-of-the-artfabrication facilities cost several billions of dollars.3 Alternativepatterning technologies that enable high-resolution and high-throughput at lower cost must be developed if the semi-conductor manufacturers are to continue their historical pace of“smaller, faster, cheaper”.Block copolymers (BPs) offer an attractive alternative

patterning technology since they can self-assemble on lengthscales from a few to hundreds of nanometers.4 Bulk self-assembled morphologies include lamellae, hexagonally close-packed cylinders, spheres, and gyroid networks. Threesynthetically controlled variables determine the bulk morphol-ogy: the overall degree of polymerization (N), the block−blockinteraction parameter(s) (χ), and the relative volume fractionof each block ( fA, f B, ..., f i). χ is a key material characteristic thatis controlled by judicious selection of the block chemistry,where highly incompatible blocks have large values of χ. Thegoal of this Perspective is not to provide a comprehensivereview of the BP lithography literature (which can be foundelsewhere5,6) but to identify key opportunities and challengeswithin the field. Many arguments presented herein are couchedin terms of χ, since this material property will very likely play anincreasingly important role in BP lithography. A partial list ofpotential BP lithography insertion points includes integratedcircuit line-space patterns,7,8 next-generation magnetic storage(“bit-patterned media”),9−11 and contact hole shrink.12

However, the principles addressed herein are broad andcould impact many other fields, such as ultrafiltrationmembranes,13 that seek to leverage nanometer-sized pores forcontrolled separations.

I. BACKGROUND

Orientation and Alignment. Control of BP thin filmdomain orientation (relative to the plane of the substrate) andalignment (the in-plane directionality) are crucial for theaforementioned applications. A perpendicular orientation oflamellae or cylinders is usually desirable and requiresnonpreferential (“neutral”) interfaces. (Parallel cylinders andspheres do not require orientation control and producepatterns similar to perpendicular lamellae and cylinders,respectively. However, thin film structures derived from spheresand parallel cylinders suffer from through-film nonuniformitythat likely complicates processing.) Substrate surface mod-ification techniques that balance the interfacial interactionsbetween each block and the substrate have been widelyemployed to generate a neutral bottom interface. Commonneutral materials are immobilized random copolymers14−16

composed of the constituents of the BP17 or othermonomers.18,19 Alignment of BP domains is achieved with“directed self-assembly” (DSA) using chemical20−22 orphysical23−25 preformed patterns. A recent Perspective providesdetailed insight into recent trends in DSA research.26

BP thin film self-assembly, orientation, and alignment occurduring thermal or solvent annealing. Thermal annealing, whenpossible, is preferred because it can rapidly (on time scalescompatible with industrial processes) access thermodynamically

Received: August 22, 2013Revised: October 16, 2013

Perspective

pubs.acs.org/Macromolecules

© XXXX American Chemical Society A dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Page 2: Block Copolymer Lithography

favored morphologies, orientations, and alignments.27 Addi-tionally, thermal annealing equipment is ubiquitous in industry,there is no waste stream, and production quality solventannealing tools are commercially unavailable. This Perspectivethus focuses on thermal annealing materials and processes.Readers interested in solvent annealing are referred to anexcellent recent Perspective.28

PS-b-PMMA: The Current Standard. Poly(styrene-block-methyl methacrylate) (PS-b-PMMA) is the current industrystandard for BP lithography. At about 225 °C the interfacialinteractions at the free surface of this BP are balanced,29 whichenables a perpendicular orientation of domains when annealedon a neutral substrate surface.30 Unfortunately, a relatively lowχ limits the resolution of domains to ca. 12 nm.31,32 Studies onPS-b-PMMA have provided a detailed understanding of BP thinfilm physics, material design, and processing. Significantprogress has been made toward production of a first generationof devices based on PS-b-PMMA, but to date no commercialproducts are known to be manufactured using this material.New materials are likely required for second-generation devicesand beyond.

II. CHALLENGES

Many material and engineering challenges remain before BPscan be fully exploited for technological applications. Key areasare identified and discussed with respect to remainingopportunities within the field.The Importance of χ. Resolution. Resolution is principally

controlled by the degree of polymerization (N) and by χ. Sincethe domain periodicity (L0) scales as N2/3χ1/6 in the strongsegregation limit (SSL, χN ≫ 10.5) and N1/2 in the weaksegregation limit (WSL, χN ≈ 10.5), higher-χ BPs can self-assemble into higher resolution (smaller) domains. (Importantdifferences between the SSL and WSL are described in detailbelow.) Increasing χ and decreasing N maintains an orderedmorphology (for symmetric diblocks, χN > 10.5) and reducesthe periodicity. Impressive resolution has been reported with avariety of BPs. Park et al. described 3 nm cylindrical domainswith salt-complexed poly(styrene-block-ethylene oxide).33

Cushen et al. reported oligosaccharide/silicon-containing BPsself-assembled into 5 nm domains34 (Figure 1) and poly(4-trimethylsilylstyrene-block-DL-lactide) with sub-10 nm do-mains.35 Rodwogin et al. synthesized poly(lactide-block-

dimethylsiloxane-block-lactide) and estimated a minimum fullpitch ca. 7 nm.36 Kennemur et al. demonstrated lamellae with a14 nm pitch using poly(4-tert-butylstyrene-block-methyl meth-acrylate).37 Commercially available BPs that can self-assembleinto sub-10 nm domains include poly(styrene-block-dimethylsi-loxane) (PS-b-PDMS),38,39 poly(styrene-block-2-vinylpyridine)(PS-b-P2VP),40 and poly(styrene-block-DL-lactide) (PS-b-PLA).19

Measurement. The temperature dependence of χ isempirically represented by χ = α/T + β (α and β are constantsand T is temperature). A thorough thermodynamic treatmentof χ is provided elsewhere.41,42 Multiple experimental methodscan be used to measure χ, including absolute intensity small-angle X-ray scattering (SAXS),31 small-angle neutron scattering(SANS),32 rheology,43,44 and homopolymer blends.45 Thesemeasurement techniques may yield different values of χ for agiven material. For instance, there are well-documenteddifferences between the χ calculated from homopolymer blendsand the homologous BP.46 Additional factors that can subtlyinfluence the measured value of χ include deuteration (forSANS measurement contrast)47 and/or variations in blockdispersities, block volume fractions, and sample molecularweights. Care must also be taken when comparing values of χ.The calculation involves N, which is dependent upon anarbitrary reference volume (Vref) often, but not always, 118 Å3.Therefore, the value of χ is artificially skewed by choice of Vref;accurate comparisons of χ must be made with constant Vref. Asurvey of literature-reported PS-b-PMMA χ values measuredusing various experimental protocols and corrected to acommon reference volume yielded reasonably good agreementbetween data sets, especially when discounting blend studies.31

Segregation Strength. The segregation strength (χN)significantly impacts BP self-assembly. Disordered BPs (χN≪ 10.5) are not useful as patterning materials for lithographicapplications. Self-assembled symmetric BPs fall roughly intotwo segregation regimes: the strong segregation limit (SSL, χN≫ 10.5) and weak segregation limit (WSL, χN ≈ 10.5)(certainly, many materials fall somewhere within theintermediate “gray” area). Figure 2 shows theoretical SSL and

WSL composition profiles.4 BPs in the SSL are characterized bynarrow interfaces with widths (ai) that scale as χ

−1/2. A high χ isthus important to produce narrow interfaces. For instance, bulklamella-forming poly(methyl methacrylate-block-n-propyl meth-acrylate) (χ ≈ 0.063) is characterized by a 4.4 nm apparent

Figure 1. Atomic force micrograph of maltoheptaose-block-poly(4-trimethylsilylstyrene) self-assembled into 5 nm domains. Reproducedwith permission from ref 34.

Figure 2. Theoretical local (φA) and stoichiometric ( f) A-blockvolume fractions as a function of position (r) with periodicity L0.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXB

Page 3: Block Copolymer Lithography

interfacial width (ai‑app), while poly(methyl methacrylate-block-n-pentyl methacrylate) (χ ≈ 0.12) has an ai‑app of 3.0 nm.48

The WSL could pose a significant problem for thelithography community. Materials in the WSL are valuablebecause they achieve the highest resolution (since they have thesmallest N). However, self-assembled patterns in the WSL andSSL differ significantly. The WSL is characterized by sinusoidalcomposition variations that are broad and diffuse. These ill-defined interfaces may negatively impact alignment, defectivity,and pattern transfer, which will be discussed in detail below.Composition fluctuation effects very near the order−disordertransition (ODT) may compound these difficulties.49

The segregation regime impacts both line edge roughness(LER) and line width roughness (LWR). Simulations byBosse50 in the WSL have demonstrated long wavelength LERand LWR that are a function of both the segregation strength(relative to χNODT) and thermal noise (Figure 3). External

fields such as DSA chemical guiding patterns are predicted topartially suppress LER (and to a lesser extent LWR), with amagnitude that depends upon the strength of the preferentialchemical interaction.51 These results are rather intuitive buthighlight the importance of controllable material properties andprocessing conditions. Low-frequency LER values reportedwith lamella-forming PS-b-PMMA include 2.2 nm (L0 = 48nm),52 3.3 nm (L0 = 46 nm),53 and 4.5 nm (L0 = 28.5 nm).54

The expected correlation between LER and χN is properlyreflected in the measured LER values, although contributionsarising from differences in experimental methodology cannot bediscounted.53 Molecular scale (i.e., exceedingly high frequency)interfacial roughness (that scales as χ−1/2 in the SSL andmanifests as diffuse interfaces in the WSL) is expected toinfluence lower frequency LER and LWR, but the topic is stillrelatively unexplored.The transition from SSL to WSL is not crisply defined; the

χN where negative effects on BP lithography are expected tooccur (or if they will at all) is currently unclear. The combinedeffects of interfacial thickness (ai ∼ χ−1/2), L0 ∼ Nδ where 1/2 <δ < 2/3 and (χN)ODT = constant, suggest that ai and L0 willdecrease similarly as χ is increased. However, the approx-imations inherent in the Helfand scaling of ai will break downas the interfacial thickness approaches the polymer segmentlength.55 The influence of thin film interfaces on these issuesremains an outstanding question, but it would not be surprisingif significant perturbations arise. For instance, the bulk order−disorder transition temperature (TODT) is significantly reducedin very thin films approaching one periodicity in thickness.56

Clearly efforts to identify higher and higher χ systems arewarranted. However, demonstration of a high χ is necessary butmay not be sufficient for lithographic applications. Achievementof a high χ must be balanced with the ability to control thin filmdomain orientation and alignment, potential negative effects ofreducing segregation strength, and possible defectivity (videinf ra). The highest resolution may in fact be limited by both χand the minimum realistic χN that produces acceptable thinfilm structures.

Block Architecture. Block architecture will likely play anincreasingly important role in BP lithography. While mostlithographic BP research to date has focused on AB diblocksand ABA triblocks, there is effectively no limit to the possiblenumber of blocks.41 Even ABA triblocks and their homologousAB diblocks exhibit potentially significant differences.57,58

Within mean-field theory, symmetric AB diblocks order whenχN > 10.5, while ABA triblocks order when χN > 9. ABAtriblocks have larger bulk equilibrium domain spacing at all χNthan their homologous AB diblocks (Figure 4a) but exhibitnarrower interfaces (Figure 4b). These differences, while subtle,become increasingly pronounced at small χN, the region ofphase space that plays such an important role for lithographicapplications. Additionally, there is experimental59 and theoreti-cal60 evidence that ABA triblocks are easier than AB diblocks toorient perpendicular to a substrate. ABA triblocks can alsoapparently accommodate a larger mismatch between L0 and anunderlying chemical pattern than analogous AB diblocks.61

Consideration of increasingly complex block architectures(ABC triblocks, star copolymers, etc.) to access additionalmorphologies62 or circumvent physical limitations with simplermaterials remains a largely unexplored option. The potentialutility of multiblock copolymers for lithography remains to beseen.

Dispersity. The control of molecular mass and compositiondispersity has long been considered a necessary prerequisite forthe production of materials with well-defined properties,although this historical paradigm is changing.63 A centralunanswered question within the lithography communityinvolves the role of dispersity on production-grade materialsapplicable to high-precision processes with demandingdefectivity requirements. The influence of dispersity on theself-assembly of bulk materials is marginally understood.

Figure 3. Impact of segregation strength and thermal noise on LER(⟨σh⟩) and LWR (⟨σw⟩). The data were derived from Bosse.50

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXC

Page 4: Block Copolymer Lithography

Continuously disperse blocks can significantly dilate domainperiodicities64,65 and shift phase diagram boundaries,66

although not always.67 The combined effects of molecularmass and composition dispersity in thin films appear to reflectbulk observations. PS-b-PMMA (with continuously dispersePMMA)68 and poly(methyl methacrylate-block-butyl acrylate)(with continuously disperse PBA)69 exhibited dilated domainperiodicities and shifted phase boundaries. Qualitatively highlevels of defectivity were observed with disperse PS-b-PMMA,especially compared to analogous monodisperse PS-b-PMMA.Increased defectivity was partially ascribed to dispersity-inducedstabilization of otherwise metastable thin film structures andwill significantly hamper application to lithographic processes.Few studies have systematically decoupled molecular mass

and composition dispersity in thin films. Discrete distributionsproduced with polymer blends can isolate the impact of eithertype of dispersity. Multimodal binary blends of nearlysymmetric PS-b-PMMA elucidated the influence of molecularmass dispersity on DSA with chemical prepatterns.70 A singlethin film effective periodicity was modulated as a function ofblend volume fraction, but acceptable alignment occurred witha reduced range of L0 values (relative to the prepatternperiodicity) compared to unblended BP. Studies utilizing BPblends with varying composition at constant molecular weightare apparently unreported in thin films. However, bulk two-component blends of cylinder-forming poly(styrene-block-butadiene) with opposite minority blocks (i.e., BP that formedpolystyrene cylinders mixed with BP that formed polybutadienecylinders) produced a lamellar morphology.71 Similar behaviorin thin films would not be surprising. Comments regarding theinfluence of thin film composition dispersity on alignment, theblock−block interface, and defectivity would be highlyspeculative in nature. Interestingly, BP/homopolymer ternaryblends (representative of discrete dispersity in both molecularmass and composition) can stabilize alignment with drasticallyirregular chemical prepatterns at modest homopolymercontent.22 Coupled with blend-induced periodicity modula-tion72 and/or advanced material deposition techniques,73 thisstrategy offers powerful potential to pattern multiple devicestructures simultaneously on a single layer.Careful consideration of synthetic methodologies, polymer-

ization byproducts, and BP formulation are thus criticalconsiderations for the lithography industry. The toleranceindustrial-scale processes and materials have toward dispersityis currently unclear. Perhaps dispersity-induced effects will evenbe leveraged advantageously. Regardless, batch-to-batch varia-

bility must be minimized and accurate analytical techniquescapable of detecting low quantities of polymeric impurities arecritical for lithographic-quality materials.74

Top Interface Control. Control of the top interface iscrucial for the thermal formation of perpendicular domains.Annealed in air or vacuum, BPs minimize surface energy bysegregating the low surface energy block to the free surface tofrom so-called “wetting layers”.29,75−78 These wetting layersinduce a parallel orientation in the vicinity of the free surface or,in some cases, through the entire film thickness. Solventannealing was in part developed in an effort to circumvent theformation of wetting layers generated by thermal annealing.28

However, recent literature demonstrates that perpendicularorientation of high-χ BPs is indeed possible by thermalannealing. Two classes of materials are amenable to thermalannealing: (1) BPs that exhibit nonpreferential free surfaceinteractions at elevated temperature(s) and (2) BP thin filmsthat are modified with top coats.Inherently nonpreferential free surface interactions with AB

diblock and ABA triblock copolymers are relatively rare anddifficult to design a priori. Several examples include PS-b-PMMA, PS-b-PLA,19 poly(cyclohexylethylene-block-ethylene-block-cyclohexylethylene),59 and poly(ethylene glycol-block-fluorinated methacrylate).79 Recent work by Kim et al.80

demonstrated a rational BP design methodology to achievenonpreferential free surfaces. Postpolymerization modificationof an AB diblock produced a poly[A-block-(B-random-C)]architecture that effectively decoupled bulk and thin filmthermodynamics. Appropriate selection of A, B, and Cchemistries enabled near sub-10 nm resolution. This strategyholds great promise for the design and application of futureBPs.BPs that exhibit strongly preferential free surface interactions

can be oriented with top interface functionalization. Solution-processable top coats that are compatible with existingmanufacturing infrastructure are particularly attractive. Topcoats for BP orientation control were first attempted in 1998for PS-b-PMMA81 (which does not require a top coat) andhave been widely utilized in 193 nm immersion lithography toprotect photoresists.2 Recent work by Bates et al. detailed theuse of solution-processable top coats (Figure 5) that enabledperpendicular orientations of poly(styrene-block-4-trimethylsi-lylstyrene-block-styrene) and poly(4-trimethylsilylstyrene-block-DL-lactide) that otherwise orient parallel due to Si-containingwetting layers.82 Top coat application by spin-coating andneutralization upon thermal annealing was accomplished by a

Figure 4. (a) Normalized domain spacing (D*/aN1/2) and (b) normalized interfacial width (w/aN1/2) of a fA = 0.5 lamellar morphology as afunction of χN for a triblock (solid curve) with degree of polymerization 2N and a diblock (dashed curve) with degree of polymerization N.Reproduced with permission from ref 57. Copyright 1999 American Institute of Physics.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXD

Page 5: Block Copolymer Lithography

polarity switch that leveraged the ring-opening and -closingreactions of a maleic anhydride moiety. The ring-opened polarform of the anhydride facilitated application of the top coatsfrom a polar solvent that did not damage the BP film.Subsequent thermally induced ring closure produced a lesspolar material that was nonpreferential toward the BPs.Comonomers were utilized to fine-tune the interfacial energyand produce high glass transition (Tg) materials. The top coatswere compatible with solvent- or etch-based removal.Annealing times were as low as 1 min and the equipmentused in the process (a spin coater, hot plate, and reactive ionetcher) are currently used in high volume manufacturing. Topcoat processes involving “floating” and printing methods havealso been successfully demonstrated40 for PS-b-P2VP but arechallenging to implement on a large scale.Control of the top interface is now possible, but every BP

and every new volume fraction of a given BP require a carefullymatched top coat. Identifying these materials and tuning themis currently a tedious and time-consuming undertaking. As χincreases, orientation becomes significantly more sensitive tovariance in annealing conditions, BP composition, and/or topcoat composition. High aspect ratio, through-film, perpendic-ular orientation of high-χ materials via top interface controlremains one of the ultimate goals in this field.Defectivity. Asymptotically low levels of defectivity

including pattern imperfections, LER, LWR, and through-filmconsistency are required for microelectronics applications.6

Critical questions about defect levels in DSA include thefollowing: (1) What is the thermodynamic minimum level ofdefectivity in the BP self-assembly process? (2) What is thetime scale associated with defect annihilation? (3) What is thethrough-film defectivity over macroscopic areas? (4) What isthe preferred metrology to evaluate defects? (5) How doesmaterial selection impact defectivity? Most detailed line-spacedefectivity analysis to date has been performed on lamella-forming PS-b-PMMA aligned chemoepitaxially and cylinder-forming PS-b-P2VP aligned graphoepitaxially. Contact holeshrink applications have begun to investigate cylinder-formingPS-b-PMMA aligned graphoepitaxially.Chemoepitaxy DSA thermodynamics appear to be highly

favorable. Calculations by Nagpal et al. reveal a remarkablylarge energetic driving force for eliminating defects in thepresence of chemical guiding patterns.83 Full wafer defectanalysis has not yet demonstrated the required levels ofdefectivity.7,84 However, most of the defects appear to begenerated from sources other than the BP self-assembly.85 Lab-scale analysis of BP-prepattern overlay indicated low levels ofplacement error.86,87 Graphoepitaxy DSA defectivity studies ofline-space patterns have mainly utilized PS-b-P2VP cylindersconfined in a trench oriented parallel to both the substrate and

trench walls. While not ideal for lithographic applications, thesestudies offer important insight into the sources of defectivityand promising defect analysis techniques. Mishra et al.56,88 andHammond et al.89 reported defect density sensitivity to BPperiodicity, film thickness, and annealing temperature. BPs withsmaller periodicity (smaller N) exhibited a larger suppression ofthe bulk TODT that manifested as higher defectivity at lowerannealing temperatures. An analogous TODT suppressionoccurred with monolayer (and to a lesser extent bilayer)thick films. In general, lower annealing temperatures producedalignment with fewer defects. These observations suggest thathigher-χ BPs should form fewer defects at a given film thicknessand annealing temperature. An initial medium-scale defectivitystudy on graphoepitaxially aligned PS-b-PMMA has beenreported with prepatterned hole arrays for contact hole shrinkapplications.7 Analytical techniques were introduced to measureBP feature size uniformity, centroid position, and defectivity(missing features), but further process optimization isnecessary. A comprehensive understanding of defectivity levelsspanning different BPs, epitaxial techniques, and processingconditions is currently incomplete.The dynamics of defect annihilation remain relatively

unexplored but are critically important for lithographicapplications. Factors that influence the kinetics include thesubstrate surface (chemically homogeneous versus prepat-terned), time, temperature, and likely χ. Perpendicularorientations of PS-b-PTMSS-b-PS82 and PS-b-PMMA can berealized in 1 min or less on chemically homogeneous substrates.While the defectivity levels were not rigorously quantified, theyare qualitatively high. In contrast, chemoepitaxially aligned PS-b-PMMA annealed for 1 min (lab scale)27 or 5 min (fullwafer)7 exhibits markedly improved defectivity compared tochemically homogeneous substrates. Real-time evaluation ofgraphoepitaxial defect migration dynamics have been de-scribed,90 but no large-scale studies on defect annihilationkinetics are currently available.The need for through-film defectivity analysis is exemplified

by Liu et al. with PS-b-PMMA on near neutral surfacetreatments (Figure 6a).91 Small-area cross sections demonstratesubsurface defectivity that is not observed at the free surface.These observations are consistent with thick PS-b-PTMSS-b-PS

Figure 5. Schematic of the solution-processable top coat process usedto thermally produce a perpendicular orientation of BP domains.

Figure 6. (a) Cross-section SEM of PS-b-PMMA chemoepitaxiallyaligned with 2× density multiplication using various surface treatmentswith different polystyrene content. Reproduced with permission fromref 91. (b) Top-down SEM of 3.2L0 (96 nm) thick PS-b-PTMSS-b-PS(L0 = 30 nm) oriented with a near-neutral top coat. (c) Cross-sectionSEM corresponding with (b) (courtesy of Hiroshi Yoshida).

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXE

Page 6: Block Copolymer Lithography

films oriented with a near-neutral top coat. Top-down scanningelectron microscopy (SEM) shows well-oriented lamellae(Figure 6b), but cross-section SEM reveals some subsurfacebifurcation and line broadening (Figure 6c). (It is notsurprising that thick samples oriented but not epitaxiallyaligned exhibit some through-film defectivity, which isanticipated to improve with DSA.83) Unfortunately, through-film defectivity has received little attention in the literature dueto the difficulty of cross-sectioning and the lack of availablelarge-area metrology tools. Quantifying subsurface defects overmacroscopic areas continues to represent a difficult yet criticalchallenge. Stein et al. measured chemically aligned PS-b-PMMAtilt angles ca. 1°−2° with soft X-ray diffraction,53 and Perera etal.92 observed deformation of BP domain shapes near chemicalpatterns with transmission small-angle X-ray diffraction.92

These techniques have not yet been applied to large-scalemanufacturing processes. Evaluating through-film BP self-assembly by pattern transfer and subsequent pattern inspectionis possible but indirect. The convolution of the pattern transferprocess obfuscates sources of error. Significant strides must bemade in defectivity analysis.A general understanding of aligned BP thin film defectivity

levels is currently lacking. How the measured and calculatedsources of defects change as a function of BP material andepitaxy are largely unresolved. The single biggest obstacle forindustrial application appears to be large scale metrology todefinitively evaluate the ultralow levels of defectivity required inindustry. Literature evidence suggests that kinetic limitationswith respect to morphological evolution and defect annihilationwill not be limiting factors in chemoepitaxy DSA processes.The similarity to graphoepitaxy is still unknown. An increased

understanding of the effects of χ and χN on defectivity levelswill be necessary for the extension of BP lithography tomaterials beyond the capabilities of PS-b-PMMA.

Pattern Transfer. Lithographic applications of self-assembled BP patterns require transferring the patterns into afunctional material. Reactive ion (dry) etching (RIE) is aprocess commonly used to accomplish image transfer in themicroelectronics industry; hence, the use of RIE to bothgenerate the relief image and to transfer it into the substrate isan attractive process option (wet development is discussedelsewhere93). Therefore, one block must be selectively removedwith RIE, and the remaining block must resist a subsequentetch (with the same or different plasma chemistry) to transferthe physical pattern into the underlying substrate. Readersinterested in a detailed review on BP pattern transfer arereferred to Gu et al.94

PS-b-PMMA has historically had low95 etch selectivity ≈2,although recent reports have shown improvement.96 Hard masketch transfer processes may mitigate low etch selectivitybetween BP domains but require additional processingstep(s) and optimization.84 Much attention has thus focusedon increasing the etch rate difference between blocks. Twoclear strategies have emerged: (1) enhancing etch selectivity viaselective segregation and (2) synthesizing inherently etch-resistant BPs.Preferential infusion of metal into one BP domain can

improve etch contrast as first demonstrated with poly(styrene-block-isoprene).97 Single-block modification with OsO4 enabledpattern transfer into SiN. Block-selective atomic layerdeposition of trimethylaluminum into PMMA imbues PS-b-PMMA with additional etch contrast.98,99 Surface reconstruc-

Figure 7. SEM images of a PS-b-P2VP thin film surface before (a, plane-view; c, 60° tilt view) and after 15 s of cryo-ICP etching (b, plane-view; d,60° tilt view). Inset image in (d) is a magnified image. Reproduced with permission from ref 101. Copyright 2012 Wiley-VCH.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXF

Page 7: Block Copolymer Lithography

tion can produce sufficient etch contrast by replacing one blockdomain with void space (or a low-density solvent-swollen BPdomain). Very thin surface-reconstructed BP patterns haveproduced deep trenches after pattern transfer with100 orwithout101 additional metal deposition (Figure 7).A second common strategy is the design of BPs with one

inherently etch-resistant block. Typically, an inorganiccomponent is introduced into one monomer before polymer-ization. This method offers some potentially significantadvantages since it reduces costly and time-consumingprocessing steps. Si- and Fe-containing blocks [such aspoly(dimethylsiloxane) and poly(ferrocenyldimethylsilane)]are often used to facilitate the patterning of varioussubstrates.102−106 Possible concerns about cost, syntheticdifficulty, and low Tg have spurred the investigation ofa l t e r n a t i v e B P s . P o l ym e r s c o n t a i n i n g p o l y -(trimethylsilylisoprene)107 or poly(4-trimethylsilylstyr-ene)34,35,82,108 blocks are amenable to orientation and exhibitRIE etch contrast to facilitate pattern transfer.Both etch selectivity strategies have potential drawbacks.

Segregation can occur nonuniformly, alter domain sizes, andinduce defectivity. These prospective challenges could beexacerbated as domain sizes decrease and may significantlycurtail application of the methodology. Large-scale defectivityanalysis with segregation processes has not yet been performed.Most inherently etch-resistant BPs form free surface wettinglayers and have historically required solvent annealing toachieve perpendicular orientation. Significant strides in topinterface functionalization have made this challenge lessdaunting (vide supra). For instance, the aforementionedsolution-processable top coats were first applied to Si-containing BPs and should be applicable to a variety of etch-resistant BPs.82 The optimal etch-related materials andprocesses for next-generation lithography are yet to be defined.Informed BP material design will certainly facilitate all types ofprocessing methodologies. Perhaps a shift in traditional etchchemistries will enable high fidelity pattern transfer of high-χBPs with sub-10 nm domains, which remains a formidablechallenge.Replication. Complex lithographic processing increases

costs associated with manufacturing. Applications such as bit-patterned media that must produce large quantities of materialsderived from BP patterns require low-cost replication. Twopotentially cost-effective replication strategies have emerged.Imprint Lithography. Imprint lithography replicates master

templates into polymeric resists with molecular scaleresolution,109,110 which makes it attractive for a variety ofapplications.111 Wan et al. have demonstrated impressiveimprint results for bit-patterned media.10 BP self-assemblycoupled with a double imprint process enabled the formation ofa master template composed of rectangular bits at 0.58 teradot/in.2 (where each dot represents 1 bit). An additional imprintingstep replicated the features into a daughter template (Figure 8).Imprint lithography is clearly quite capable of replicating BPDSA patterns. Optimization of the technology and processes toreplicate higher density BP patterns33 (as large as 10 teradot/in.2) with low defectivity remains an important challenge. Yanget al. discuss some difficulties associated with feature sizereduction, but note that they are likely to be overcome withfurther process optimization.112

Transfer Printing. Transfer printing processes113 areconceptually simple and have been used since antiquity.114

Replication of BP templates by transfer printing could enable

rapid processing using a single guiding pattern (Figure 9).These approaches are akin to the microcontact printingtechniques of Whitesides and co-workers,115 but since macro-molecules are transferred, the resolution is not limited by smallmolecule mobility.Molecular transfer printing (MTP), introduced by Ji et al.,117

utilizes substrate-reactive homopolymer “inks” that partition

discretely into well-defined PS-b-PMMA domains. One salientaspect of MTP is that ink can be printed from a singlemicrodomain and the unfilled gaps on the replica can besubsequently imbued with a different brush molecule that is notPS or PMMA. Recent work has used MTP to create chemicalnanopatterns defined by PS and P2VP that direct theplacement of metal nanoparticles.118,119 These processes shouldbe extendible to other BPs and materials. Janes et al. recentlydeveloped a photochemically activated transfer printing processwhich grafts directly to BPs (no inks are required).120 Aconformal layer ensures good contact between master andreplica; the area of transfer is limited only by light exposurearea. Because of the recent emergence of BP transfer printing,

Figure 8. Scanning electron microscope (SEM) images of (a)nanoimprint master template with rectangular patterns and (b)imprinted resist pattern from the master template. Reproduced withpermission from ref 10. Copyright 2012 SPIE.

Figure 9. A generalized schematic for a BP transfer printing process.The pattern formed at the surface of a “master” film is transferred to ablank substrate while they are in contact. The reusable master is thenused in successive printing cycles. A mirror-image “replica” of themaster is formed, and the master is recovered for use in successiveprinting cycles. The 1 μm2 SEM images are from samples reportedpreviously.116 High-energy structures that were replicated perfectly(circles) or slightly differently (squares) are highlighted.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXG

Page 8: Block Copolymer Lithography

existing work has only demonstrated replication feasibility. Inprinciple, the process is fully compatible with commerciallyavailable step and flash imprint lithography (SFIL) tools.121 Afusion of transfer printing with imprint lithography remains themost technologically relevant challenge in the field, since itcould drastically reduce the cost of manufacturing SFIL masks.Such a development is also necessary to enable the requisitedefectivity and throughput measurements.

III. OUTLOOKThe future of block copolymer lithography is bright. Increasedacademic and industrial interest is evidenced by enormouspublication growth over the past 20 years. Technological andcost issues with next-generation optical lithography driveintense interest in alternative patterning technologies. BP-based patterning is poised for introduction into a variety oflithographic processes. However, as resolution is pushed to theabsolute limits, significant questions remain about optimummaterials and processes. Interaction parameters (χxy) willbecome increasingly important as the community strives forhigher resolution and lower defectivity materials. Particularlyimportant is the distinction between the block−blockinteraction parameter(s) and all other pairwise interactionsbetween each block and each component of each interface itencounters. All interactions are temperature dependent, but todifferent extents, which adds an additional level of complexityto all aspects of the thin film process. There is no doubt thatfresh opportunities associated with all aspects of the field oflithography, including chemistry, physics, and engineering, willdrive the technological innovation demanded by the market-place.

■ AUTHOR INFORMATIONCorresponding Author*E-mail [email protected] (C.G.W.).

NotesThe authors declare no competing financial interest.Biographies

Christopher M. Bates earned a B.S. degree in Chemistry at theUniversity of WisconsinMadison in 2007 and received a Ph.D. fromThe University of Texas at Austin in 2013 under the direction of C.Grant Willson. His research interests include polymer chemistry,materials science, and thin film physics. He was the winner of the DSMScience and Technology Award in 2013 for his work on interfacialdesign for block copolymer thin films. Christopher plans to move toCaltech in the spring for postdoctoral studies under the guidance ofRobert H. Grubbs.

Michael J. Maher was born in Chicago, IL, and he earned a B.A. inChemistry from Carthage College in 2011. Michael is currentlypursuing a Ph.D. under the guidance of Dr. C. Grant Willson at theUniversity of Texas at Austin. He is an IBM Ph.D. Fellow and aNational Science Foundation Graduate Research Fellow. His researchfocuses on controlling the orientation of block copolymers in thinfilms.

Dustin W. Janes holds a B.S. from Tulane University and a Ph.D. fromColumbia University, both in Chemical Engineering. For hisdissertation, under the direction of Christopher J. Durning, he studiedthe diffusion of solvent molecules in polymer/nanoparticle compo-sites. Since 2011 he has worked in Christopher J. Ellison’s group.There, he focuses on applying established photochemistries to addressnew applications in polymer thin films, fibers, and block copolymers.

Christopher J. Ellison is an Assistant Professor, the Frank A. Liddell, Jr.Centennial Fellow, and the William H. Tonn Professorial Fellow in theMcKetta Department of Chemical Engineering at the University ofTexas at Austin. He received his B.S. in Chemical Engineering fromIowa State University in 2000. After receiving his Ph.D. in Chemical

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXH

Page 9: Block Copolymer Lithography

Engineering from Northwestern University in 2005 with Prof. JohnTorkelson, he conducted postdoctoral research from 2006 to 2008 inthe Department of Chemical Engineering and Materials Science at theUniversity of Minnesota with Prof. Frank Bates. His group’s currentresearch interests include block copolymer self-assembly in thin films,structure and dynamics of nanoconfined polymers, and light-activatedchemistries for thin film patterning and fiber manufacturing.

Professor C. Grant Willson is the Rashid Engineering Regent’s Chairin Chemical Engineering and holds a joint appointment in Chemistryat The University of Texas at Austin. He received his B.S. and Ph.D. inOrganic Chemistry from the University of California, Berkeley, and anM.S. degree in Organic Chemistry from San Diego State University.Willson was elected a Fellow of IBM, SPIE, and MRS and was aninaugural Fellow of the ACS. He has won numerous accolades,including the National Academy of Sciences Award for Chemistry inService to Society, the 2007 National Medal of Technology andInnovation, and the 2013 Japan Prize.

■ ACKNOWLEDGMENTS

We thank Nissan Chemical Company, the Rashid EngineeringRegents Chair, and the Welch Foundation (grant #F-1709) forpartial financial support. M.J.M. thanks the IBM Ph.D.Fellowship Program for financial support. SEM was performedat the microscopy facility in the Institute for Cellular &Molecular Biology at UT-Austin. This material is based uponwork supported by the National Science Foundation ScalableNanomanufacturing Program under Grant No. 1120823 andupon work supported by the National Science FoundationGraduate Research Fellowship under Grant No. DGE-1110007.Any opinion, findings, and conclusions or recommendationsexpressed in this material are those of the authors and do notnecessarily reflect the views of the National ScienceFoundation.

■ REFERENCES(1) Moore, G. E. Electronics 1965, 38.(2) Sanders, D. P. Chem. Rev. 2010, 110, 321.(3) Dammel, R. R. J. Photopolym. Sci. Technol. 2011, 24, 33.(4) Bates, F. S.; Fredrickson, G. H. Annu. Rev. Phys. Chem. 1990, 41,525.(5) Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Chem. Rev. 2010, 110,146.(6) Herr, D. J. C. J. Mater. Res. 2011, 26, 122.(7) Bencher, C.; Yi, H.; Zhou, J.; Cai, M.; Smith, J.; Miao, L.; Montal,O.; Blitshtein, S.; Lavi, A.; Dotan, K.; Dai, H.; Cheng, J. Y.; Sanders, D.P.; Tjio, M.; Holmes, S.; William, M. T. Proc. SPIE 2012, 8323,83230N.

(8) Somervell, M.; Gronheid, R.; Hooge, J.; Nafus, K.; Delgadillo, P.R.; Thode, C.; Younkin, T.; Matsunaga, K.; Rathsack, B.; Scheer, S.;Nealey, P.; Mark, H. S.; Thomas, I. W. Proc. SPIE 2012, 8325, 83250G.(9) Ruiz, R.; Kang, H.; Detcheverry Francois, A.; Dobisz, E.; KercheDran, S.; Albrecht Thomas, R.; de Pablo Juan, J.; Nealey Paul, F.Science 2008, 321, 936.(10) Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Lille, J.; Zeltzer, G.;Dobisz, E. A.; Bogdanov, A.; Nealey, P. F.; Albrecht, T. R. J. Micro/Nanolithogr., MEMS, MOEMS 2012, 11, 031405.(11) Albrecht, T. R.; Bedau, D.; Dobisz, E.; Gao, H.; Grobis, M.;Hellwig, O.; Kercher, D.; Lille, J.; Marinero, E.; Patel, K.; Ruiz, R.;Schabes, M. E.; Wan, L.; Weller, D.; Wu, T.-W. IEEE Trans. Magn.2013, 49, 773.(12) Xin-Yu, B.; He, Y.; Bencher, C.; Li-Wen, C.; Huixiong, D.;Yongmei, C.; Chen, P. T. J.; Wong, H. S. P. In Electron DevicesMeeting (IEDM); IEEE International, 2011; p 7.7.1.(13) Hillmyer, M. A. Adv. Polym. Sci. 2005, 190, 137.(14) Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell,T. P. Science 2005, 308, 236.(15) Bang, J.; Bae, J.; Lowenhielm, P.; Spiessberger, C.; Given-Beck,S. A.; Russell, T. P.; Hawker, C. J. Adv. Mater. 2007, 19, 4552.(16) Jung, H.; Leibfarth, F. A.; Woo, S.; Lee, S.; Kang, M.; Moon, B.;Hawker, C. J.; Bang, J. Adv. Funct. Mater. 2013, 23, 1597.(17) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Science1997, 275, 1458.(18) Bates, C. M.; Strahan, J. R.; Santos, L. J.; Mueller, B. K.;Bamgbade, B. O.; Lee, J. A.; Katzenstein, J. M.; Ellison, C. J.; Willson,C. G. Langmuir 2011, 27, 2000.(19) Keen, I.; Yu, A.; Cheng, H.-H.; Jack, K. S.; Nicholson, T. M.;Whittaker, A. K.; Blakey, I. Langmuir 2012, 28, 15876.(20) Peters, R. D.; Yang, X. M.; Wang, Q.; de Pablo, J. J.; Nealey, P.F. J. Vac. Sci. Technol., B 2000, 18, 3530.(21) Ouk Kim, S.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; dePablo, J. J.; Nealey, P. F. Nature 2003, 424, 411.(22) Stoykovich, M. P.; Mueller, M.; Kim, S. O.; Solak, H. H.;Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, 1442.(23) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001,13, 1152.(24) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.;Berggren, K. K. Science 2008, 321, 939.(25) Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G.J. Appl. Phys. Lett. 2002, 81, 3657.(26) Luo, M.; Epps, T. H. Macromolecules 2013, 46, 7567.(27) Welander, A. M.; Kang, H.; Stuen, K. O.; Solak, H. H.; Muller,M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2008, 41, 2759.(28) Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. A.Macromolecules 2013, 46, 5399.(29) Mansky, P.; Russell, T. P.; Hawker, C. J.; Mays, J.; Cook, D. C.;Satija, S. K. Phys. Rev. Lett. 1997, 79, 237.(30) Peters, R. D.; Yang, X. M.; Kim, T. K.; Sohn, B. H.; Nealey, P. F.Langmuir 2000, 16, 4625.(31) Zhao, Y.; Sivaniah, E.; Hashimoto, T. Macromolecules 2008, 41,9948.(32) Russell, T. P.; Hjelm, R. P., Jr.; Seeger, P. A. Macromolecules1990, 23, 890.(33) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu,T.; Russell, T. P. Science 2009, 323, 1030.(34) Cushen, J. D.; Otsuka, I.; Bates, C. M.; Halila, S.; Fort, S.;Rochas, C.; Easley, J. A.; Rausch, E. L.; Thio, A.; Borsali, R.; Willson,C. G.; Ellison, C. J. ACS Nano 2012, 6, 3424.(35) Cushen, J. D.; Bates, C. M.; Rausch, E. L.; Dean, L. M.; Zhou, S.X.; Willson, C. G.; Ellison, C. J. Macromolecules 2012, 45, 8722.(36) Rodwogin, M. D.; Spanjers, C. S.; Leighton, C.; Hillmyer, M. A.ACS Nano 2010, 4, 725.(37) Kennemur, J. G.; Hillmyer, M. A.; Bates, F. S. Macromolecules2012, 45, 7228.(38) Hardy, C. M.; Bates, F. S.; Kim, M.-H.; Wignall, G. D.Macromolecules 2002, 35, 3189.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXI

Page 10: Block Copolymer Lithography

(39) Son, J. G.; Gotrik, K. W.; Ross, C. A. ACS Macro Lett. 2012,1279.(40) Yoshida, H.; Suh, H. S.; Ramirez-Herunandez, A.; Lee, J. I.;Aida, K.; Wan, L.; Ishida, Y.; Tada, Y.; Ruiz, R.; de Pablo, J.; Nealey, P.F. J. Photopolym. Sci. Technol. 2013, 26, 55.(41) Bates, F. S.; Hillmyer, M. A.; Lodge, T. P.; Bates, C. M.;Delaney, K. T.; Fredrickson, G. H. Science 2012, 336, 434.(42) Heimenz, P. C.; Lodge, T. P. Polymer Chemistry, 2nd ed.; CRCPress: Boca Raton, FL, 2007.(43) Ren, Y.; Lodge, T. P.; Hillmyer, M. A. Macromolecules 2000, 33,866.(44) Cochran, E. W.; Morse, D. C.; Bates, F. S. Macromolecules 2003,36, 782.(45) Callaghan, T. A.; Paul, D. R. Macromolecules 1993, 26, 2439.(46) Maurer, W. W.; Bates, F. S.; Lodge, T. P.; Almdal, K.;Mortensen, K.; Fredrickson, G. H. J. Chem. Phys. 1998, 108, 2989.(47) Russell, T. P. Macromolecules 1993, 26, 5819.(48) Scherble, J.; Stark, B.; Stuhn, B.; Kressler, J.; Budde, H.; Horing,S.; Schubert, D. W.; Simon, P.; Stamm, M. Macromolecules 1999, 32,1859.(49) Lee, S.; Gillard, T. M.; Bates, F. S. AIChE J. 2013, 59, 3502.(50) Bosse, A. W. Macromol. Theory Simul. 2010, 19, 399.(51) Bosse, A. W. J. Vac. Sci. Technol., B 2011, 29, 031803.(52) Liu, C.-C.; Nealey, P. F.; Ting, Y.-H.; Wendt, A. E. 6th ed.; AVS:New York, 2007; Vol. 25, p 1963.(53) Stein, G. E.; Liddle, J. A.; Aquila, A. L.; Gullikson, E. M.Macromolecules 2010, 43, 433.(54) Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H.-C.;Hinsberg, W. D. Adv. Mater. 2008, 20, 3155.(55) Helfand, E.; Wasserman, Z. R. Macromolecules 1976, 9, 879.(56) Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6,2629.(57) Matsen, M. W.; Thompson, R. B. J. Chem. Phys. 1999, 111,7139.(58) Mayes, A. M.; Olvera, d. l. C. M. J. Chem. Phys. 1989, 91, 7228.(59) Khanna, V.; Cochran, E. W.; Hexemer, A.; Stein, G. E.;Fredrickson, G. H.; Kramer, E. J.; Li, X.; Wang, J.; Hahn, S. F.Macromolecules 2006, 39, 9346.(60) Matsen, M. W. Macromolecules 2010, 43, 1671.(61) Ji, S.; Nagpal, U.; Liu, G.; Delcambre, S. P.; Muller, M.; dePablo, J. J.; Nealey, P. F. ACS Nano 2012, 6, 5440.(62) Zheng, W.; Wang, Z.-G. Macromolecules 1995, 28, 7215.(63) Hillmyer, M. A. J. Polym. Sci., Part B: Polym. Phys. 2007, 45,3249.(64) Lynd, N. A.; Hillmyer, M. A. Macromolecules 2005, 38, 8803.(65) Hustad, P. D.; Marchand, G. R.; Garcia-Meitin, E. I.; Roberts, P.L.; Weinhold, J. D. Macromolecules 2009, 42, 3788.(66) Widin, J. M.; Schmitt, A. K.; Schmitt, A. L.; Im, K.;Mahanthappa, M. K. J. Am. Chem. Soc. 2012, 134, 3834.(67) Meuler, A. J.; Ellison, C. J.; Qin, J.; Evans, C. M.; Hillmyer, M.A.; Bates, F. S. J. Chem. Phys. 2009, 130, 234903/1.(68) Widin, J. M.; Kim, M.; Schmitt, A. K.; Han, E.; Gopalan, P.;Mahanthappa, M. K. Macromolecules 2013, 46, 4472.(69) Sriprom, W.; James, M.; Perrier, S. B.; Neto, C. Macromolecules2009, 42, 3138.(70) Edwards, E. W.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. J.Vac. Sci. Technol., B 2006, 24, 340.(71) Vilesov, A. D.; Floudas, G.; Pakula, T.; Melenevskaya, E. Y.;Birshtein, T. M.; Lyatskaya, Y. V. Macromol. Chem. Phys. 1994, 195,2317.(72) Han, S. H.; Pryamitsyn, V.; Bae, D.; Kwak, J.; Ganesan, V.; Kim,J. K. ACS Nano 2012, 6, 7966.(73) Onses, M. S.; Song, C.; Williamson, L.; Sutanto, E.; Ferreira, P.M.; Alleyne, A. G.; Nealey, P. F.; Ahn, H.; Rogers, J. A. Nat.Nanotechnol. 2013, 8, 667.(74) Sheehan, M. T.; Farnham, W. B.; Tran, H. V.; Londono, J. D.;Brun, Y. Proc. SPIE 2013, 8682, 868225.(75) Russell, T. P.; Coulon, G.; Deline, V. R.; Miller, D. C.Macromolecules 1989, 22, 4600.

(76) Coulon, G.; Russell, T. P.; Deline, V. R.; Green, P. F.Macromolecules 1989, 22, 2581.(77) Clark, D. T.; Peeling, J.; O’Malley, J. M. J. Polym. Sci., Polym.Chem. Ed. 1976, 14, 543.(78) Jung, Y. S.; Ross, C. A. Nano Lett. 2007, 7, 2046.(79) Li, H.; Gu, W.; Li, L.; Zhang, Y.; Russell, T. P.; Coughlin, E. B.Macromolecules 2013, 46, 3737.(80) Kim, S.; Nealey, P. F.; Bates, F. S. ACS Macro Lett. 2012, 1, 11.(81) Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register,R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31, 7641.(82) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen,J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Science2012, 338, 775.(83) Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. ACS MacroLett. 2012, 1, 418.(84) Bencher, C. Proc. SPIE 2011, 7970.(85) Rincon Delgadillo, P.; Harukawa, R.; Suri, M.; Durant, S.; Cross,A.; Nagaswami, V. R.; Van Den Heuvel, D.; Gronheid, R.; Nealey, P.Proc. SPIE 2013, 8680, 86800L.(86) Doerk, G. S.; Liu, C.-C.; Cheng, J. Y.; Rettner, C. T.; Pitera, J.W.; Krupp, L. E.; Topuria, T.; Arellano, N.; Sanders, D. P. ACS Nano2013, 7, 276.(87) Ruiz, R.; Dobisz, E.; Albrecht, T. R. ACS Nano 2010, 5, 79.(88) Mishra, V.; Kramer, E. J. Macromolecules 2013, 46, 977.(89) Hammond, M. R.; Cochran, E.; Fredrickson, G. H.; Kramer, E.J. Macromolecules 2005, 38, 6575.(90) Brown, R. D.; Tong, Q.; Becker, J. S.; Freedman, M. A.; Yufa, N.A.; Sibener, S. J. Faraday Discuss. 2012, 157, 307.(91) Liu, C.-C.; Ramirez-Hernandez, A.; Han, E.; Craig, G. S. W.;Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J. J.;Nealey, P. F. Macromolecules 2013, 46, 1415.(92) Perera, G. M.; Wang, C.; Doxastakis, M.; Kline, R. J.; Wu, W.-l.;Bosse, A. W.; Stein, G. E. ACS Macro Lett. 2012, 1, 1244.(93) Shin, K.; Leach, K. A.; Goldbach, J. T.; Kim, D. H.; Jho, J. Y.;Tuominen, M.; Hawker, C. J.; Russell, T. P. Nano Lett. 2002, 2, 933.(94) Gu, X.; Gunkel, I.; Russell, T. P. Philos. Trans. R. Soc., A 2013,371.(95) Ting, Y.-H.; Park, S.-M.; Liu, C.-C.; Liu, X.; Himpsel, F. J.;Nealey, P. F.; Wendt, A. E. J. Vac. Sci. Technol., B 2008, 26, 1684.(96) Rathsack, B.; Somervell, M.; Hooge, J.; Muramatsu, M.;Tanouchi, K.; Kitano, T.; Nishimura, E.; Yatsuda, K.; Nagahara, S.;Hiroyuki, I.; Akai, K.; Hayakawa, T.; William, M. T. Proc. SPIE 2012,8323, 83230B.(97) Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.;Adamson, D. H. Science 1997, 276, 1401.(98) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. ACS Nano2011, 5, 4600.(99) Ruiz, R.; Wan, L.; Lille, J.; Patel, K. C.; Dobisz, E.; Johnston, D.E.; Kisslinger, K.; Black, C. T. J. Vac. Sci. Technol., B 2012, 30, 06F202/1.(100) Park, S.; Wang, J.-Y.; Kim, B.; Xu, J.; Russell, T. P. ACS Nano2008, 2, 766.(101) Gu, X.; Liu, Z.; Gunkel, I.; Chourou, S. T.; Hong, S. W.;Olynick, D. L.; Russell, T. P. Adv. Mater. 2012, 24, 5688.(102) Rider, D. A.; Manners, I. Polym. Rev. 2007, 47, 165.(103) Hartney, M. A.; Novembre, A. E.; Bates, F. S. J. Vac. Sci.Technol., B 1985, 3, 1346.(104) Jung, Y. S.; Lee, J. H.; Lee, J. Y.; Ross, C. A. Nano Lett. 2010,10, 3722.(105) Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.;Lammertink, R. G. H.; Vancso, G. J. Adv. Mater. 2001, 13, 1174.(106) Hirai, T.; Leolukman, M.; Liu, C. C.; Han, E.; Kim, Y. J.;Ishida, Y.; Hayakawa, T.; Kakimoto, M.-a.; Nealey, P. F.; Gopalan, P.Adv. Mater. 2009, 21, 4334.(107) Bates, C. M.; Pantoja, M. A. B.; Strahan, J. R.; Dean, L. M.;Mueller, B. K.; Ellison, C. J.; Nealey, P. F.; Willson, C. G. J. Polym. Sci.,Part A 2013, 51, 290.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXJ

Page 11: Block Copolymer Lithography

(108) Seshimo, T.; Bates, C. M.; Dean, L. M.; Cushen, J. D.; Durand,W. J.; Maher, M. J.; Ellison, C. J.; Willson, C. G. J. Photopolym. Sci.Technol. 2012, 25, 125.(109) Hua, F.; Sun, Y.; Gaur, A.; Meitl, M. A.; Bilhaut, L.; Rotkina, L.;Wang, J.; Geil, P.; Shim, M.; Rogers, J. A.; Shim, A. Nano Lett. 2004, 4,2467.(110) Chou, S. Y.; Krauss, P. R. Microelectron. Eng. 1997, 35, 237.(111) Sreenivasan, S. V. MRS Bull. 2008, 33, 854.(112) Yang, X.; Xu, Y.; Lee, K.; Xiao, S.; Kuo, D.; Weller, D. IEEETrans. Magn. 2009, 45, 833.(113) Carlson, A.; Bowen, A. M.; Huang, Y.; Nuzzo, R. G.; Rogers, J.A. Adv. Mater. 2012, 24, 5284.(114) Carter, K. R. ACS Nano 2010, 4, 595.(115) Qin, D.; Xia, Y.; Whitesides, G. M. Nat. Protoc. 2010, 5, 491.(116) Janes, D. W.; Thode, C. J.; Willson, C. G.; Nealey, P. F.;Ellison, C. J. Macromolecules 2013, 46, 4510.(117) Ji, S.; Liu, C.-C.; Liu, G.; Nealey, P. F. ACS Nano 2010, 4, 599.(118) Onses, M. S.; Thode, C. J.; Liu, C.-C.; Ji, S.; Cook, P. L.;Himpsel, F. J.; Nealey, P. F. Adv. Funct. Mater. 2011, 21, 3074.(119) Thode, C. J.; Cook, P. L.; Jiang, Y.; Onses, M. S.; Ji, S.;Himpsel, F. J.; Nealey, P. F. Nanotechnology 2013, 24, 155602.(120) Janes, D. W.; Thode, C. J.; Willson, C. G.; Nealey, P. F.;Ellison, C. J. Macromolecules 2013, 46, 4510.(121) Resnick, D. J.; Sreenivasan, S. V.; Willson, C. G. Mater. Today2005, 8, 34.

Macromolecules Perspective

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXXK