129
B. Tech. IN ELECTRONICS AND COMMUNICATION ENGINEERING FLEXIBLE CURRICULUM (For students admitted in 2019-23) DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING NATIONAL INSTITUTE OF TECHNOLOGY TIRUCHIRAPPALLI 620 015 TAMIL NADU, INDIA

B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

CURRICULUM

The total minimum credits for completing the B.Tech. Programme in Electronics and Com

B. Tech.

IN

ELECTRONICS AND COMMUNICATION ENGINEERING

FLEXIBLE CURRICULUM

(For students admitted in 2019-23)

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING

NATIONAL INSTITUTE OF TECHNOLOGY TIRUCHIRAPPALLI – 620 015

TAMIL NADU, INDIA

Page 2: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

1 | P a g e

B.Tech. Curriculum Structure for the Students admitted during the academic year 2019

– 2020: The total minimum credits for completing the B.Tech. programme in Electronics

and Communication Engineering is 158.

Semester I (July Session)

CODE COURSE Credits Category

ENIR11 Energy and Environmental Engineering 2 GIR

MAIR12 Linear Algebra and Calculus (Mathematics I) 3 GIR

PHIR11 Physics (Circuit) 3 GIR

PHIR12 Physics Lab (Circuit) 2 GIR

CSIR11 Introduction to Computer Programming

(Theory & lab ) (Circuit)

3 GIR

MEIR11 Basics of Mechanical Engineering (For CE, EE,EC,

IC & CS)

2 GIR

PRIR11 Engineering Practice 2 GIR

CEIR11 Basics of Civil Engineering (For EE, EC, IC & CS) 2 GIR

Total 19

Semester II (January Session)

CODE COURSE Credits Category

HSIR11 English for Communication (Theory and Lab) 4 GIR

MAIR22 Complex Analysis and Differential Equations

(Mathematics II)

3 GIR

CHIR11 Chemistry (Circuit) 3 GIR

CHIR12 Chemistry Lab (Circuit) 2 GIR

ECIR15 Introduction to Electronics and communication

Engineering

2 GIR

MEIR12 Engineering Graphics 3 GIR

ECPC13 Semiconductor Physics and Devices 4 PC

SWIR11 NSS / NCC / NSO 0 GIRCC

Total 21

Semester III (July Session)

CODE COURSE Credits Category

MAIR33 Real Analysis and Probability Theory (Mathematics III) 4 GIR

ECPC10 Signals and Systems 4 PC

ECPC11 Network Analysis and Synthesis 4 PC

ECPC12 Electrodynamics and Electromagnetic Waves 4 PC

ECPC14 Digital Circuits and Systems 3 PC

ECLR10 Devices and Networks Laboratory 2 ELR

ECLR11 Digital Electronics Laboratory 2 ELR

Elective – I 3 PE/OE

Total 26

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 26 credits.

Page 3: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

2 | P a g e

Semester IV (January Session)

CODE COURSE Credits Category

HSIR13 Industrial Economics and Foreign Trades 3 GIR

ECPC15 Digital Signal Processing 4 PC

ECPC16 Transmission Lines and Waveguides 3 PC

ECPC17 Electronic Circuits 3 PC

ECLR12 Electronic Circuits Laboratory 2 ELR

ECLR13 Microprocessor and Microcontroller Laboratory 2 ELR

Elective – II 3 PE/OE

Elective – III 3 PE/OE

Total 23

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 23 credits.

Semester V (July Session)

CODE COURSE Credits Category

ECPC18 Analog Communication 3 PC

ECPC19 Digital Communication 3 PC

ECPC20 Antennas and Propagation 3 PC

ECPC21 Analog Integrated Circuits 3 PC

ECLR14 Analog VLSI & Embedded System Design Laboratory 2 ELR

ECLR15 Digital Signal Processing Laboratory 2 ELR

Elective – IV 3 PE/OE

Elective – V 3 PE/OE

Total 22

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 22 credits.

Semester VI (January Session)

CODE COURSE Credits Category

ECIR19 Industrial Lecture 1 GIR

ECPC22 Wireless Communication 3 PC

ECPC23 VLSI Systems 3 PC

ECPC24 Microwave Electronics 3 PC

ECLR16 Communication Engineering Laboratory 2 ELR

ECLR17 Microwave & Fiber Optic Laboratory 2 ELR

HSIR14 Professional Ethics (Circuit) 3 GIR

Elective - VI 3 PE/OE

Elective - VII 3 PE/OE

Total 23

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 23 credits.

Page 4: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

3 | P a g e

Semester VII (July Session)

CODE COURSE Credits Category

ECIR16 Summer Internship 2 GIR

Elective – VIII 3 PE/OE

Elective – IX 3 PE/OE

Elective – X 3 PE/OE

Elective – XI 3 PE/OE

TOTAL 14

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 14 credits.

Semester VIII (January Session)

CODE COURSE Credits Category

ECIR18 Comprehensive Viva Voce 1 GIR

ECIR17 Project Work$/ Equivalent no. of Electives 6 Optional

Elective – XII 3 PE/OE

Elective – XIII 3 PE/OE

Elective – XIV 3 PE/OE

TOTAL 10

Note: Department to offer Minor (MI) Course, and ONLINE Course (OC) to those willing

students in addition to 10 credits.

$Optional course

Credit Distribution

Semester I II III IV V VI VII VIII Total

Credit 19 21 26 23 22 23 14 10 158

Note:

1. Minimum of 4 programme core courses shall be 4 credits each.

2. Out of 14 elective courses (PE/OE), the students should study at least eight programme

elective courses (PE).

3. MI – Minor Degree: 15 credits over and above the minimum credit as specified by the

departments. The details of MINOR will be mentioned only in the transcript not in the

Degree certificate.

4. HO – Honours Degree: 15 credits over and above the minimum credit as specified by the

departments. The project work is compulsory.

Page 5: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

4 | P a g e

Course Structure:

Course Category Courses No. of Credits Weightage (%)

GIR (GeneralInstitute

RequirementCourses) 22 50 31.25

PC (Programme Core) 15 49 – 55** 32.50

Programme Electives

(PE) /

Open Electives (OE)

14$

42

26.25

Essential Laboratory

Requirements (ELR)

Maximum 2 per session

up to 6th semester 16 10

Total 160 ±3 100

Minor (Optional) Courses for 15 credits 15 Additional credits -

Honours (Optional) Courses for 15 credits 15 Additional credits -

**Minimum of 4 programme core courses shall be 4 credits each

$Out of 14 elective courses (PE/OE), the students should study at least eight programme

elective courses (PE)

General Institute Requirements(GIR):

Sl. No. Name of the course Number of

courses

Max.

Credits

1. Mathematics 3 10

2. Physics 1 Theory 3

1 Lab 2

3. Chemistry 1 Theory 3

1 Lab 2

4. Industrial Economics and Foreign Trade 1 3

5. English for Communication 1 Theory 2

1 Lab 2

6. Energy and Environmental Engineering 1 2

7. Professional Ethics 1 3

8. Engineering Graphics 1 3

9. Engineering Practice 1 2

10. Basic Engineering 2 4

11. Introduction to computer Programming 1 3

12. Branch Specific Course# (Introduction to the

Branch of study) 1 2

13. Summer Internship 1 2

14. Project work -- --

15. Comprehensive viva 1 1

16. Industrial Lecture 1 1

17. NSS/NCC/NSO 1 Compulsory

participation

Total 22 50

#Offered by Industrial Experts / Alumni of NITT

Page 6: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

5 | P a g e

I. GENERAL INSTITUTE REQUIREMENTS

1. MATHEMATICS

Sl.

No.

Course

Code Course Title Credits

1 MAIR12 LINEAR ALGEBRA AND CALCULUS 3

2 MAIR22 COMPLEX ANALYSIS AND DIFFERENTIAL EQUATIONS 3

3 MAIR33 REAL ANALYSIS AND PROBABILITY THEORY 4

Total 10

2. PHYSICS

Sl.

No.

Course

Code Course Title Credits

1 PHIR11 PHYSICS 3

2 PHIR12 PHYSICS LAB 2

Total 5

3. CHEMISTRY

Sl.

No.

Course

Code Course Title Credits

1 CHIR11 CHEMISTRY 3

2 CHIR12 CHEMISTRY LAB 2

Total 5

4. HUMANITIES

5. COMMUNICATION

6. ENERGY AND ENVIRONMENTAL ENGINEERING

Sl.

No.

Course

Code Course Title Credits

1 ENIR11 ENERGY AND ENVIRONMENTAL ENGINEERING 2

Total 2

7. PROFESSIONAL ETHICS

Sl.

No.

Course

Code Course Title Credits

1 HSIR14 PROFESSIONAL ETHICS 3

Total 3

Sl.

No.

Course

Code Course Title Credits

1 HSIR13 INDUSTRIAL ECONOMICS AND FOREIGN TRADE 3

Total 3

Sl.

No.

Course

Code Course Title Credits

1 HSIR11 ENGLISH FOR COMMUNICATION 4

Total 4

Page 7: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

6 | P a g e

8. ENGINEERING GRAPHICS

Sl.

No.

Course

Code Course Title Credits

1 MEIR12 ENGINEERING GRAPHICS 3

Total 3

9. ENGINEERING PRACTICE

Sl.

No.

Course

Code Course Title Credits

1 PRIR11 ENGINEERING PRACTICE 2

Total 2

10. BASIC ENGINEERING

Sl.

No.

Course

Code Course Title Credits

1 CEIR11 BASICS OF CIVIL ENGINEERING 2

2 MEIR11 BASICS OF MECHANICAL ENGINEERING 2

Total 4

11. INTRODUCTION TO COMPUTER PROGRAMMING

Sl.

No.

Course

Code Course Title Credits

1 CSIR11 INTRODUCTION TO COMPUTER PROGRAMMING 3

Total 3

12. BRANCH SPECIFIC COURSE

Sl.

No.

Course

Code Course Title Credits

1 ECIR15 Introduction to Electronics and communication Engineering 2

Total 2

13. SUMMER INTERNSHIP

Sl.

No.

Course

Code Course Title Credits

1 ECIR16

INTERNSHIP / INDUSTRIAL TRAINING / ACADEMIC

ATTACHMENT (2 to 3 months duration during summer

vacation) 2

Total 2

The student should undergo industrial training/internship for a minimum period oftwo months during

the summer vacation of 3rdyear. Attachment with an academic institution within the country

(IISc/IITs/NITs/IIITsand CFTIs) or university abroad is also permitted instead of industrial training. # To be evaluated at the beginning of VII semester by assessing the report and seminar

presentations.

14. PROJECT WORK

Sl.

No.

Course

Code Course Title Credits

1 ECIR17$ Project work/equivalent number of electives 6

Total 6

$ Optional

Page 8: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

7 | P a g e

15. COMPREHENSIVE VIVA

Sl.

No.

Course

Code Course Title Credits

1 ECIR18 COMPREHENSIVE VIVA 1

Total 1

16. INDUSTRIAL LECTURE

A course based on industrial lectures shall be offered for 1 credit. A minimum of five lectures of two

hours duration by industry experts will be arranged by the Department. The evaluation methodology,

will in general, be based on quizzes at the end of each lecture.

17. NSS / NCC / NSO

Sl.

No.

Course

Code

Course Title Credits

1 SWIR11 NSS / NCC / NSO 0

Total 0

II. PROGRAMME CORE (PC)

Sl.

No.

Course Code Course Title Prerequisites Credits

1. ECPC10 SIGNALS AND SYSTEMS NONE 4

2. ECPC11 NETWORK ANALYSIS AND

SYNTHESIS NONE 4

3. ECPC12 ELECTRODYNAMICS AND

ELECTROMAGNETIC WAVES NONE 4

4. ECPC13 SEMICONDUCTOR PHYSICS AND

DEVICES NONE 4

5. ECPC14 DIGITAL CIRCUITS AND SYSTEMS NONE 3

6. ECPC15 DIGITAL SIGNAL PROCESSING ECPC10 4

7. ECPC16 TRANSMISSION LINES AND

WAVEGUIDES ECPC12 3

8. ECPC17 ELECTRONIC CIRCUITS ECPC13 3

9. ECPC18 ANALOG COMMUNICATION ECPC10 3

10. ECPC19 DIGITAL COMMUNICATION ECPC10 3

11. ECPC20 ANTENNAS AND PROPAGATION ECPC12 3

12. ECPC21 ANALOG INTEGRATED CIRCUITS ECPC17 3

13. ECPC22 WIRELESS COMMUNICAITON ECPC19 3

14. ECPC23 VLSI SYSTEMS ECPC21 3

15. ECPC24 MICROWAVE ELECTRONICS ECPC16 3

Total 50

Sl.

No.

Course

Code Course Title Credits

1 ECIR19 INDUSTRIAL LECTURE 1

Total 1

Page 9: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

8 | P a g e

III. ELECTIVES

a. PROGRAMME ELECTIVE (PE)

Students who are pursuing B.Tech. in Electronics and Communication Engineering should complete

at least three courses from the Programme Electives listed below.

Sl.

No.

Course

Code Course Title Prerequisites Credits

1. ECPE10 NETWORKS AND PROTOCOLS NONE 3

2. ECPE11 WIRELESS LOCAL AREA NETWORK ECPE10 3

3. ECPE12 MICROPROCESSORS AND

MICROCONTROLLERS

NONE 3

3. ECPE13 COMPUTER ARCHITECTURE AND

ORGANIZATION

NONE 3

5. ECPE14 EMBEDDED SYSTEMS NONE 3

6. ECPE15 OPERATING SYSTEMS NONE 3

7. ECPE16 ARM SYSTEM ARCHITECTURE NONE 3

8. ECPE17 STATISTICAL THEORY OF

COMMUNICATION

NONE 3

9. ECPE18 DIGITAL SIGNAL PROCESSORS AND

APPLICATIONS ECPC15

3

10. ECPE19 HIGH SPEED SYSTEM DESIGN NONE 3

11. ECPE20 DIGITAL SPEECH PROCESSING ECPC15 3

12. ECPE21 DIGITAL IMAGE PROCESSING NONE 3

13. ECPE22 PATTERN RECOGNITION NONE 3

14. ECPE23 DISPLAY SYSTEMS ECPC13 3

15. ECPE24 INTERNET OF THINGS CSIR11,

ECPE12, C/C++

and Python

Programming

skills

3

16. ECPE25 ADVANCED DIGITAL SIGNAL

PROCESSING

ECPC15 3

17. ECPE26 COGNITIVE RADIO ECPC15 3

18. ECPE27 MULTIMEDIA COMMUNICATION

TECHNOLOGY ECPC15

3

19. ECPE28 COMMUNICATION SWITCHING

SYSTEMS ECPC18

3

20. ECPE29 BROADBAND ACCESS TECHNOLOGIES

ECPC18 &

ECPC19

3

21. ECPE30 MICROWAVE COMPONENTS AND

CIRCUITS ECPC16

3

22. ECPE31 FIBER OPTIC COMMUNICATION

ECPC12 &

ECPC18

3

23. ECPE32 DIGITAL SIGNAL PROCESSING FOR

WIRELESS COMMUNICATION ECPC15

3

24. ECPE33 MICROWAVE INTEGRATED CIRCUIT

DESIGN

ECPC16 &

ECPC24

3

25. ECPE34 RF MEMS CIRCUIT DESIGN

ECPC16 &

ECPC24

3

Page 10: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

9 | P a g e

26. ECPE35 SATELLITE COMMUNICATION ECPC18 3

27. ECPE36 PRINCIPLES OF RADAR ECPC20 3

28. ECPE37 LOW POWER VLSI CIRCUITS ECPC23 3

29. ECPE38 ADHOC WIRELESS NETWORKS ECPE10 3

30. ECPE39 WIRELESS SENSOR NETWORKS ECPE10 3

31. ECPE40 NANO ELECTRONICS NONE 3

Total 93

b. OPEN ELECTIVE (OE)

The courses listed below are offered by the Department of Electronics and Communication

Engineering for students of other Departments.

Sl.

No.

Course

Code Course Title Prerequisites Credits

1. ECOE10 MICROWAVE INTEGRATED CIRCUITS NONE 3

2. ECOE11 RF MEMS CIRCUIT NONE 3

3. ECOE12 HIGH SPEED SYSTEM DESIGN NONE 3

4. ECOE13 DIGITAL SPEECH PROCESSING ECPC15 3

5. ECOE14 DIGITAL IMAGE PROCESSING NONE 3

6. ECOE15 PATTERN RECOGNITION NONE 3

7. ECOE16 COMPUTER ARCHITECTURE AND

ORGANIZATION NONE 3

8. ECOE17 OPERATING SYSTEMS NONE 3

9. ECOE18 WIRELESS SENSOR NETWORKS ECPE10 3

10. ECOE19 ARM SYSTEM ARCHITECTURE NONE 3

11. ECOE20 LOW POWER VLSI CIRCUITS ECPC23 3

12. ECOE21 COMPUTER VISION AND MACHINE

LEARNING NONE 3

13. ECOE22 TEXT DATA MINING NONE 3

14. ECOE23 INTERNET OF THINGS CSIR11, C/C++,

Python

Programming

skills

3

15. ECOE51 NPTEL - Semiconductor Optical

Communication Components and Devices

NONE 3

16. ECOE52 NPTEL - Fundamentals of MIMO Wireless

Communication

ECPC22 3

17. ECOE53 NPTEL - Modern Digital Communication

Techniques

ECPC19 3

18. ECOE54 NPTEL - VLSI Design Verification and Test ECPC23 3

19. ECOE55 NPTEL - Digital VLSI Testing ECPC23 3

20. ECOE56 NPTEL - Analog Circuits and Systems

through SPICE Simulation

ECPC17 3

21. ECOE57 NPTEL - Linux Programming and Scripting NONE 3

22. ECOE58 NPTEL - Digital System Design with PLDs

and FPGAs

ECPC14 3

23. ECOE59 NPTEL - MEMS and Microsystems NONE 3

24. ECOE60 NPTEL - Neural Networks and Applications NONE 3

25. ECOE61 NPTEL - Biomedical Signal Processing NONE 3

Page 11: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

10 | P a g e

26. ECOE62 NPTEL - Evolution of Air Interface Towards

5G

NONE 3

27. ECOE63 NPTEL - Introduction to Machine Learning NONE 3

28. ECOE64 NPTEL - A Brief Introduction of Micro –

Sensors

NONE 3

29. ECOE65 NPTEL - An Introduction to Coding Theory NONE 3

30. ECOE66 NPTEL - Deep Learning NONE 3

31. ECOE67 NPTEL - Python for everybody NONE 3

32. ECOE68 NPTEL - Cryptography and network

security

NONE 3

33. ECOE69 NPTEL - Blockchain architecture design and

use cases NONE

3

34. ECOE70 NPTEL - Optical sensors NONE 3

35. ECOE71 NPTEL - Non -linear adaptive control NONE 3

36. ECOE72 NPTEL - Modelling & simulation of

dynamic systems

NONE 3

37. ECOE73 NPTEL - Bio informatics: algorithm &

applications NONE

3

Total 111

c. MINOR (MI)

Students who have registered for B.Tech Minor in ELECTRONICS AND COMMUNICATION

ENGINEERING can opt to study any 5 of the courses listed below.

Sl.

No. Course Code Course Title Prerequisites Credits

1. ECMI10 SIGNALS AND SYSTEMS NONE 3

2. ECMI11 NETWORK ANALYSIS AND

SYNTHESIS NONE 3

3. ECMI12 ELECTRODYNAMICS AND

ELECTROMAGNETIC WAVES NONE 3

4. ECMI13 SEMICONDUCTOR PHYSICS AND

DEVICES NONE 3

5. ECMI14 DIGITAL CIRCUITS AND SYSTEMS NONE 3

6. ECMI15 DIGITAL SIGNAL PROCESSING ECMI10 3

7. ECMI16 TRANSMISSION LINES AND

WAVEGUIDES ECMI12 3

8. ECMI17 ELECTRONIC CIRCUITS ECMI13 3

9. ECMI18 MICROPROCESSORS AND MICRO

CONTROLLERS ECMI14 3

10. ECMI19 DIGITAL SIGNAL PROCESSORS AND

APPLICATIONS ECMI15 3

11. ECMI20 ANALOG COMMUNICATION ECMI10 3

12. ECMI21 ANTENNAS AND PROPAGATION ECMI12 3

13. ECMI22 ANALOG INTEGRATED CIRCUITS ECMI17 3

14. ECMI23 DIGITAL COMMUNICATION ECMI20 3

15. ECMI24 MICROWAVE COMPONENTS AND

CIRCUITS ECMI16 3

16. ECMI25 VLSI SYSTEMS ECMI14 3

17. ECMI26 WIRELESS COMMUNICAITON ECMI23 3

Page 12: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

11 | P a g e

18. ECMI27 FIBER OPTIC COMMUNICATION ECMI12

&ECMI20 3

19. ECMI28 MICROWAVE ELECTRONICS ECMI24 3

Total 57

Note: Student should be allowed a minimum of 50% of the total electives of a programme from

Open electives and Minor, if so desired by the student.

(IV) ESSENTIAL PROGRAMME LABORATORY REQUIREMENT (ELR)

Sl.

No.

Course Code Course Title Co-requisites Credits

1. ECLR10 DEVICES AND NETWORKS

LABORATORY

ECPC13 2

2. ECLR11 DIGITAL ELECTRONICS

LABORATORY

ECPC14 2

3. ECLR12 ELECTRONIC CIRCUITS LABORATORY ECPC17

2

4. ECLR13 MICROPROCESSOR AND

MICROCONTROLLER LABORATORY

ECPE12 2

5. ECLR14 ANALOG VLSI & EMBEDDED SYSTEM

DESIGN LABORATORY

ECPC21

&ECPC23 2

6. ECLR15 DIGITAL SIGNAL PROCESSING

LABORATORY

ECPC15&

ECPE18 2

7. ECLR16 COMMUNICATION ENGINEERING

LABORATORY

ECPC18

&ECPC19 2

8. ECLR17

MICROWAVE & FIBER OPTIC

LABORATORY

ECPC24,

ECPE30&

ECPE31

2

Total 16

NOTE: Students can register for 2 laboratory courses during one session along with regular

courses (PC / PE / OE / MI).

V. ADVANCED LEVEL COURSES FOR B.Tech. (HONOURS)

A student can obtain B.Tech. (Honours) degree provided the student has;

i. Registered at least for 12 theory courses and 2 ELRs in the second year.

ii. Consistently obtained a minimum GPA of 8.5 in the first four sessions

iii. Continue to maintain the same GPA of 8.5 in the subsequent sessions (including the Honours

courses)

iv. Completed 3 additional theory courses specified for the Honors degree of the programme.

v. Completed all the courses registered, in the first attempt and in four years of study.

Sl.

No. Course Code Course Title Prerequisites Credits

1. ECHO11 SPECTRAL ANALYSIS OF SIGNALS ECPC15 3

2. ECHO12 DETECTION AND ESTIMATION MAIR 32 3

3. ECHO13 WAVELET SIGNAL PROCESSING ECPC15 3

Page 13: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

12 | P a g e

4. ECHO14 RF CIRCUITS NONE 3

5. ECHO15 NUMERICAL TECHNIQUES FOR MIC ECPE30 3

6. ECHO16 APPLIED PHOTONICS NONE 3

7. ECHO17 ADVANCED RADIATION SYSTEMS ECPE17 3

8. ECHO18 BIO MEMS NONE 3

9. ECHO19 ANALOG IC DESIGN ECPE18 3

10. ECHO20 VLSI SYSTEM TESTING ECPC23 3

11. ECHO21 ELECTRONIC DESIGN AUTOMATION

TOOLS NONE 3

12. ECHO22 DESIGN OF ASICS NONE 3

13. ECHO23 DIGITAL SYSTEM DESIGN ECPC14 3

14. ECHO24

OPTIMIZATIONS OF DIGITAL

SIGNAL PROCESSING STRUCTURES

FOR VLSI

ECPC23

&ECPE18 3

15. ECHO25 LOW POWER VLSI CIRCUITS ECPC23 3

16. ECHO26 VLSI DIGITAL SIGNAL PROCESSING

SYSTEMS

ECPC15

&ECPC23 3

17. ECHO27 ASYNCHRONOUS SYSTEM DESIGN ECPC14 3

18. ECHO28 PHYSICAL DESIGN AUTOMATION NONE 3

19. ECHO29 MIXED - SIGNAL CIRCUIT DESIGN NONE 3

20. ECHO30 DIGITAL SIGNAL PROCESSING FOR

MEDICAL IMAGING ECPC15 3

21. ECHO31 Advanced Techniques for Wireless

Reception - 3

22. ECHO32 Error Control Coding - 3

23. ECHO33 Digital Communication Receivers - 3

Total 69

Page 14: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

13 | P a g e

Course Code : MAIR32

Course Title : REAL ANALYSIS AND PROBABILITY THEORY

Number of Credits 4

Prerequisites

(Course code)

: NONE

Course Type : GIR

Course Learning Objective

To expose the students to the basics of real analysis and probability theory required for their subsequent course work.

Course Content Real Analysis: Real number system. Sets, relations and functions. Properties of real numbers.

sequences. Cauchy sequences. Bolzano-Weierstrass and Heine-Borel properties.

Reimann integral. Mean value theorems. Sequences and series of functions. Pointwise and

uniform convergence. Power series and Taylor series.

Probability Theory: Random Variable and random vectors - Distributions and densities. –

Functions of one and two random variables. Moments and characteristic functions.

Random processes - Strict sense and wide sense stationary processes - Covariance functions

and their properties - Spectral representation - Wiener-Khinchine theorem.

Gaussian processes – Poisson processes - Lowpass and Bandpass noise representations. .

Text Books

1. W.Rudin, “Introduction to Principles of Mathematical Analysis”, McGraw-Hill International Editions, Third Edition, 1976.

2. Davenport,” Probability and Random Processes for Scientist and Engineers”, McGraw-Hill, 1970.

3. Papoulis. A.,” Probability, Random variables and Stochastic Processes”, McGraw Hill,

2002. Reference Books

1. Kreyszig.E. “Advanced Engineering Mathematics”, John Wiley, 1999. 2. S.C. Malik, Savita Arora, “Mathematical Analysis”, New Age International Ltd, 4th Edition,

2012. 3. G.B.Gustafson & C.H. Wilcox, “Advanced Engineering Mathematics”, Springer Verlag,

1998.

Course outcomes At the end of the course student will be able

CO1: Develops an understanding for the construction of proofs and an appreciation for deductive logic. CO2: Explore the already familiar properties of the derivative and the Riemann Integral, set on a more rigorous and formal footing which is central to avoiding inconsistencies in engineering applications. CO3: Explore new theoretical dimensions of uniform convergence, completeness and important consequences as interchange of limit operations. CO4: understand the concept of random processes and determine covariance and spectral density of stationary random processes. CO5: demonstrate the specific applications to Poisson and Gaussian processes and representation of low pass and band pass noise models.

Page 15: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

14 | P a g e

Course Code : ECPC10

Course Title : SIGNALS AND SYSTEMS

Number of Credits 4

Prerequisites

(Course code)

: NONE

Course Type : PC

Course Learning Objectives

To make the students to understand the fundamental characteristics of signals and systems in terms of both the time and transform domains

Development of the mathematical skills to solve problems involving convolution, filtering, modulation and sampling.

Course Content Definition of Signals and Systems, Classification of Signals, Operations on signals, Singularity functions and related functions. Analogy between vectors and signals - orthogonal signal space, complete set of orthogonal functions, Parseval’s relations. Fourier series representation of continuous time periodic signals -Trigonometric and Exponential Fourier series- Properties of Fourier series.

Fourier transform of aperiodic signals, standard signals and periodic signals - Properties of Fourier transforms. Hilbert transform and its properties. Laplace transforms-RoC-properties. Inverse Laplace transform.

Continuous-time Systems and its properties. Linear time invariant (LTI) system-Impulse response. Convolution. Analysis of LTI System using Laplace and Fourier transforms.

Sampling and reconstruction of band limited signals. Low pass and band pass sampling theorems. Aliasing. Anti-aliasing filter. Practical Sampling-aperture effect.

Discrete-time signals and systems. Discrete Fourier series. Z-transform and its properties. Analysis of LSI systems using Z – transform. Text Books

1. A.V.Oppenheim, A. Willsky, S. Hamid Nawab, “Signals and Systems (2/e)”, Pearson 200.

2. S.Haykin and B.VanVeen “Signals and Systems, Wiley, 1998. Reference Books

1. M.Mandal and A.Asif, “Continuous and Discrete Time Signals and Systems, Cambridge, 2007.

2. D.C.Lay, “Linear Algebra and its Applications (2/e)”, Pearson, 200. 3. S.S.Soliman & M.D.Srinath, “Continuous and Discrete Signals and Systems”,

Prentice- Hall, 1990.

Course outcomes At the end of the course student will be able to

CO1: Understand the mathematical description and representation of continuous-time and discrete-time signals.

CO2: Analyze the spectral characteristics of continuous-time periodic and aperiodic signals using Fourier analysis.

CO3: Analyse system properties based on impulse response and Fourier analysis

CO4: Convert a continuous time signal into discrete time signal and reconstruct the continuous time signals back from its samples

CO5: Apply the Laplace transform and Z- transform respectively for the analyse of continuous-time and discrete-time signals.

Page 16: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

15 | P a g e

Course Code : ECPC11

Course Title : NETWORK ANALYSIS AND SYNTHESIS

Number of Credits 4

Prerequisites

(Course code)

: NONE

Course Type : PC

Course Learning Objectives

To make the students capable of analysing any given electrical network.

To make the students to learn synthesis of an electrical network for a given impedance/ admittance function.

Course Content Network concept. Elements and sources. Kirchhoff’s laws. Tellegen’s theorem. Network equilibrium equations. Node and Mesh method. Source superposition. Thevenin’s and Norton’s theorems. Network graphs.

First and second order networks. State equations. Transient response. Network functions. Determination of the natural frequencies and mode vectors from network functions.

Sinusoidal steady-state analysis. Maximum power-transfer theorem. Resonance. Equivalent and dual networks. Design of equalizers.

Two-port network parameters. Interconnection of two port networks. Barlett’s bisection theorem.

Image and Iterative parameters. Design of attenuators.

Two-terminal network synthesis. Properties of Hurwitz polynomial and Positive real function. Synthesis of LC, RC and RL Networks, Foster Forms and Cauer Forms.

Text Books 1. Hayt W. H., Kemmerly J. E. and Durbin S. M., “Engineering Circuit Analysis”, 6th Ed.,

TataMcGraw-Hill Publishing Company Ltd., 2008. 2. F.F. Kuo, “Network analysis and Synthesis”, Wiley International Edition, 2008.

Reference Books 1. Valkenberg V., “Network Analysis”, 3rd Ed., Prentice Hall International Edition, 2007. 2. B.S.Nair and S.R.Deepa, “Network analysis and Synthesis”, Elsevier, 2012.

Course outcomes At the end of the course student will be able

CO1: analyse the electric circuit using network theorems CO2: understand and Obtain Transient & Forced response CO3: determine Sinusoidal steady state response; understand the real time applications of maximum power transfer theorem and equalizer CO4: understand the two–port network parameters, are able to find out two-port network parameters & overall response for interconnection of two-port networks. CO5: synthesize one port network using Foster form, Cauer form.

Page 17: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

16 | P a g e

Course Code : ECPC12

Course Title : ELECTRODYNAMICS AND ELECTROMAGNETIC WAVES

Number of Credits 4

Prerequisites

(Course code)

: NONE

Course Type : PC

Course Learning Objective

To expose the students to the rudiments of Electromagnetic theory and wave propagation essential for subsequent courses on microwave engineering, antennas and wireless communication

Course Content Electrostatics. Coulomb’s law. Gauss’s law and applications. Electric potential. Poisson’s and Laplace equations. Method of images. Multipole Expansion.

Electrostatic fields in matter. Dielectrics and electric polarization. Capacitors with dielectric substrates. Linear dielectrics. Force and energy in dielectric systems.

Magneto-statics. Magnetic fields of steady currents. Biot-Savart’s and Ampere’s laws. Magnetic vector potential. Magnetic properties of matter.

Electrodynamics. Flux rule for motional emf. Faraday’s law. Self and mutual inductances. Maxwell’s

Equations. Electromagnetic Boundary conditions. Poynting theorem.

Electromagnetic wave propagation. Uniform plane waves. Wave polarization. Waves in matter. Reflection and transmission at boundaries. Propagation in an ionized medium.

Text Books 1. D.J.Griffiths, “Introduction to Electrodynamics (3/e)”, PHI, 2001 2. E.C. Jordan & G. Balmain, “Electromagnetic Waves and Radiating Systems”, PHI, 1995.

Reference Books 1. W.H.Hayt, “Engineering Electromagnetics, (7/e)”, McGraw Hill, 2006. 2. D.K.Cheng, “Field and Wave Electromagnetics, (2/e)”, Addison Wesley, 1999.

3. M.N.O.Sadiku, ”Principles of Electromagnetics, (4/e)”, Oxford University Press, 2011. 4. N.NarayanaRao, “Elements of Engineering Electromagnetics, (6/e)”, Pearson, 2006. 5. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, McGraw –Hill, 2002. 6. R.E.Collin, “Antennas and Radio wave Propagation”, McGraw-Hill, 1985.

Course outcomes

At the end of the course student will be able CO1: recognize and classify the basic Electrostatic theorems and laws and to derive them. CO2: discuss the behaviour of Electric fields in matter and Polarization concepts. CO3: classify the basic Magneto static theorems and laws and infer the magnetic properties of matter. CO4: summarize the concepts of electrodynamics &to derive and discuss the Maxwell’s equations. CO5: students are expected to be familiar with Electromagnetic wave propagation and wave polarization.

Page 18: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

17 | P a g e

Course Code : ECPC13

Course Title : SEMICONDUCTOR PHYSICS AND DEVICES

Number of Credits 4

Prerequisites

(Course code)

: NONE

Course Type : PC

Course Learning Objectives

To make the students understand the fundamentals of electronic devices.

To train them to apply these devices in mostly used and important applications.

Course Content Semiconductor materials: crystal growth, film formation, lithography, etching and doping. Formation

of energy bands in solids, Concept of hole, Intrinsic and extrinsic semiconductors, conductivity,

Equilibrium Carrier concentration, Density of states and Fermi level, Carrier transport – Drift and

Diffusion, continuity equation, Hall effect and its applications.

P-N junction diodes, Energy band diagram, biasing, V-I characteristics, capacitances. Diode models,

Break down Mechanisms, Rectifiers, Limiting and Clamping Circuits, types of diodes.

BJT Physics and Characteristics modes of operation, Ebers-Moll Model, BJT as a switch and

Amplifier, breakdown mechanisms, Photo devices.

MOSFET: Ideal I-V characteristics, non-ideal I-V effects, MOS Capacitor, MOSFET as switch,

CMOS Logic gate Circuits, Bi-CMOS circuits, CCDs.

State-of-the-art MOS technology: small-geometry effects, FinFETs, Ultrathin body FETs. Display

devices, Operation of LCDs, Plasma, LED and HDTV

Text Books 1. S.M.Sze, Semiconductors Devices, Physics and Technology, (2/e), Wiley, 2002

2. A.S.Sedra & K.C.Smith, Microelectronic Circuits (5/e), Oxford, 2004

Reference Books 1. L.Macdonald & A.C.Lowe, Display Systems, Wiley, 2003

2. Robert Pierret, “Semiconductor Device Fundamentals,” Pearson Education, 2006

3. J.Millman and C.C.Halkias: Electronic devices and Circuits, McGraw Hill, 1976.

4. B.G.Streetman: Solid state devices, (4/e), PHI, 1995.

5. N.H.E.Weste, D. Harris, “CMOS VLSI Design (3/e)”, Pearson, 2005.

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of basic semiconductor material physics and understand fabrication processes. CO2: Analyze the characteristics of various electronic devices like diode, transistor etc., CO3: Classify and analyze the various circuit configurations of Transistor and MOSFETs. CO4: Illustrate the qualitative knowledge of Power electronic Devices. CO5: Become Aware of the latest technological changes in Display Devices.

Page 19: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

18 | P a g e

Course Code : ECPC14

Course Title : DIGITAL CIRCUITS AND SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PC

Course Learning Objective

To introduce the theoretical and circuit aspects of digital electronics, which is the back bone for the basics of the hardware aspect of digital systems

Course Content Review of number systems-representation-conversions, error detection and error correction. Review of Boolean algebra- theorems, sum of product and product of sum simplification, canonical forms-min term and max term, Simplification of Boolean expressions-Karnaugh map, completely and incompletely specified functions, Implementation of Boolean expressions using universal gates. Combinational logic circuits- adders, subtractors, BCD adder, ripple carry look ahead adders, parity generator, decoders, encoders, multiplexers, de-multiplexers, Realization of Boolean expressions- using decoders-using multiplexers. Memories – ROM- organization, expansion. PROMs. Types of RAMs – Basic structure, organization, Static and dynamic RAMs, PLDs, PLAs. Sequential circuits – latches, flip flops, edge triggering, asynchronous inputs. Shift registers, Universal shift register, applications. Binary counters – Synchronous and asynchronous up/down counters, mod-N counter, Counters for random sequence. Synchronous circuit analysis and design: structure and operation, analysis-transition equations, state tables and state diagrams, Modelling- Moore machine and Mealy machine- serial binary adder, sequence recogniser, state table reduction, state assignment. Hazard; Overview and comparison of logic families. Introduction to Verilog HDL, Structural, Dataflow and behavioural modelling of combinational and sequential logic circuits. Text Books

1. Wakerly J F, “Digital Design: Principles and Practices, Prentice-Hall”, 2nd Ed., 2002. 2. D. D. Givone, “Digital Principles and Design”, Tata Mc-Graw Hill, New Delhi, 2003.

Reference Books 1. S.Brown and Z.Vranesic, “Fundamentals of Digital Logic with Verilog Design”, Tata Mc-

Graw Hill, 2008. 2. D.P. Leach, A. P. Malvino, Goutam Guha, “Digital Principles and Applications”, Tata Mc-

Graw Hill, New Delhi, 2011. 3. M. M. Mano, “Digital Design”, 3rd ed., Pearson Education, Delhi, 2003. 4. R.J.Tocci and N.S.Widner, “Digital Systems - Principles& Applications”, PHI, 10th Ed.,

2007. 5. Roth C.H., “Fundamentals of Logic Design”, Jaico Publishers. V Ed., 2009. 6. T. L. Floyd and Jain,”Digital Fundamentals”, 8th ed., Pearson Education, 2003.

Course outcomes

At the end of the course student will be able to CO1: Apply the knowledge of Boolean algebra and simplification of Boolean expressions to deduce optimal digital circuits. CO2: Study and examine the SSI, MSI and Programmable combinational circuits. CO3: Study and investigate the sequential networks suing counters and shift registers; summarize the performance of logic families with respect to their speed, power consumption, number of ICs and cost. CO4: Work out SSI and MSI digital networks given a state diagram based on Mealy and Moore configurations. Summarize the performance of logic families with respect to their speed, power consumption, number of ICs and cost. CO5: Code combinational and sequential circuits using Virology HDL.

Page 20: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

19 | P a g e

Course Code : ECPC15

Course Title : DIGITAL SIGNAL PROCESSING

Number of Credits 4

Prerequisites

(Course code)

: ECPC10

Course Type : PC

Course Learning Objective (i) To study about discrete-time Fourier transform (DTFT), the concepts of frequency response

characteristics of a discrete-time systems, DFT and its fast computation.

(ii) To make the students able to design digital filters (FIR and IIR) and implement in various

forms.

(iii) To study and understand the concept of multirate DSP systems and its applications

Course Content

Review of LSI system, DTFT, Frequency response of discrete time systems, all pass inverse, linear phase and minimum phase systems.

DFT, Relationship of DFT to other transforms, FFT, DIT and DIF, FFT algorithm, Linear filtering using DFT and FFT.

Characteristics of FIR Digital Filters, types and frequency response - Design of FIR digital filters using window techniques and frequency sampling technique - basic structures and lattice structure for FIR systems. Analog filter approximations – Butter worth and Chebyshev, Design of IIR Digital filters from analog filters, Analog and Digital frequency transformations - Basic structures of IIR systems, Transposed forms. Sampling rate conversion by an integer and rational factor, Poly phase FIR structures for sampling rate conversion. Text Books

1. J.G.Proakis, D.G. Manolakis, “Digital Signal Processing”, (4/e) Pearson, 2007. 2. A.V.Oppenheim & R.W.Schafer, “Discrete Time Signal processing", (2/e), Pearson

Education, 2003. Reference Books

1. S.K.Mitra, “Digital Signal Processing (3/e)”, Tata McGraw Hill, 2006. 2. P.S.R.Diniz, E.A.B.da Silva and S.L.Netto, “Digital Signal Processing”, Cambridge,

2002. 3. E.C.Ifeachor & B.W.Jervis, “Digital Signal Processing”, (2/e), Pearson Education,

2002. 4. J.R.Jhonson, “Introduction to Digital Signal Processing”, Prentice-Hall, 1989.

Course outcomes At the end of the course student will be able to

CO1: analyze discrete-time systems in both time & transform domain and also through pole-zero placement. CO2: analyze discrete-time signals and systems using DFT and FFT. CO3: design and implement digital finite impulse response (FIR) filters. CO4: design and implement digital infinite impulse response (IIR) filters. CO5: understand and develop multirate digital signal processing systems.

Page 21: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

20 | P a g e

Course Code : ECPC16

Course Title : TRANSMISSION LINES AND WAVEGUIDES

Number of Credits 3

Prerequisites

(Course code)

: ECPC12

Course Type : PC

Course Learning Objective

To expose students to the complete fundamentals and essential feature of waveguides, resonators and microwave components and also able to give an introduction to microwave integrated circuit design.

Course Content

Classification of guided wave solutions-TE, TM and TEM waves. Field analysis transmission lines.

Rectangular and circular waveguides. Excitation of waveguides. Rectangular and circular cavity

resonators.

Transmission line equations. Voltage and current waves. Solutions for different terminations. Transmission-line loading.

Impedance transformation and matching. Smith Chart, Quarter-wave and half-wave transformers. Binomial and T chebeyshev transformers. Single, double and triple stub matching.

Micro-striplines, stripline, slot lines, coplanar waveguide and fin line. Micro strip MIC design aspects. Computer- aided analysis and synthesis.

Text Books 1. D.M.Pozar, “Microwave Engineering (3/e)” Wiley, 2004. 2. J.D.Ryder, “Networks, Lines and Fields”, PHI, 2003.

Reference Books 1. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, McGraw-Hill, 2002. 2. S.Y.Liao, “Microwave Devices and Circuits”, (3/e) PHI, 2005. 3. J. A. Seeger, “Microwave Theory, Components, and Devices” Prentice-Hall-A division of

Simon & Schuster Inc Englewood Cliffs, New Jersy 07632, 1986.

Course outcomes

At the end of the course student will be able

CO1: classify the Guided Wave solutions -TE, TM, and TEM. CO2: analyze and design rectangular waveguides and understand the propagation of electromagnetic waves. CO3: evaluate the resonance frequency of cavity Resonators and the associated modal field. CO4: analyze the transmission lines and their parameters using the Smith Chart. CO5: apply the knowledge to understand various planar transmission lines.

Page 22: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

21 | P a g e

Course Code : ECPC17

Course Title : ELECTRONIC CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECPC13

Course Type : PC

Course Learning Objective

To make the students understand the fundamentals of electronic circuits.

Course Content

Load line, operating point, biasing methods for BJT and MOSFET. Low frequency and high models

of BJT and MOSFET, Small signal Analysis of CE, CS, CD and Cascade amplifier

MOSFET amplifiers: Current mirrors: Basic current mirror, Cascade current mirror, Single-ended

amplifiers: CS amplifier – with resistive load, diode connected load, current source load, triode load,

source degeneration. CG and CD amplifiers, Cascade amplifier,

Frequency response of amplifiers, Differential Amplifiers, CMRR, Differential amplifiers with active

load, two stage amplifiers

Feedback concept, Properties, Feedback amplifiers, Stability analysis, Condition for oscillation,

Sinusoidal oscillators.

Power amplifiers- class A, class B, class AB, Biasing circuits, class C and class D

Text Books 1. A.S.Sedra & K.C.Smith, “Microelectronic Circuits (5/e)”, Oxford, 2004.

2. D.L.Schilling & C.Belove, ”Electronic Circuits: Discrete and Integrated”, (3/e), McGraw

Hill, 1989.

Reference Books 1. Behzad Razavi, “Design of Analog CMOS Integrated Circuits”, (2/e), McGraw Hill, 2017.

2. J.Millman & Arvin Grabel, “Microelectronics”, McGraw Hill, 2007.

3. K.V.Ramanan, “Functional Electronics”, Tata McGraw Hill, 1984.

Course outcomes

At the end of the course student will be able CO1: illustrate about rectifiers, transistor and FET amplifiers and its biasing. Also compare the performances of its low frequency models. CO 2: discuss about the frequency response of MOSFET and BJT amplifiers.

CO 3: illustrate about MOS and BJT differential amplifiers and its characteristics. CO4: discuss about the feedback concepts and construct feedback amplifiers and oscillators. Also summarizes its performance parameters. CO 5: explain about power amplifiers and its types and also analyze its characteristics.

Page 23: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

22 | P a g e

Course Code : ECPC18

Course Title : ANALOG COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC10

Course Type : PC

Course Learning Objective

To develop a fundamental understanding on Communication Systems with emphasis on analog modulation techniques and noise performance.

Course Content Basic blocks of Communication System. Amplitude (Linear) Modulation – AM, DSB-SC, SSB-SC and VSB-SC. Methods of generation and detection. FDM. Super Heterodyne Receivers.

Angle (Non-Linear) Modulation - Frequency and Phase modulation. Transmission Bandwidth of FM signals, Methods of generation and detection. FM Stereo Multiplexing.

Noise - Internal and External Noise, Noise Calculation, Noise Figure. Noise in linear and nonlinear AM receivers, Threshold effect.

Noise in FM receivers, Threshold effect, Capture effect, FM Threshold reduction, Pre-emphasis and De-emphasis.

Pulse Modulation techniques – Sampling Process, PAM, PWM and PPM concepts, Methods of generation and detection. TDM. Noise performance.

Text Books 1. S.Haykins, Communication Systems, Wiley, (4/e), Reprint 2009. 2. Kennedy, Davis, Electronic Communication Systems (4/e), McGraw Hill, Reprint 2008.

Reference Books 1. B.Carlson, Introduction to Communication Systems, McGraw-Hill, (4/e), 2009.

2. J.Smith, Modern Communication Circuits (2/e), McGraw Hill, 1997.

3. J.S.Beasley & G.M.Miler, Modern Electronic Communication (9/e), Prentice-Hall, 2008.

Course outcomes

At the end of the course student will be able

CO1: Understand the basics of communication system and analog modulation techniques CO2: Apply the basic knowledge of signals and systems and understand the concept of Frequency modulation. CO3: Apply the basic knowledge of electronic circuits and understand the effect of Noise in communication system and noise performance of AM system CO4: Understand the effect of noise performance of FM system. CO5: Understand TDM and Pulse Modulation techniques.

Page 24: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

23 | P a g e

Course Code : ECPC19

Course Title : DIGITAL COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC10

Course Type : PC

Course Learning Objectives

To understand the key modules of digital communication systems with emphasis on digital modulation techniques.

To get introduced to the basics of source and channel coding/decoding and Spread Spectrum

Modulation. Course Content Base band transmission. Sampling theorem, Pulse code modulation (PCM), DM, Destination SNR in PCM systems with noise. Matched filter. Nyquist criterion for zero ISI. Optimum transmit and receive filters. Correlative Coding, M-ary PAM. Equalization- zero-forcing and basics of adaptive linear equalizers. BASK, BFSK, and BPSK- Transmitter, Receiver, Signal space diagram, Error probabilities. M-ary PSK, M-ary FSK, QAM, MSK and GMSK- Optimum detector, Signal constellation, error probability. Linear block codes-Encoding and decoding. Cyclic codes – Encoder, Syndrome Calculator. Convolutional codes – encoding, Viterbi decoding. TCM. Spread Spectrum (SS) Techniques- Direct Sequence Spread Spectrum modulation, Frequency-hop Spread Spectrum modulation - Processing gain and jamming margin.

Text Books 1. S.Haykin, “Communication Systems”, Wiley, (4/e), 2001. 2. J.G.Proakis, “Digital Communication”, Tata McGraw – Hill, (4/e), 2001.

Reference Books 1. B.Sklar, “Digital Communications: Fundamentals & Applications”, Pearson Education,

(2/e), 2001. 2. A.B.Carlson, “ Communication Systems”, McGraw Hill, 3/e,2002 3. R.E.Zimer & R.L.Peterson,” Introduction to Digital Communication”, PHI,3/e, 2001

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of signals and system and explain the conventional digital

communication system.

CO2: Apply the knowledge of statistical theory of communication and evaluate the

performance of digital communication system in the presence of noise.

CO3: Describe and analyze the performance of advance modulation techniques.

CO4: Apply the knowledge of digital electronics and describe the error control codes like

block code, cyclic code.

CO5: Describe and analyze the digital communication system with spread spectrum

modulation.

Page 25: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

24 | P a g e

Course Code : ECPC20

Course Title : ANTENNAS AND PROPAGATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC12

Course Type : PC

Course Learning Objective

To impart knowledge on basics of antenna theory and to analyze and design a start of art antenna for wireless communications.

Course Content Radiation fundamentals. Potential theory. Helmholtz integrals. Radiation from a current element. Basic antenna parameters. Radiation field of an arbitrary current distribution. Small loop antennas.

Receiving antenna. Reciprocity relations. Receiving cross section, and its relation to gain. Reception of completely polarized waves. Linear antennas. Current distribution. Radiation field of a thin dipole. Folded dipole. Feeding methods. Baluns.

Antenna arrays. Array factorization. Array parameters. Broad side and end fire arrays. Yagi-Uda arrays Log-periodic arrays.

Aperture antennas. Fields as sources of radiation. Horn antennas. Babinet’s principle. Parabolic reflector antenna. Microstrip antennas. Wave Propagation: Propagation in free space. Propagation around the earth, surface wave propagation, structure of the ionosphere, propagation of plane waves in ionized medium, Determination of critical frequency, MUF. Fading, tropospheric propagation, Super refraction.

Text Books 1. R.E.Collin, “Antennas and Radio Wave Propagation”, McGraw – Hill, 1985. 2. W.L.Stutzman & G.A.Thiele, “Antenna Theory and Design”, Wiley.

Reference Books 1. K.F.Lee, “Principles of Antenna Theory”, Wiley, 1984. 2. F.E. Terman, “Electronic Radio Engineering (4/e)”, McGraw Hill. 3. J.R. James, P. S. Hall, and C. Wood, “Microstrip Antenna Theory and Design”, IEE, 1981. 4. C.A.Balanis, “Modern Antenna Handbook”, Wiley India Pvt. Limited, 2008.

Course outcomes

At the end of the course student will be able CO1: select the appropriate portion of electromagnetic theory and its application to antennas.

CO2: distinguish the receiving antennas from transmitting antennas, analyze and justify their characteristics. CO3: assess the need for antenna arrays and mathematically analyze the types of antenna arrays. CO4: distinguish primary from secondary antennas and analyze their characteristics by applying optics and acoustics principles. CO5: outline the factors involved in the propagation of radio waves using practical antennas.

Page 26: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

25 | P a g e

Course Code : ECPC21

Course Title : ANALOG INTEGRATED CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECPC17

Course Type : PC

Course Learning Objective

To introduce the theoretical & circuit aspects of an Op-amp.

Course Content Operational Amplifiers, DC and AC characteristics, typical op-amp parameters: Finite gain, finite

bandwidth, Offset voltages and currents, Common-mode rejection ratio, Power supply rejection ratio,

Slew rate, Applications of Op-amp: Precision rectifiers. Summing amplifier, Integrators and

differentiators, Log and antilog amplifiers. Instrumentation amplifiers, voltage to current converters.

Active filters: Second order filter transfer function (low pass, high pass, band pass and band reject),

Butterworth, Chebyshev and Bessel filters. Switched capacitor filter. Notch filter, all pass filters,

self-tuned filters

Opamp as a comparator, Schmitt trigger, Astable and monostable multivibrators, Triangular wave

generator, Multivibrators using 555 timer, Data converters: A/D and D/A converters

PLL- basic block diagram and operation, four quadrant multipliers. Phase detector, VCO, Applications

of PLL: Frequency synthesizers, AM detection, FM detection and FSK demodulation.

CMOS differential amplifiers: DC analysis and small signal analysis of differential amplifier with

Restive load, current mirror load and current source load, Input common-mode range and Common-

mode feedback circuits. OTAs Vs Opamps. Slew rate, CMRR, PSRR. Two stage amplifiers,

Compensation in amplifiers (Dominant pole compensation).

Text Books 1. S.Franco, Design with Operational Amplifiers and Analog Integrated Circuits (3/e) TMH,

2003.

2. Sedra and Smith, Microelectronics Circuits, Oxford Univ. Press, 2004

Reference Books 1. Coughlin, Driscoll, OP-AMPS and Linear Integrated Circuits, Prentice Hall, 2001.

Course outcomes

At the end of the course student will be able CO1: infer the DC and AC characteristics of operational amplifiers and its effect on output and their compensation techniques. CO2: elucidate and design the linear and nonlinear applications of an op-amp and special application ICs. CO3: explain and compare the working of multi vibrators using special application IC 555 and general purpose op-amp. CO4: classify and comprehend the working principle of data converters. CO5: illustrate the function of application specific ICs such as Voltage regulators, PLL and its application in communication.

Page 27: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

26 | P a g e

Course Code : ECPC22

Course Title : WIRELESS COMMUNICAITON

Number of Credits 3

Prerequisites

(Course code)

: ECPC19

Course Type : PC

Course Learning Objective To get an understanding of mobile radio communication principles, types and to study the recent

trends adopted in cellular and wireless systems and standards.

Course Content

Introduction to Wireless Communication. Cellular concept. System design fundamentals. Coverage and Capacity improvement in Cellular system. Technical Challenges.

Mobile Radio Propagation; Reflection, Diffraction, Fading. Multipath propagation. Statistical characterization of multipath fading. Diversity Techniques.

Path loss prediction over hilly terrain. Practical link budget design using Path loss models. Design parameters at base station. Antenna location, spacing, heights and configurations.

Multiple access techniques; FDMA, TDMA and CDMA. Spread spectrum. Power control. WCDMA.CDMA network design. OFDM and MC-CDMA.

GSM.3G, 4G (LTE), NFC systems, WLAN technology. WLL. Hyper LAN. Ad hoc networks.

Bluetooth.

Text Books: 1. T.S.Rappaport, Wireless Communication Principles (2/e), Pearson, 2002.

2. A.F.Molisch, Wireless Communications, Wiley, 2005.

Reference Books: 1. P.MuthuChidambaraNathan, Wireless Communications, PHI, 2008. 2. W.C.Y.Lee, Mobile Communication Engineering. (2/e), McGraw- Hill, 1998. 3. A.Goldsmith, Wireless Communications, Cambridge University Press, 2005.

4. S.G.Glisic, Adaptive CDMA, Wiley, 2003.

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of basic communication systems and its principles. CO2: Describe the cellular concept and analyze capacity improvement Techniques. CO3: Mathematically analyze mobile radio propagation mechanisms. CO4: Summarize diversity reception techniques.

CO5: Design Base Station (BS) parameters and analyze the antenna configurations. CO6: Analyze and examine the multiple access techniques and its application. CO7: Assess the latest wireless technologies.

Page 28: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

27 | P a g e

Course Code : ECPC23

Course Title : VLSI SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECPC21

Course Type : PC

Course Learning Objective

To introduce various aspects of VLSI circuits and their design including testing.

Course Content VLSI design methodology, VLSI technology- NMOS, CMOS and BICMOS circuit fabrication. Layout design rules. Stick diagram. Latch up.

Characteristics of MOS and CMOS switches. Implementation of logic circuits using MOS and CMOS technology, multiplexers and memory, MOS transistors, threshold voltage, MOS device design equations. MOS models, small-signal AC analysis. CMOS inverters, propagation delay of inverters, Pseudo NMOS, Dynamic CMOS logic circuits, power dissipation.

Programmable logic devices- anti fuse, EPROM and SRAM techniques. Programmable logic cells. Programmable inversion and expander logic. Computation of interconnect delay, Techniques for driving large off-chip capacitors, long lines, Computation of interconnect delays in FPGAs Implementation of PLD, EPROM, EEPROM, static and dynamic RAM in CMOS.

An overview of the features of advanced FPGAs, IP cores, Soft core processors, Various factors determining the cost of a VLSI, Comparison of ASICs, FPGAs , PDSPs and CBICs . Fault tolerant VLSI architectures

VLSI testing -need for testing, manufacturing test principles, design strategies for test, chip level and system level test techniques.

Text Books 1. N. H. E. Weste, D.F. Harris, “CMOS VLSI design”, (3/e), Pearson , 2005. 2. J. Smith, “Application Specific Integrated Circuits, Pearson”, 1997.

Reference Books 1. M.M.Vai, “VLSI design”, CRC Press, 2001. 2. Pucknell & Eshraghian, “Basic VLSI Design”, PHI, (3/e), 2003. 3. Uyemura, “Introduction to VLSI Circuits and Systems”, Wiley, 2002.

Course outcomes

At the end of the course student will be able CO1: Describe the techniques used for VLSI fabrication, design of CMOS logic circuits, switches and memory CO2: Describe the techniques used the design of CMOS logic circuits, switches and memory in VLSI CO3: Generalize the design techniques and analyze the characteristics of VLSI circuits such as area, speed and power dissipation CO4: Explain and compare the architectures for FPGA, PAL and PLDs and evaluate their characteristics such as area, power dissipation and reliability CO4: Use the advanced FPGAs to realize Digital signal processing systems CO5: Describe the techniques for fault tolerant VLSI circuits CO6: Explain and compare the techniques for chip level and board level testing

Page 29: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

28 | P a g e

Course Code : ECPC24

Course Title : MICROWAVE ELECTRONICS

Number of Credits 3

Prerequisites

(Course code)

: ECPC16

Course Type : PC

Course Learning Objective To impart knowledge on basics of microwave electron beam devices and their applications in X

band frequency.

Course Content Limitations of conventional vacuum tubes, Klystrons: Re-entrant cavities, Two cavity klystron, Velocity modulation process, Bunching process ,Power output and efficiency; Multi-cavity klystron , Reflex klystron-Velocity modulation process, Mode Characteristics ,Electronic admittance spiral.

Travelling-wave tubes: Slow-wave structures, Helix TWT- Amplification process, Convection current, Wave modes and gain; coupled cavity TWT, Backward wave oscillator.

Crossed -field devices: Magnetrons- Principle of operation, characteristics, Hull cut-off condition; Carcinotron, Gyrotron.

Microwave transistors and FETs: Microwave bipolar transistors-Physical structures, characteristics, Power-frequency limitations; Microwave tunnel diode, Microwave unipolar transistor – Physical structure, principle of operation, characteristics, High electron-mobility transistors.

Transferred electron and Avalanche transit-time devices: Gunn diode, Gunn diode as an oscillator. IMPATT, TRAPATT and BARITT.

Text Books 1. S.Y.Liao, “Microwave Devices and Circuits (3/e)”, PHI, 2005. 2. R. F. Soohoo, “Microwave Electronics”, Wesley publication, 1971.

Reference Books 1. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, Wiley India, 2007.

2. D.M.Pozar,” Microwave Engineering (3/e)”, Wiley India, 2009. 3. K C Gupta, Indian Institute of Technology, Kanpur,” Microwaves”, Wiley Eastern Limited,

1995.

Course outcomes

At the end of the course student will be able CO1: Apply the basic knowledge of waveguide and microwave resonator circuits. CO2: Asses the methods used for generation and amplification of the microwave power. CO3: Distinguish between the linear and cross field electron beam microwave tubes. CO4: Critically analyze the operating principles and performances of the microwave semiconductor devices. CO5: Identify the suitable microwave power sources of given specification for the selected application. CO6: Aware of current technological changes in the engineering aspects of microwave components.

Page 30: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

29 | P a g e

Course Code : ECPE10

Course Title : NETWORKS AND PROTOCOLS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course Learning Objectives

To get an understanding on the fundamentals of networks and issues involved.

To acquire an understanding on the set of rules and procedures that mediates the exchange of information between communicating devices.

Course Content Network Components, Topologies, Network hardware and software, Network Models: OSI Model & TCP/IP Protocol stack, HTTP FTP, SMTP, POP, SNMP, DNS, Socket programming with TCP and UDP.

Transport Layer services, UDP, TCP, SCTP, Principles of reliable data transfer, Flow control, Congestion Control, Quality of Service.

Network Layer services, Datagram and Virtual circuit service, DHCP, IPV4, IPV6, ICMP, Unicast routing protocols: DV, LS and Path vector routing, Multicast routing.

Data Link Layer services, Overview of Circuit and Packet switches, ARP, Data link control: HDLC & PPP, Multiple access protocols, Wireless LAN, Comparison wired and wireless LAN.

Network security threats, Cryptography, Security in the Internet: IP Security & Firewalls, Multimedia: Streaming stored video/ audio, RTP, Network Troubleshooting.

Text Books 1. J.F.Kurose & K.W.Ross, “Computer Networking: A Top-Down Approach featuring the

Internet”, Pearson, 5th edition, 2010. 2. B.A. Forouzan,” Data Communications & Networking”, Tata McGraw- Hill, 4th edition,

2006

Reference Books 1. W.Stallings, “Data & Computer Communications”, PHI, 9th edition, 2011. 2. W.Stallings, “Cryptography & Network Security”, Pearson, 5th edition, 2011. 3. A.S.Tanenbaum & D.J. Wetherall, “Computer Networks”, Pearson, 5th edition, 2014. 4. Recent literature in Networks and Protocols.

Course outcomes

At the end of the course student will be able CO1: Compare and examine, OSI and TCP/IP protocol stacks CO2: Categorize services offered by all layers in TCP/IP protocol stack CO3: Analyze a network under congestion and propose solutions for reliable data transfer CO4: Examine the protocols operating at different layers of TCP/IP model CO5: Assess the cryptographic techniques.

CO6: Manage a network and propose solutions under network security threats.

Page 31: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

30 | P a g e

Course Code : ECPE11

Course Title : Wireless Local Area Networks (WLAN)

Number of Credits 3

Prerequisites (Course

code)

: ECPE10

Course Type : PE

Course Objective: To expose students to wireless local area network standards, technologies, and

operations with real-life traces to correlate with the concepts

Course Contents

WLAN Introduction and Basics - 802.11 protocol stack basics, RF spectrum of operations, unlicensed

band usage, Types of networks and their usage, Role of Wi-Fi alliance. Exercises: Survey of WLAN

products in consumer appliances.

Evolution of WLAN Layer. The ISM PHYs: FH, DS and HR/DS, basics ofOFDM design and

parameters for WLAN, MIMO usage in WLAN, Throughput enahancements, Matlab Simulation of

channel models and studying their characteristics,

CSMA/CA principles used for WLAN MAC, Details of MAC protocol, Medium reservation and

hidden nodes, MAC Frame Aggregation and QoS in WLAN, Roaming, Throughput calculation.

Network Entry Process in WLAN, Security Evolution, Power save concepts, Throughput and

performance of WLAN, Network tracking operations.

Sniffing WLAN Frames and analysis using open source tools, Inferring capabilities of APs and clients,

Analysing network entry steps and debugging connection problems, Analysing Data transmission and

debugging performance issues, Analysis of Roaming performance.

Text Books

1. Eldad Perahia and Robert Stacey, Next Generation wireless LANS 802.11n and 802.11ac, 2nd

edition, Cambridge University Press, 2013

2. Mathew Gast, 802.11 Wireless Networks: The Definitive Guide, 2nd Edition, OReily, 2009

Reference Books

1. Mathew Gast, 802.11n: A Survival Guide: Wi-Fi Above 100 Mbps, OReilly, 2012

2. Mathew Gast, 802.11ac: A Survival Guide: Wi-Fi at Gigabit and Beyond, OReilly, 2012

Course Outcomes:

CO1: To understand basics of WLAN systems including standardizing bodies, unlicensed

spectrum ranges, network types.

CO2: Appreciate physical layer challenges and solutions in 802.11 standards and be able to

simulate channel conditions

CO3: Be able to explain MAC layer steps in WLAN along with the motivation and impacts on

throughput and coexistence

CO4: Trace the steps followed in a typical WLAN network with a clear understanding of security,

power save, and network entry procedures

CO5: Analyze real-life protocol traces under various conditions and correlate with the concepts learnt in the earlier sections.

Page 32: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

31 | P a g e

Course Code : ECPE12

Course Title : MICROPROCESSORS AND MICRO CONTROLLERS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course Learning Objective

This subject deals about the basics of 16-bit Microprocessor, 8-bit and 16-bit Micro

controllers, their architectures, internal organization and their functions, peripherals, and

interfacing.

Course Content

Microprocessor based personal computer system. Software model of 8086. Segmented memory

operation. Instruction set. Addressing modes. Assembly language programming. Interrupts.

Programming with DOS and BIOS function calls.

Hardware detail of 8086. Bus timing. Minimum Vs Maximum mode of operation. Memory interface.

Parallel and serial data transfer methods. 8255 PPI chip. 8259 Interrupt controller. 8237 DMA

controller.

Microcontroller. Von-Neumann Vs Harvard architecture. Programming model. Instruction set of 8051

Microcontroller. Addressing modes. Programming. Timer operation.

Mixed Signal Microcontroller: MSP430 series. Block diagram. Address space. On-chip peripherals -

analog and digital. Register sets. Addressing Modes. Instruction set. Programming. FRAM Vs flash

for low power and reliability.

Peripheral Interfacing using 8051 and Mixed signal microcontroller. Serial data transfer - UART, SPI

and I2C. Interrupts. I/O ports and port expansion. DAC, ADC, PWM, DC motor, Stepper motor and

LCD interfacing.

Text Books 1. J.L.Antonakos, “An Introduction to the Intel Family of Microprocessors”, Pearson, 1999.

2. M.A.Mazidi & J.C.Mazidi “Microcontroller and Embedded systems using Assembly & C (2/e)”,

Pearson Education, 2007.

Reference Books 1. John H. Davies, “MSP430 Microcontroller Basics”, Elsevier Ltd., 2008

2. B.B. Brey, “The Intel Microprocessors, (7/e), Eastern Economy Edition”, 2006. 3. K.J. Ayala, “The 8051 Microcontroller “, (3/e), Thomson Delmar Learning, 2004.

4. I. S. MacKenzie and R.C.W.Phan., “The 8051 Microcontroller. (4/e)”, Pearson education, 2008.

Course outcomes

At the end of the course student will be able to

CO1: recall and apply the basic concept of digital fundamentals to Microprocessor based

personal computer system.

CO2: identify the detailed s/w & h/w structure of the Microprocessor.

CO3: illustrate how the different peripherals are interfaced with Microprocessor.

CO4: distinguish and analyze the properties of Microprocessors & Microcontrollers.

CO5: analyze the data transfer information through serial & parallel ports.

Page 33: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

32 | P a g e

Course Code : ECPE13

Course Title : COMPUTER ARCHITECTURE AND ORGANIZATION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course Learning Objectives

To understand how computers are constructed out of a set of functional units and how the

functional units operate, interact, and communicate.

To make the students to understand the concept of interfacing memory and various I/O

devices to a computer system using a suitable bus system.

Course Content

Introduction: Function and structure of a computer, Functional components of a Computer,

Interconnection of components, Performance of a computer.

Representation of Instructions: Machine instructions, Memory locations & Addresses, Operands,

Addressing modes, Instruction formats, Instruction sets, Instruction set architectures - CISC and RISC

architectures, Super scalar Architectures, Fixed point and floating point operations.

Basic Processing Unit: Fundamental concepts, ALU, Control unit, Multiple bus organization,

Hardwired control, Micro programmed control, Pipelining, Data hazards, Instruction hazards,

Influence on instruction sets, Data path and control considerations, Performance considerations.

Memory organization: Basic concepts, Semiconductor RAM memories, ROM, Speed - Size and cost,

Memory Interfacing circuits, Cache memory, Improving cache performance, Memory management

unit, Shared/Distributed Memory, Cache coherency in multiprocessor, Segmentation, Paging,

Concept of virtual memory, Address translation, Secondary storage devices.

I/O Organization: Accessing I/O devices, Input/output programming, Interrupts, Exception Handling,

DMA, Buses, I/O interfaces- Serial port, Parallel port, PCI bus, SCSI bus, USB bus, Firewall and

Infinity band, I/O peripherals.

Text Books

1. C.Hamacher Z. Vranesic S. Zaky and Manjikian, "Computer Organization and Embedded

Systems", 6 th Edition, McGraw-Hill, 2012.

2. W. Stallings, "Computer Organization and Architecture - Designing for Performance", 8Th

Edition, Prentice Hall of India, 2010.

Reference Books

1. B,Parhami, “Computer Architecture, From Microprocessors to Supercomputers,” Oxford

University Press, Reprint 2014.

2. J. L. Hennessy and D. A. Patterson, "Computer Architecture, A Quantitative Approach”, 5

th Edition, Morgan Kaufmann,2012.

3. J .P. Hayes, "Computer Architecture and Organization", 3 rd Edition, McGraw-Hill, 1998.

4. Recent literature in Computer Architecture and Organization.

Course outcomes

At the end of the course student will be able to

CO1: apply the basic knowledge of digital concept to the functional components of a Computer

System.

CO2: analyze the addressing mode concepts and design the instruction set Architecture.

CO3: identify the functions of various processing units within the CPU of a Computer System. CO4: analyze the function of the memory management unit and create suitable memory interface

to the CPU.

CO5: recognize the need for recent Bus standards and I/O devices.

Page 34: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

33 | P a g e

Course Code

: ECPE14

Course Title : EMBEDDED SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE Course Learning Objectives

To make the students to understand and program embedded systems using modern embedded

processors.

This course describes example embedded platforms, interfaces, peripherals, processors and

operating systems associated with embedded systems, a comprehensive view of the software

frame work being developed around embedded SOCs. Course Content Introduction to Embedded Computing: Characteristics of Embedding Computing Applications, Concept of Real time Systems, Challenges in Embedded System Design, Design Process. Embedded System Architecture: Instruction Set Architecture, CISC and RISC instruction set architecture, Basic Embedded Processor/Microcontroller Architecture (ATOM processor, Introduction to Tiva family etc.) Designing Embedded Computing Platform: Bus Protocols, Bus Organization, Memory Devices and their Characteristics, Memory mapped I/O, I/O Devices, I/O mapped I/O, Timers and Counters, Watchdog Timers, Interrupt Controllers, Interrupt programming, GPIO control, Sensors, Actuators, A/D and D/A Converters, Need of low power for embedded systems, Mixed Signals Processing. Programming Embedded Systems: Basic Features of an Operating System, Kernel Features, Real-time Kernels, Processes and Threads, Context Switching, Scheduling, Shared Memory Communication, Message-Based Communication, Real-time Memory Management, Dynamic Allocation, Device Drivers, Real-time Transactions and Files, Real-time OS (VxWorks, RT-Linux, Psos). Network Based Embedded Applications: Embedded Networking Fundamentals, Layers and Protocols, Distributed Embedded Architectures, Internet-Enabled Systems, IoT overview and architecture, Interfacing Protocols (like UART, SPI, I2C, GPIB, FIREWIRE, USB,). Various wireless protocols and its applications: NFC, Zig Bee, Bluetooth, Bluetooth Low Energy, Wi-Fi. CAN. Overview of wireless sensor networks and design examples Case studies: Programming in Embedded C, Embedded system design using Arduino, ATOM processors, Galileo and Tiva based embedded system applications. Text Books

1. Wayne Wolf, “Computers as Components- Principles of Embedded Computing System

Design”, Morgan Kaufmann Publishers, Second edition, 2008.

2. Barry Crowley, “Modern Embedded Computing”, Morgan Kaufmann Publishers, 2012. Reference Books

1. Lyla B. Das, “Embedded Systems –An Integrated Approach”, Pearson, 2013.

2. Marwedel Peter, “Embedded System Design, Kluwer Publications, 2004.

3. C.M. Krishna, Kang G. Shin, “Real time systems”, Mc- Graw Hill, 2010

4. Recent literature in Embedded Systems. Course outcomes

At the end of the course student will be able to

CO1: get an insight into the overall landscape and characteristics of embedded systems. CO2: facilitate a comprehensive understanding of the overall platform architecture of modern embedded computing systems. CO3: develop application software for embedded systems using the RTOS functions. CO4: enable network connectivity of the embedded systems via a combination of wired and wireless network interfaces.

Page 35: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

34 | P a g e

CO5: design and program embedded systems based on their applications.

Course Code : ECPE15

Course Title : OPERATING SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course learning Objective

To expose the principles and practice of operating system design and to illustrate the current

design practices using DOS and UNIX operating systems.

Course content Types of operating systems, Different views of the operating system, Principles of Design and

Implementation. The process and threads. System programmer’s view of processes, Operating

system's views of processes, Operating system services for process management. Process scheduling,

Schedulers, Scheduling algorithms. Overview of Linux operating system.

Inter process synchronization, Mutual exclusion algorithms, Hardware support,Semaphores,

Concurrent programming using semaphores.

Conditional critical regions, Monitors, Inter process communication: Messages, Pipes. Deadlocks:

Characterization. Prevention .Avoidance .Detection and recovery. Combined approach to deadlock

handling.

Contiguous allocation. Static and dynamic partitioned memory allocation. Segmentation. Non-

contiguous allocation. Paging, Hardware support, Virtual Memory.

Need for files. File abstraction. File naming. File system organization. File system optimization.

Reliability. Security and protection .I/O management and disk scheduling. Recent trends and

developments.

Text Books 1. Gary: Operating Systems- A modern Perspective, (2/e), Addison Wesley, 2000.

2. M.Milenkovic: Operating systems, Concepts and Design, McGraw Hill, 1992.

Reference Books 1. C. Crowley: Operating Systems, Irwin, 1997. 2. J.l. Peterson & A.S. Chatz: Operating System Concepts, Addison Wesley, 1985.

3. W. Stallings: Operating Systems, (2/e), Prentice Hall, 1995. 4. Mattuck,A., Introduction to Analysis,Prentice-Hall,1998.

5. Recent literature in Operating Systems.

Course outcomes

At the end of the course student will be able

CO1:.Understand the different types of Operating systems and scheduling algorithms.

CO2: Understand the synchronization algorithms and semaphores.

CO3: Appreciate the inter process communication and dead lock handling.

CO4: Critically evaluate the different memory allocation techniques.

CO5: Appreciate the importance of file system organization, I/O management and disk scheduling.

Page 36: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

35 | P a g e

Course Code : ECPE16

Course Title : ARM SYSTEM ARCHITECTURE

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course learning Objective

The objective of this course is to give the students a thorough exposure to ARM architecture

and make the students to learn the ARM programming & Thumb programming models.

Course Content

RISC machine. ARM programmer’s model. ARM Instruction Set. Assembly level language

programming. Development tools.

ARM organization. ARM instruction execution. ARM implementation. ARM coprocessor interface.

Flynn's Taxonomy, SIMD and Vector Processors, Vector Floating Point Processor (VFP), VFP and

ARM interactions, vector operation.

Floating point architecture. Expressions. Conditional statements. Loops. Functions and procedures.

Run time environment. Interrupt response. Interrupt processing. Interrupt Handling schemes,

Examples of Interrupt Handlers.

Thumb programmer’s model. Thumb Instruction set. Thumb implementation. AMBA Overview,

Typical AMAB Based Microcontroller, AHB bus features, AHB Bus transfers, APB bus transfers and

APB Bridge.

Memory hierarchy. Architectural support for operating system. Memory size and speed. Cache

memory management. Operating system. ARM processor chips. Features of Raspberry Pi and its

applications.

Text Books

1. S. Furber, “ARM System Architecture”, Addison-Wesley, 1996.

2. Sloss, D.Symes & C.Wright, “ARM system Developer’s guide-Designing and Optimizing

System Software”, Elsevier.2005.

Reference Books 1. Technical reference manual for ARM processor cores, including Cortex, ARM 11, ARM 9

& ARM 7 processor families.

2. User guides and reference manuals for ARM software development and modelling tools.

David Seal, ARM Architecture Reference Manual, Addison-Wesley.

3. The Definitive Guide to ARM® Cortex®-M3 and Cortex®-M4 Processors, Third Edition by

Joseph Yiu, Elsevier 2015

4. Recent literature in ARM System Architecture.

Course outcomes

At the end of the course student will be able to

CO1: understand the programmer’s model of ARM processor and test the assembly

level programming.

CO2: analyze various types of coprocessors and design suitable co-processor interface to ARM

processor.

CO3: analyze floating point processor architecture and its architectural support for higher level

language.

CO4: become aware of the Thumb mode of operation of ARM.

CO5: identify the architectural support of ARM for operating system and analyze the

function of memory Management unit of ARM.

Page 37: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

36 | P a g e

Course Code : ECPE17

Course Title : STATISTICAL THEORY OF COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course Learning Objective

The subject aims to make the students to understand the statistical theory of telecommunication, which are the basics to learn analog and digital telecommunication.

Course Content Information measure. Discrete entropy. Joint and conditional entropies. Uniquely decipherable and instantaneous codes. Kraft-McMillan inequality. Noiseless coding theorem. Construction of optimal codes.

DMC. Mutual information and channel capacity. Shannon’s fundamental theorem. Entropy in the continuous case. Shannon-Hartley law.

Binary hypothesis testing. Baye’s, mini max and Neyman-Pearson tests. Random parameter estimation-MMSE, MMAE and MAP estimates. Non-random parameters – ML estimation.

Coherent signal detection in the presence of additive white and non-white Gaussian noise. Matched

filter.

Discrete optimum linear filtering. Orthogonality principle. Spectral factorization. FIR and IIR Wiener

filters.

Text Books 1. R.B.Ash,” Information Theory”, Wiley, 1965. 2. M.D.Srinath, P.K.Rajasekaran & R.Viswanathan, “Statistical Signal Processing with

Applications”, PHI 1999.

Reference Books 1. H.V.Poor, “An Introduction to Signal Detection and Estimation, (2/e)”, Spring Verlag.1994. 2. M.Mansuripur, “Introduction to Information Theory”, Prentice Hall.1987. 3. J.G.Proakis, D G Manolakis, “Digital Signal Processing”, (4/e), Pearson Education, 2007.

Course outcomes

At the end of the course student will be able CO1: show how the information is measured and able to use it for effective coding. CO2: summarize how the channel capacity is computed for various channels. CO3: use various techniques involved in basic detection and estimation theory to solve the problem. CO4: summarize the applications of detection theory in telecommunication. CO5: summarize the application of estimation theory in telecommunication.

Page 38: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

37 | P a g e

Course Code : ECPE18

Course Title : DIGITAL SIGNAL PROCESSORS AND APPLICATIONS

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course Learning Objective

To give an exposure to the various fixed point and floating point DSP architectures, to

understand the techniques to interface sensors and I/O circuits and to implement applications

using these processors.

Course Content

Fixed-point DSP architectures. Basic Signal processing system. Need for DSPs. Difference between

DSP and other processor architectures. TMS320C54X, ADSP21XX, DSP56XX architecture details.

Addressing modes. Control and repeat operations. Interrupts. Pipeline operation. Memory Map and

Buses.

Floating-point DSP architectures. TMS320C3X, DSP96XX architectures. Cache architecture.

Floating-point Data formats. On-chip peripherals. Memory Map and Buses.

On-chip peripherals. Hardware details and its programming. Clock generator with PLL. Serial port.

McBSP. Parallel port. DMA. EMIF. I2C. Real-time-clock (RTC). Watchdog timer.

Interfacing. Serial interface- Audio codec. Sensors - Humidity/temperature sensor, flow sensor,

accelerometer, pulse sensor and finger print scanner. A/D and D/A interfaces. Parallel interface-

Memory interface. RF transceiver interface – Wi-Fi and Zigbee modules.

DSP tools and applications. Implementation of Filters, DFT, QPSK Modem, Speech processing. Video

processing, Videoencoding/Decoding. Biometrics. Machine Vision. High performance computing

(HPC).

Text Books 1. B.Venkataramani & M.Bhaskar, “Digital Signal Processor, Architecture, Programming and

Applications”,(2/e), McGraw- Hill,2010 2. S.Srinivasan & Avtar Singh, “Digital Signal Processing, Implementations using DSP

Microprocessors with Examples from TMS320C54X”, Brooks/Cole, 2004.

Reference Books 1. S.M.Kuo & W.S.S.Gan,” Digital Signal Processors: Architectures, Implementations, and

Applications”, Printice Hall, 2004 2. C.Marven & G.Ewers, “A Simple approach to digital signal processing”, Wiley Inter science,

1996. 3. R.A.Haddad & T.W.Parson, “Digital Signal Processing: Theory, Applications and

Hardware”, Computer Science Press NY, 1991.

Course outcomes

At the end of the course student will be able

CO1: learn the architecture details of fixed point DSPs.

CO2: learn the architecture details of floating point DSPs

CO3: infer about the control instructions, interrupts, pipeline operations, memory and buses.

CO4: illustrate the features of on-chip peripheral devices and its interfacing with real time

application devices.

CO5: learn to implement the signal processing algorithms and applications in DSPs

Page 39: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

38 | P a g e

Course Code : ECPE19

Course Title : HIGH SPEED SYSTEM DESIGN

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE Course Learning Objective

To expose the students to all aspects of electronic packaging including electrical, thermal,

mechanical and reliability issues. Course Content

Functions of an Electronic Package, Packaging Hierarchy, IC packaging: MEMS packaging, consumer

electronics packaging, medical electronics packaging, Trends, Challenges, Driving Forces on

Packaging Technology, Materials for Microelectronic packaging, Packaging Material Properties,

Ceramics, Polymers, and Metals in Packaging, Material for high density interconnect substrates Overview of Transmission line theory, Clock Distribution, Noise Sources, power Distribution, signal

distribution, EMI; crosstalk and non-ideal effects; signal integrity: impact of packages, via, traces,

connectors; non-ideal return current paths, high frequency power delivery, simultaneous switching

noise; system-level timing analysis and budgeting; methodologies for design of high speed buses;

radiated emissions and minimizing system noise. Electrical Anatomy of Systems Packaging, Signal Distribution, Power Distribution, Electromagnetic

Interference, Design Process Electrical Design: Interconnect Capacitance, Resistance and Inductance

fundamentals; Transmission Lines , Clock Distribution, Noise Sources, power Distribution, signal

distribution, EMI, Digital and RF Issues. Processing Technologies, Thin Film deposition, Patterning,

Metal to metal joining. IC Assembly – Purpose, Requirements, Technologies, Wire bonding, Tape Automated Bonding, Flip

Chip, Wafer Level Packaging , reliability, wafer level burn – in and test.Single chip packaging :

functions, types, materials processes, properties, characteristics, trends.Multi chip packaging : types,

design, comparison, trends. Passives: discrete, integrated, and embedded –encapsulation and sealing:

fundamentals, requirements, materials, processes Printed Circuit Board: Anatomy, CAD tools for PCB design, Standard fabrication, Micro via Boards.

Board Assembly: Surface Mount Technology, Through Hole Technology, Process Control and Design

challenges. Thermal Management, Heat transfer fundamentals, Thermal conductivity and resistance,

Conduction, convection and radiation – Cooling requirements. Reliability, Basic concepts, Environmental interactions. Thermal mismatch and fatigue – failures –

thermo mechanically induced – electrically induced – chemically induced. Electrical Testing: System

level electrical testing, Interconnection tests, Active Circuit Testing, Design for Testability. Text Book

1. Tummala, Rao R., Fundamentals of Microsystems Packaging, McGraw Hill, 2001

2. Howard Johnson , Martin Graham, High Speed Digital Design: A Handbook of Black

Magic, Prentice Hall, 1993 Reference Books

1. Blackwell (Ed), The electronic packaging handbook, CRC Press, 2000.

2. Tummala, Rao R, Microelectronics packaging handbook, McGraw Hill, 2008.

3. Bosshart, Printed Circuit Boards Design and Technology,TataMcGraw Hill, 1988.

4. R.G. Kaduskar and V.B.Baru, Electronic Product design, Wiley India, 2011

5. R.S.Khandpur, Printed Circuit Board, Tata McGraw Hill, 2005

6. Recent literature in Electronic Packaging. Course outcomes At the end of the course student will be able

CO1: Design of PCBs which minimize the EMI and operate at higher frequency.

CO2: Enable design of packages which can withstand higher temperature, vibrations and shock.

Page 40: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

39 | P a g e

Course Code : ECPE20

Course Title : DIGITAL SPEECH PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course learning Objective

The purpose of this course is to explain how DSP techniques could be used for solving

problems in speech communication.

Course content Speech production model-1D sound waves-functional block of the Vocal tract model –Linear

predictive co- efficient (LPC) -Auto-correlation method-Levinson-Durbin algorithm-Auto-co-

variance method-Lattice structure-Computation of Lattice co-efficient from LPC-Phonetic

Representation of speech-Perception of Loudness - Critical bands – Pitch perception – Auditory

masking.

Feature extraction of the speech signal: Endpoint detection-Dynamic time warping- Pitch frequency

estimation: Autocorrelation approach- Homomorphic approach-Formant frequency estimation using

vocal tract model and Homomorphic approach-Linear predictive co-efficient -Poles of the vocal tract-

Reflection co-efficient-Log Area ratio.

Cepstrum- Line spectral frequencies- Functional blocks of the ear- Mel frequency cepstral co-

efficient- Spectrogram-Time resolution versus frequency resolution-Discrete wavelet transformation.

Pattern recognition for speech detection: Back-propagation Neural Network-Support Vector Machine-

Hidden Markov Model (HMM)-Gaussian Mixture Model(GMM) -Unsupervised Learning system: K-

Means and Fuzzy K-means clustering - Kohonen self-organizing map-Dimensionality reduction

techniques: Principle component analysis (PCA), Linear discriminate analysis (LDA), Kernel-LDA

(KLDA), Independent component analysis(ICA).

Non-uniform quantization for Gaussian distributed data- Adaptive quantization-Differential pulse

code modulation- Code Exited Linear prediction (CELP)-Quality assessment of the compressed

speech signal Text to Speech (TTS) analysis –Evolution of speech synthesis systems-Unit selection

methods - TTS Applications.

Text Books

1. L.R.Rabiner and R.W.Schafer,” Introduction to Digital speech processing”, now publishers

USA,2007

2. E.S.Gopi,”Digital speech processing using matlab”, Springer, 2014. Reference Books

1. L.R.Rabiner and R.W.Schafer,”Digital processing of speech signals”, PrenticeHall,1978

2. T.F.Quatieri, ”Discrete-time Speech Signal Processing”, Prentice-Hall, PTR,2001 3. L.Hanzaetal, “Voice Compression and Communications”, Wiley/ IEEE, 2001.

4. Recent literature in Digital speech processing.

Course outcomes

At the end of the course student will be able

CO1: illustrate how the speech production is modeled

CO2: summarize the various techniques involved in collecting the features from the speech signal in

both time and frequency domain

CO3: summarize the functional blocks of the ear CO4: compare the various pattern recognition techniques involved in speech and speaker detection

CO5: summarize the various speech compression techniques

Page 41: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

40 | P a g e

Course Code : ECPE21

Course Title : DIGITAL IMAGE PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course learning Objective

To treat the 2D systems as an extension of 1D system design and discuss techniques specific

to 2D systems.

Course content Linearity and space-invariance. PSF, Discrete images and image transforms, 2-D sampling and

reconstruction, Image quantization, 2-D transforms and properties.

Image enhancement- Histogram modeling, equalization and modification. Image smoothing, Image

crispening. Spatial filtering, Replication and zooming, Generalized cepstrum and homomorphic

filtering.

Image restoration- image observation models. Inverse and Wiener filtering. Filtering using image

transforms. Constrained least-squares restoration. Generalized inverse, SVD and interactive methods.

Recursive filtering. Maximum entropy restoration. Bayesian methods.

Image data compression- sub sampling, coarse quantization and frame repetition. Pixel coding - PCM,

entropy coding, run length coding Bit-plane coding. Predictive coding. Transform coding of images.

Hybrid coding and vector DPCM. Inter-frame hybrid coding.

Image analysis- applications, Spatial and transform features. Edge detection, boundary extraction, AR

models and region representation. Moments as features. Image structure .Morphological operations

and transforms. Texture. Scene matching and detection. Segmentation and classification.

Text Books 1. A.K. Jain, “Fundamentals of Digital Image Processing”, PHI, 1995. 2. R.C.Gonzalez & R.E. Woods,” Digital Image Processing”, (2/e), Pearson, 2002.

Reference Books

1. J.C. Russ, “The Image Processing Handbook”, (5/e), CRC, 2006.

2. E.S.Gopi, ''Digital Image processing using Matlab'', Scitech publications, 2006.

3. Recent literature in Digital Image processing.

Course outcomes

At the end of the course student will be able

CO1: analyze the need for image transforms, types and their properties.

CO2: become skilled at different techniques employed for the enhancement of images both in spatial

and frequency domain.

CO3: explore causes for image degradation and to teach various restoration techniques.

CO4: evaluate the image compression techniques in spatial and frequency domain.

CO5: gain knowledge of feature extraction techniques for image analysis and recognition.

Page 42: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

41 | P a g e

Course Code : ECPE22

Course Title : PATTERN RECOGNITION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : PE

Course learning Objective

The subject aims to make the students to understand the mathematical approach for pattern

recognition.

Course content Polynomial curve fitting – The curse of dimensionality - Decision theory - Information theory - The

beta distribution - Dirichlet distribution-Gaussian distribution-The exponent family: Maximum

likelihood and sufficient statistics -Non-parametric method: kernel-density estimators - Nearest

neighbourmethods.

Linear models for regression and classification: Linear basis function models for regression - Bias

variance decomposition-Bayesian linear regression-Discriminant functions - Fisher’s linear

discriminant analysis (LDA) - Principal Component Analysis (PCA) - Probabilistic generative model

- Probabilistic discriminative model.

Kernel methods: Dual representations-Constructing kernels-Radial basis function networks-Gaussian

process-Maximum margin classifier (Support Vector Machine) –Relevance Vector Machines-Kernel-

PCA, Kernel-LDA.

Mixture models: K-means clustering - Mixtures of Gaussian - Expectation-Maximization algorithm-

Sequential models: Markov model, Hidden-Markov Model (HMM) - Linear Dynamical

Systems(LDS).

Neural networks: Feed- forward Network functions-Network training - Error Back propagation

- The Hessian Matrix - Regularization in Neural Network - Mixture density networks – Bayesian

Neural Networks

TextBooks 1. C.M.Bishop,''Pattern recognition and machinelearning'',Springer,2006 2. E.S.Gopi, “Pattern recognition and Computational intelligence using matlab, Transactions

on computational science and computational intelligence, Springer, 2019 ReferenceBooks

1. Sergious Thedorodis ,Konstantinos Koutroumbas, Pattern recognition, Elsevier, Fourth

edition,2009

2. Richard O.Duda, Peter.E.Hart, David G.Stork, “Pattern classification”, Wiley, Second

edition,2016

3. Recent literature in the related topics

COURSEOUTCOMES Students are able to

CO1: summarize the various techniques involved in patternrecognition

CO2: identify the suitable pattern recognition techniques for the particular applications.

CO3: categorize the various pattern recognition techniques into supervised and unsupervised.

CO4: summarize the mixture models based pattern recognitiontechniques

CO5: summarize the artificial neural network based pattern recognitiontechniques

Page 43: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

42 | P a g e

Course Code : ECPE23

Course Title : DISPLAY SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECPC13

Course Type : PE

Course learning Objective

To expose the students to the basics of the display systems and to illustrate the current

design practices of the display systems.

Course content

Introduction to displays. Requirements of displays. Display technologies, CRT, Flat panel and

advanced display technologies. Technical issues in displays.

Head mounted displays. Displays less than and greater than 0.5 m diagonal. Low power and light

emitting displays.

Operation of TFTs and MIMS. LCDs, Brightness. Types of LCD displays.

Emissive displays, ACTFEL, Plasma display and Field emission displays, operating principle and

performance.

Types of Displays: 3D, HDTV, LED, Touch screen.

Text Books

1. L.W. Mackonald & A.C. Lowe, Display Systems, Design and Applications, Wiley, 2003.

2. E.H. Stupp &M. S. Brennesholtz, Projection Displays, Wiley,1999

Reference Book

1. Peter A. Keller, Electronic Display Measurement: Concepts, Techniques, and

Instrumentation, Wiley-Inter science, 1997.

2. Recent literature in Display Systems.

Course outcomes

At the end of the course student will be able

CO1: appreciate the technical requirement of different types of displays systems

CO2: analyze the various low power lighting systems

CO3: understand the operation of TFTs and LCD displays.

CO4: analyze the various kinds of emissive displays

CO5: critically evaluate the recent advancements in the displays device technology.

Page 44: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

43 | P a g e

Course Code : ECPE24

Course Title : INTERNET OF THINGS

Number of Credits 3

Prerequisites (Course

code)

: CSIR11, ECPE12, C/C++ and Python Programming skills

Course Type : PE

Course Learning Objective

To understand basics of an IOT System, IoT sensors, IoT hardware and communication

protocols, data storage, data analysis and use them for real time IoT enabled domains.

Course Content

Introduction to IoT and IoT levels : Functional blocks of an IoT system (Sensors, Data Ingress,

Data Aggregation Point Communication point back to the cloud, Analysis, Decision making,

Actuation) Basic of Physical and logical design of IoT (IoT protocols, communication models) IoT

enabled domains (Home automation, Smart cities, environment monitoring, renewable energy,

agriculture, industry, healthcare, marketing and management) M2M, Difference between IoT,

Embedded Systems and M2M, Industry 4.0 concepts.

IoT sensors and hardware : Passive and active sensors, differences, Different kinds of sensors

(Temperature, humidity, pressure, obstacle, water flow, accelerometer, colour, gyro, load cell,

finger print, motion, ultrasonic distance, magnetic vibration, eye blink, hear beat, PPG, glucose,

body position, blood pressure), Multi-sensors, Pre-processing (sampling, filtering, ADC, size of

data, local memory, compression), IoT front end hardware (Raspberry Pi, Arduino, Galileo, beagle

bone equivalent platforms)

Introduction to IoT protocols :Infrastructure (6LowPAN, IPv4/IPv6, RPL), Identification (EPC,

uCode, IPv6, URIs), Communication/ Transport (Wi-Fi, Bluetooth, ZigBee, LPWAN), Data

Protocols (MQTT, CoAP, AMQP, Websocket, Node)

IoT Cloud and data analytics :Collecting data from sensors,Data Ingress, Cloud storage, IoT

cloud platforms (Amazon AWS, Microsoft Azure, Google APIs), Data analytics for IoT, Software

and management tool for IoT, Dashboard design

IoT architectures with case studies :Business models for IoT, smart cities, agriculture, healthcare,

industry. Case studies/Mini projectsfor the real time IoT applications.

Text Books 1. Arshdeep Bahga, Vijay Madisetti, “Internet of Things – A hands-on approach”, Universities

Press, 2015.

Reference Books

1. Raj kamal, Internet of Things, Architecture and Design Principles, McGraw-Hill, 2017

2. Manoel Carlos Ramon, “Intel® Galileo and Intel® Galileo Gen 2: API Features and

Arduino Projects for Linux Programmers”, Apress, 2014.H.Gerez, “Algorithms for VLSI

Design Automation”, John Wiley, 1999.

1. Marco Schwartz, “Internet of Things with the Arduino Yun”, Packt Publishing, 2014..

COURSEOUTCOMES

Students are ableto CO1: understand basic premise of an IOT System

CO2 : be familiar with the sensors available for IoT applications

CO3 : learn the front-end hardware platforms and communication protocols for IoT.

CO4 : understand cloud storage, data analysis and management

CO5 : usage for real time IoT enabled domains

Page 45: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

44 | P a g e

Course Code : ECPE25

Course Title : ADVANCED DIGITAL SIGNAL PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course learning Objective

To provide rigorous foundations in multirate signal processing, power spectrum estimation

and adaptive filters.

Course content Review of sampling theory. Sampling rate conversion by integer and rational factors. Efficient

realization and applications of sampling rate conversion.

Wiener filtering. Optimum linear prediction. Levinson- Durbin algorithm. Prediction error filters.

Adaptive filters. FIR adaptive LMS algorithm. Convergence of adaptive algorithms. Fast algorithms.

Applications: Noise canceller, echo canceller and equalizer.

Recursive least squares algorithms. Matrix inversion lemma. Convergence analysis of the RLS

algorithm. Adaptive beam forming. Kalman filtering.

Spectrum estimation. Estimation of autocorrelation. Periodogram method. Nonparametric methods.

Parametric methods.

Text Books 1. J.G.Proakis, M. Salehi, “Advanced Digital Signal Processing”, McGraw –Hill, 1992.

2. S.Haykin, “Adaptive Filter Theory (3/e)”, Prentice- Hall, 1996.

Reference Books 1. D.G.Manolakis, V. K. Ingle, and S. M. Kogon ,”Statistical and Adaptive Signal Processing”,

McGraw-Hill,2005

2. S.L.Marple,”Digital Spectral Analysis”, 1987.

3. M.H.Hays,” Statistical Digital Signal Processing and Modeling”, John-Wiley, 2001.

4. Recent literature in Advanced Digital Signal Processing.

Course outcomes

At the end of the course student will be able

CO1: summarize multirate DSP and design efficient digital filters.

CO2: construct multi-channel filter banks.

CO3: select linear filtering techniques to engineering problems.

CO4: describe the most important adaptive filter generic problems.

CO5: describe the various adaptive filter algorithms.

Page 46: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

45 | P a g e

Course Code : ECPE26

Course Title : COGNITIVE RADIO

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course learning Objective

This subject introduces the fundamentals of multi rate signal processing and cognitive radio.

Course content

Filter banks-uniform filter bank. Direct and DFT approaches. Introduction to ADSL Modem. Discrete

multi-tone modulation and its realization using DFT.QMF. STFT. Computation of DWT using filter

banks. DDFS- ROM LUT approach. Spurious signals, jitter. Computation of special functions using

CORDIC. Vector and rotation mode of CORDIC. CORDIC architectures.

Block diagram of a software radio. Digital down converters and demodulators Universal modulator

and demodulator using CORDIC. Incoherent demodulation - digital approach for I and Q generation,

special sampling schemes. CIC filters. Residue number system and high speed filters using RNS.

Down conversion using discrete Hilbert transform. Under sampling receivers, Coherent

demodulation schemes.

Concept of Cognitive Radio, Benefits of Using SDR, Problems Faced by SDR, Cognitive Networks,

Cognitive Radio Architecture. Cognitive Radio Design, Cognitive Engine Design,

A Basic OFDM System Model, OFDM based cognitive radio, Cognitive OFDM Systems, MIMO

channel estimation, Multi-band OFDM, MIMO-OFDM synchronization and frequency offset

estimation. Spectrum sensing to detect Specific Primary System, Spectrum Sensing for Cognitive

OFDMA Systems.

Text Books 1. J. H. Reed, “Software Radio”, Pearson, 2002.

2. U. Meyer – Baese, “Digital Signal Processing with FPGAs”, Springer, 2004.

Reference Books 1. H. Arslan “Cognitive Radio, Software Defined Radio and Adaptive Wireless Systems”,

University of South Florida, USA, Springer, 2007.

2. S. K. Mitra, “Digital Signal processing”, McGrawHill,1998 3. K.C.Chen, R.Prasad, “Cognitive Radio Networks”, Wiley, 2009-06-15.

4. T.W.Rondeau, C.W.Bostian, “Artificial Intelligence in Wireless Communications”,2009.

5. Tusi, “Digital Techniques for Wideband receivers”, Artech House, 2001. 6. T. DarcChiueh, P. Yun Tsai,” OFDM baseband receiver design for wireless

communications”, Wiley,2007

7. Recent literature in Cognitive Radio

Course outcomes

At the end of the course student will be able

CO1: gain knowledge on multi-rate systems.

CO2: develop the ability to analyze, design, and implement any application usingFPGA. CO3: be aware of how signal processing concepts can be used for efficient FPGA based

system design.

CO4: understand the rapid advances in Cognitive radio technologies.

CO5: explore DDFS, CORDIC and its application.

Page 47: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

46 | P a g e

Course Code : ECPE27

Course Title : MULTIMEDIA COMMUNICATION TECHNOLOGY

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course learning Objective

To made the students to understand various encoding and decoding techniques of audios

and videos in multimedia systems.

Course content

Components of multimedia system, Desirable features, Applications of multimedia systems,

Introduction to different types, Multimedia storage device.

Digital audio representation and processing-time domain and transform domain representations.

Coding standards, transmission and processing of digital audio. Musical instrument synthesizers.

Still image coding-JPEG. Discrete cosine Transform. Sequential and Progressive DCT based

encoding algorithms, lossless coding, and hierarchical coding. Basic concepts of discrete wavelet

transform coding and embedded image coding algorithms. Introduction to JPEG2000.

Feature of MPEG 1, structure of encoding and decoding process, MPEG 2 enhancements, and

different blocks of MPEG video encoder.

Content based video coding-overview of MPEG 4 video, motion estimation and compensation.

Different coding techniques and verification models. Block diagram of MPEG 4 video encoder and

decoder. An overview of H261 and H263 video coding techniques.

Text Books

1. Y.Q.Shi & H.Sun, Image and Video Compression for Multimedia Engineering, CRC Press,

2000.

2. S.V.Raghavan & S,K,Tripathi, Networked Multimedia Systems, Prentice-Hall,1998.

Reference Books

1. J.F.K.Buford, Multimedia Systems, Pearson, 2000. 2. Recent literature in Multimedia Communication Technology.

Course outcomes

At the end of the course student will be able

CO1: analyze various components of the multimedia systems and its storage devices. CO2: appreciate the different coding standards for the digital audio and musical synthesizers.

CO3: understand the various types of DCT based image encoding algorithms

CO4: understand the encoding and decoding process of the MPEG standards

CO5: analyze the different content based video processing techniques.

Page 48: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

47 | P a g e

Course Code : ECPE28

Course Title : COMMUNICATION SWITCHING SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECPC18

Course Type : PE

Course learning Objective

To understand the working principles of switching systems from manual and electromechanical

systems to stored program control systems.

Course content Basic elements of communication network. Switching systems. Signaling and signaling functions. Digital telephone network. TDM Principles. PCM primary multiplex group. Plesiochronous digital

hierarchy. Synchronous digital hierarchy. Echo cancellers.

Digital transmission and multiplexing. Synchronous versus Asynchronous transmission. Line coding.

Error performance. TDM. Framing, TDM loops and rings.

Space division switching. Multiple-stage switching. Design examples. Switching matrix control. Time

division switching. Multiple-stage time and spaces witching.

Timing recovery. Jitter. Network synchronization. Digital subscriber access-ISDN. ADSL. HFC.

Traffic analysis.

Text Books

1. J.C. Bellamy, “Digital Telephony”, Wiley, 3rd edition, 2011.

2. J.E. Flood, “Telecommunications Switching, Traffic and Networks” Pearson,1st

edition,2012

Reference Books

1. T.Viswanathan, “Telecommunication Switching Systems and Networks”, PHI, 2006. 2. E.Keiser & E.Strange, “Digital Telephony and Network Integration”, Springer, 2nd edition,

1995.

3. R. L.Freeman, “Fundamentals of Telecommunications”, John Wiley and Sons, 2ndedition,

1999.

4. Recent literature in Communication Switching Systems.

Course outcomes

At the end of the course student will be able

CO1: explain the working principle of switching systems involved in telecommunication

switching

CO2: assess the need for voice digitization and T Carrier systems

CO3: compare and analyze Line coding techniques and examine its error performance

CO4: design multi stage switching structures involving time and space switching stages

CO5: analyze basic telecommunication traffic theory

Page 49: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

48 | P a g e

Course Code : ECPE29

Course Title : BROADBAND ACCESS TECHNOLOGIES

Number of Credits 3

Prerequisites

(Course code)

: ECPC18 & ECPC19

Course Type : PE

Course learning Objective

To impart fundamentals and latest technologies related to the design of broadband last mile-

Access technologies for multimedia communication

Course content Wired access technologies using Phone line modem, ISDN modem. Comparison-Cable, DSL, fiber

and wireless access technologies.

Last mile copper access, Flavors of Digital subscriber lines, DSL deployment, Common local loop

impairments, discrete multi-tone modulation, VDSL deployment and frequency plans. Standards for

XDSL and comparison.

Last mile HFC access, Cable modems. Modulation schemes, DOCSIS. Standards- comparison,

physical and MAC layer protocols for HFC networks, ATM and IP-centric modem. Switched digital

video.

Fiber access technologies and architectures. ATM passive optical networks, Upstream and

downstream transport, Frame format, Ethernet passive optical network, Gigabit passive optical

networks.

Survey on emerging broadband wireless access technologies. LMDS,MMDS,WIMAX and WIFI,

Satellite technologies serving as last mile solutions, Wireless LAN, Wireless personal area

networking, 3G and 4G wireless systems.

Text Books 1. N.Jayant, “Broadband last mile”-Taylor and Francisgroup,2005 2. N.Ransom & A.A. Azzam, “Broadband Access Technologies”, McGraw Hill, 1999.

Reference Books 1. M.P. Clarke, “Wireless Access Network”, Wiley, 2000.

2. T.Starr, M.Sorbara, J.M.Cioffi and P.J.Silverman,”DSLadvances”,PrenticeHall,2002 3. S. Mervana & C.Le, “Design and Implementation of DSL-based Access Solutions”, Cisco

Press, 2001.

4. W. Vermillion, “End-to-End DSL Architecture”, Cisco Press, 2003.

5. DOCSIS 2.0 “Radio frequency interface specification”www.cablemodem.com

6. ITU-T Rec., G.983.1 “Broadband Optical Access systems based on Passive

OpticalNetworks”,1998

7. Recent literature in Broadband Access Technologies.

Course outcomes

At the end of the course student will be able

CO1: recall and identify the basics of broadband technology systems and differentiate the

differences between the various wired and wireless technology system

CO2: illustrate the aspects of last mile data transport on copper wire networks and flavors of

DSL

CO3: summarize the versions of cable network standard and MAC protocols for HFC networks

CO4: distinguish the cost effective broadband services for residential users and ATM based and

Ethernet based passive optical networks

CO5: outline the types of broadband wireless access technologies and their characteristics.

Page 50: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

49 | P a g e

Course Code : ECPE30

Course Title : MICROWAVE COMPONENTS AND CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECPC16

Course Type : PE

Course Learning Objective The subject introduces the essential Microwave Circuit Theory and the design aspects of

Microwave Integrated Circuit components.

Course Content Scattering matrix formulation. Passive microwave devices; terminations, bends, corners, attenuators, phase changers, directional couplers and hybrid junctions. Basics and design considerations of Microstrip line, strip line, coplanar waveguide, Slot line and Fin line.

Microwave measurements; frequency, wavelength, VSWR. Impedance determination. S-parameter measurements. Network analyzer.

Microwave network parameters. Basic circuit elements for microwaves. Transmission line sections and stubs. Richard transformation. Kuroda identities.

MIC filter design. Low pass to high pass, band pass and band stop transformations. Realization using micro strip lines and strip lines.

Design and realization of MIC components.3 dB hybrid design. Rat race Hybrid Ring, Backward wave directional coupler, power divider; realization using micro strip lines and strip lines.

Text Books 1. I.J.Bahl & P.Bhartia, “Microwave Solid state Circuit Design”, Wiley, 2003. 2. D.M.Pozar, “Microwave Engineering (2/e)”, Wiley, 2004.

Reference Books 1. A. Das, “Microwave Engineering”, Tata McGraw Hill, 2000 2. B.Bhat, S. K. Koul,”Stripline like transmission lines for Microwave Integrated Circuits”, New

age International Pvt.Ltd. Publishers 2007. 3. G. Matthaei, E.M.T. Jones , L. Young , George Matthaei, Leo Young , George L. Matthaei

“Microwave filters, Impedance Matching Network, Coupling Structures (Updated)”,Hardcover, 1,096 Pages, Published 1980 by Artech House Publishers ISBN-13: 978-0-89006-099-5, ISBN: 0-89006-099-1

Course outcomes

At the end of the course student will be able CO1: Learn the basics of S parameters and use them in describing the components CO2: Expose to the Microwave Measurements Principle CO3: Realize the importance of the theory of Microwave circuit theory. CO4: Work out the complete design aspects of various M.I.C. Filters CO5: Confidently design all M.I.C. components to meet the industry standard

Page 51: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

50 | P a g e

.

Course Code : ECPE31

Course Title : FIBER OPTIC COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC12 &ECPC18

Course Type : PE

Course Learning Objective To expose the students to the basics of signal propagation through optical fibers, fiber

impairments, components, devices and system design.

Course Content Optical Fibers: Structure, Wave guiding. Step-index and Graded index optical fibers. Modal analysis. Classification of modes. Single Mode Fibers.

Pulse dispersion. Material and Waveguide dispersion. Polarization Mode Dispersion. Absorption, scattering and bending losses. Dispersion Shifted Fibers, Dispersion Compensating Fibers.

Optical sources: LEDs and Laser Diodes. Optical Power Launching and Coupling. Source to Fiber coupling, Fiber to Fiber joints. Misalignments. Schemes for coupling improvement.

Optical detectors: PIN and Avalanche photodiodes, Photo detector noise, Optical receivers. Digital link design: Power budget and Rise time budget. Attenuation and Dispersion limit.

WDM Concepts. Optical Amplifiers: EDFA. Nonlinear effects: Self Phase Modulation, Nonlinear Schrodinger Equation. Optical Soliton.

Text Books 1. G. Keiser, “Optical Fiber Communications (5/e)”, McGraw Hill, 2013.

2. A. Ghatak & K. Thygarajan, “Introduction to Fiber Optics”, Cambridge, 1999.

Reference Books 1. G. P. Agarwal, “Fiber Optic Communication Systems”, (4/e), Wiley, 2010. 2. M. M. K. Liu, “Principles and Applications of Optical Communications”, Tata McGraw Hill,

2010. 3. A. Selvarajan, S. Kar and T. Srinivas, “Optical Fiber Communication Principles and

Systems”, Tata McGraw Hill, 2006.

. Course outcomes

At the end of the course student will be able CO1: Recognize and classify the structures of Optical fiber and types. CO2: Discuss the channel impairments like losses and dispersion. CO3: Classify the Optical sources and calculate various coupling losses. CO4: Classify detectors and to design a fiber optic link. CO5: Familiar with concepts of WDM, optical amplifiers and Soliton Propagation.

Page 52: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

51 | P a g e

Course Code : ECPE32

Course Title : DIGITAL SIGNAL PROCESSING FOR WIRELESS

COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : PE

Course Learning Objectives

The subject aims to make the students to understand the usage of various signal processing

techniques used for wireless communication

Course Content Mathematical model of the Time-varying wireless channel: Multi-path model, Coherence time and

Doppler spread, Coherence frequency and Delay spread. Relationship between the time-varying

impulse response of the Base band and Bandpass Transmission. Discrete Complex Base band time

varying channel model for wireless communication. Computation of probability of error for Flat

fading Rayleigh channel, Flat fading Rician model and single tap channel with known filter co-

efficient.

Autocorrelation and the Spectral density computation of base band and the band pass signal. Sampling

and reconstruction of W.S.S. random process. Spectral density computation for PSK, QPSK, FSK and

MSK. Relationship between Base band and band pass random process using Hilbert transformation.

Periodogram, Barlett method, Welch, Blackman and Tuckey methods of estimating spectrum of the

modulated signal.

Multiple input Multiple output (MIMO) System model, Zero forcing receiver, LMMSE receiver,

Matched filter receiver. Optimal precoding and combining, Spatial multiplexing using Decoupling of

MIMO system. Massive MIMO, Power scaling, Orthogonality, Multi-cell Multi user MIMO, Pilot

contamination and Rate scaling.

Orthogonal Frequency division Multiplexing (OFDM) Multicarrier modulation (MCM) , MCM

transmission/Received signal, MCM-IFFT/FFT Processing, MCM-Cyclic prefix, Spectrum of OFDM

transmission, MIMO-OFDM System model, BER of OFDM and MIMO-OFDM

5G Technology: Non-orthgonal multiple access, Spatial Modulation, Filter bank multi-carrier

systems (FBMC), FBMC-OQAM System model, MIMO-FBMC Signal processing, Full Duplex

Radio, Self-interference, Hybrid cancellation, mm wave MIMO Channel Modeling and Estimation.

Textbooks

1. D. Tse and P.Viswanath, “Fundamentals of Wireless Communication”, Cambridge

university press, 2005

2. A. Goldsmith, “Wireless Communications”, Cambridge University Press,2005 3. E.S.Gopi, “Digital signal processing for wireless communication using Matlab’’, Springer,

2016 ReferenceBooks

1. T.S.Rappaport, “Wireless Communication Principles (2/e)”, Pearson,2002.

2. E. Biglieri, R.Calderbank, A. Constantinides, A. Goldsmith, A.Paulraj, H.Vincent poor,

“MIMO Wireless Communications”, Cambridge University Press,2007.

3. Robert Gallager, Chapter 9: “Wireless communication”, course materials for 6.450

Principles of Digital communication I,Fall 2006.MIT Open coursewarehttp://ocw.mit.edu/.

4. Recent literature in the related topics

Page 53: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

52 | P a g e

Course outcomes

Students are able to

CO1: summarize the importance of Coherence time, Coherence frequency, Doppler spread and Delay spread in time-varying wireless channel model CO2: derive the expression for BER for various wireless channel model. CO3: derive the expression for the computation of spectral density of various bandpass transmission and methodology to estimate from the received signal. CO4: summarize the mathematical models related to MIMO and OFDM technology

CO5: summarize the signal processing aspects in various 5G Technology

Page 54: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

53 | P a g e

Course Code : ECPE33

Course Title : MICROWAVE INTEGRATED CIRCUIT DESIGN

Number of Credits 3

Prerequisites

(Course code)

: ECPC16 & ECPC24

Course Type : PE

Course learning Objective

To impart knowledge on basics of microwave electron beam devices and their applications

in X band frequency.

Course content Design and realization of power dividers, hybrids, directional couplers etc using strip lines and micro

strip lines.

Filter design; Kuroda identities. K and J inverters. Filter transformations. Realization using strip lines

and micro strip lines.

Transistor amplifiers; Power gain equations. Stability considerations. Analysis .Design using MICs.

Transistor oscillator’s .Active devices for microwave oscillators. Three port S parameter

characterization of transistors. Oscillation and stability conditions.

Diode mixers .Mixer design. Single ended mixer. Balanced mixer .Image rejection mixer. Phase

shifter design. PIN diode. Phase shifter.

Text Books 1. I.J.Bahl & Bhartia, Microwave Solid State Circuit Design, Wiley, 1987. 2. G.D.Vendelin, Design of Amplifiers and Oscillators by the S Parameter Method, Wiley,

1982.

Reference Books

1. Stripline-like Transmission Lines for Microwave Integrated Circuits - Bharathi Bhat, Shiban Koul, New Age International(P) Limited, Publishers, 2007

2. Microwave Engineering ,David M Pozar, John Wiley & Sons,In International Student Edition

3. T.C.Edwards, Foundations for Microstrip Circuit Design (2/e), Wiley, 1992. 4. Recent literature in Microwave Integrated Circuit Design.

Course outcomes

At the end of the course student will be able

CO1: the topics will make students design of the important and essential M.I.C components CO2: Filter is the most needed circuit for many applications and the unit will make the student

confident in filter design

CO3:All aspects and different parameters, design factors and properties will me made thorough

CO4: One will be confident to handle any oscillator design

CO5: The student will become familiar and confident in the design of Mixers, the other essential

circuits.

Page 55: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

54 | P a g e

Course Code : ECPE34

Course Title : RF MEMS CIRCUIT DESIGN

Number of Credits 3

Prerequisites

(Course code)

: ECPC16 & ECPC24

Course Type : PE

Course learning Objective

To impart knowledge on basics of MEMS and their applications in RF circuit design.

Course content Introduction to Micromachining Processes .RF MEMS relays and switches. Switch parameters.

Actuation mechanisms. Bi-stable relays and micro actuators. Dynamics of switching operation.

MEMS inductors and capacitors. Micro machined inductor .Effect of inductor layout. Modeling and

design issues of planar inductor. Gap-tuning and area-tuning capacitors .Dielectric tunable capacitors.

MEMS phase shifters. Types. Limitations. Switched delay lines. Fundamentals of RF MEMS Filters.

Micro machined transmission lines. Coplanar lines. Micro machined directional coupler and mixer.

Micro machined antennas. Micro strip antennas –design parameters .Micromachining to

improve performance. Reconfigurable antennas.

Text Book

1. Vijay.K.Varadanetal, “RF MEMS and their Applications”, Wiley-India, 2011.

Reference Books 1. H.J.D.Santos, “RF MEMS Circuit Design for Wireless Communications”, Artech House,

2002. 2. G.M.Rebeiz, “RF MEMS Theory, Design, and Technology”, Wiley, 2003.

3. Recent literature in RF MEMS Circuit Design.

Course outcomes

At the end of the course student will be able

CO1: learn the Micromachining Processes CO2: learn the design and applications of RF MEMS inductors and capacitors.

CO3: learn about RF MEMS Filters and RF MEMS Phase Shifters.

CO4: learn about the suitability of micro machined transmission lines for RF MEMS

CO5: learn about the Micro machined Antennas and Reconfigurable Antennas

Page 56: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

55 | P a g e

Course Code : ECPE35

Course Title : SATELLITE COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECPC18

Course Type : PE

Course learning Objectives

To introduce and to make understand the radio propagation channel for Earth station to

satellite & satellite to Earth station.

To introduce various aspects in the design of communication & multiple access systems for

satellite communication.

To introduce the concept of launchers and design of Earth station and satellite link.

Course content

Elements of orbital mechanics. Equations of motion. Tracking and orbit determination. Orbital

correction/control. Satellite launch systems. Multistage rocket launchers and their performance.

Elements of communication satellite design. Spacecraft subsystems. Reliability considerations.

Spacecraft integration.

Multiple access techniques. FDMA, TDMA, CDMA. Random access techniques. Satellite on-board

processing.

Satellite Link Design: Performance requirement and standards. Laser Satellite Communication: Link

analysis, optical satellite link transmitter, optical satellite link receiver, satellite beam acquisition,

tracking & positioning, deep space optical communication link.

Earth station design. Configurations. Antenna and tracking systems. Satellite broadcasting. GPS.

VSAT.

Text Books

1. D. Roddy, “Satellite Communication (4/e)”, McGraw-Hill, 2009.

2. T. Pratt & C. W. Bostain, “Satellite Communication”, Wiley 2000.

Reference Books

1. Bruce R. Elbert, ‘The Satellite Communication Applications’ Hand Book, Artech House

Bostan London, 1997.

2. B. N. Agrawal, “Design of Geo synchronous Spacecraft”, Prentice-Hall, 1986. 3. A.K. Maini, V. Agrawal, “Satellite Communications”, Wiley India Pvt Ltd, 1999.

4. Recent literature in Satellite Communication.

Course outcomes

At the end of the course student will be able

CO1: learn the dynamics of the satellite.

CO2: learn the spacecraft and subsystems.

CO3: understand how analog and digital technologies are used for satellite communication

networks.

CO4: understand the radio frequency channel from Earth station to Satellite.

CO5: study the design of Earth station and tracking of the satellites.

Page 57: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

56 | P a g e

Course Code : ECPE36

Course Title : PRINCIPLES OF RADAR

Number of Credits 3

Prerequisites

(Course code)

: ECPC20

Course Type : PE

Course learning Objective

To expose the students to the working principles of a radar from a signal processing

perspective.

Course content

Radar equation. Radar cross section. Cross section of small targets. Target scattering matrices. Area

and volume targets.

Radar signals. Ambiguity function and its properties. Uncertainty principle. Pulse compression.

Linear FM pulse. Pulse compression by Costas FM and binary phase coding.

Radar detection. Optimum Bayesian decision rules. Detection criteria for different target models.

Range and Doppler measurements and tracking. Range and Doppler frequency resolutions. Optimum

receivers. Optimum filters for Doppler measurements. Coherent and non-coherent implementations.

Angle measurement and tracking. Angle measurement and tracking by conical scan and mono pulse.

Optimum mono pulse systems.

Text Books

1. P.Z.Peebles, Radar Principles, Wiley, 1998.

2. Merrill I. Skolink, Introduction to Radar Systems, (3/e), Tata MG Graw Hill,2001

Reference Books

1. N.Levanon, Radar Signals, Wiley, 2005.

2. D.Wehnar: High Resolution Radar, Artech Hous, 1987.

3. D.K.Barton: Radar systems Analysis, Prentice Hall, 1976.

4. Recent literature in Principles of Radar.

Course outcomes

At the end of the course student will be able

CO1: Understand the principle behind radar range equation and different types of targets available.

CO2: Appreciate the different compression techniques of radar pulse signals.

CO3: Distinguish between different detection methods of radar signals.

CO4: Appreciate the building blocks for optimum receiver and Doppler measurements.

CO5: Understand the tracking and scanning methods in the mono pulse systems.

Page 58: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

57 | P a g e

Course Code : ECPE37

Course Title : LOW POWER VLSI CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECPC23

Course Type : PE

Course learning Objective

To expose the students to the low voltage device modelling, low voltage, low power VLSI

CMOS circuit design.

Course content

CMOS fabrication process, Shallow trench isolation. Lightly-doped drain. Buried channel.

Fabrication process of BiCMOS and SOI CMOS technologies.

Modeling of CMOS devices parameters. Threshold voltage, Body effect, Short channel and Narrow

channel effects, Electron temperature, and MOS capacitance.

CMOS inverters, static logic circuits of CMOS, pass transistor, BiCMOS, SOI CMOS and low

power CMOS techniques.

Basic concepts of dynamic logic circuits. Various problems associated with dynamic logic circuits.

Differential, BiCMOS and low voltage dynamic logic circuits.

CMOS memory circuits, Decoders, sense amplifiers, SRAM architecture. Low voltage SRAM

techniques.

Text Books

1. Jan Rabaey,”Low Power Design Essentials (Integrated Circuits and Systems)”, Springer,2009

2. J.B.Kuo&J.H.Lou,”Low-voltage CMOS VLSI Circuits”, Wiley, 1999.

Reference Book 1. A.Bellaowar&M.I.Elmasry,”Low power Digital VLSI Design, Circuits and Systems”,

Kluwer, 1996.

2. Recent literature in Low Power VLSI Circuits.

Course outcomes

At the end of the course student will be able

CO1: acquire the knowledge about various CMOS fabrication process and its modeling.

CO2: infer about the second order effects of MOS transistor characteristics.

CO3: analyze and implement various CMOS static logic circuits.

CO4: learn the design of various CMOS dynamic logic circuits.

CO5: learn the different types of memory circuits and their design.

Page 59: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

58 | P a g e

Course Code : ECPE38

Course Title : ADHOC WIRELESS NETWORKS

Number of Credits 3

Prerequisites

(Course code)

: ECPE10

Course Type : PE

Course learning Objective

To analyse the various design issues and challenges in the layered architecture of Ad hoc

wireless networks

Course content Cellular and ad hoc wireless networks, Applications of ad hoc wireless networks. Issues in ad hoc

wireless networks-medium access scheme, routing, transport layer protocols, security and energy

management. Ad hoc wireless internet.

Design goals of a MAC protocol, Contention based protocols; Contention based protocols with

reservation mechanisms and scheduling mechanisms, MAC protocols using directional antennas.

Table driven routing protocols, On demand routing protocols, hybrid routing protocols, Hierarchical

routing protocols, Power aware routing protocols, Tree based and mesh based multicast routing

protocols

Network security requirements-Issues and challenges, network security attacks, key management,

secure routing protocols

Energy management schemes-Battery management, transmission power management, system

power management schemes. Quality of service solutions in ad hoc wireless networks.

Text books 1. C.Siva ram murthy, B.S. Manoj, “Ad hoc wireless networks-Architectures and protocols”

Pearson Education, 2005 2. S.Basagni, M.Conti, “Mobile ad hoc networking”, Wielyinterscience2004

References books 1. C. E.Perkins ,”Ad hoc networking”, AddisonWesley,2001 2. X.Cheng, X.Huang ,D.Z. DU ,”Ad hoc wireless networking”, Kluwer

AcademicPublishers,2004 3. G. Aggelou,”Mobile ad hoc networks-From wireless LANs to 4G networks”, McGraw Hill

publishers,2005

4. Recent literature in ADHOC Wireless Networks.

Course outcomes

At the end of the course student will be able

CO1: compare the differences between cellular and ad hoc networks and the analyze the

challenges at various layers and applications

CO2: summarize the protocols used at the MAC layer and scheduling mechanisms

CO3: compare and analyze types of routing protocols used for unicast and multicast

routing

CO4: examine the network security solution and routing mechanism

CO5: evaluate the energy management schemes and Quality of service solution in ad hoc

networks

Page 60: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

59 | P a g e

Course Code : ECPE39

Course Title : WIRELESS SENSOR NETWORKS

Number of Credits 3

Prerequisites

(Course code)

: ECPE10

Course Type : PE

Course learning Objective

To overview the various design issues and challenges in the layered architecture of Wireless

sensor networks

Course content Motivation for a network of wireless sensor nodes-Definitions and background-challenges and

constraints for wireless sensor networks-Applications. Node architecture-sensing subsystems,

processing Subsystems, Communication interfaces, Prototypes.

Physical layer- Introduction, wireless channel and communication fundamentals – frequency

allocation, modulation and demodulation, wave propagation effects and noise, channels models,

spread spectrum communication, packet transmission and synchronization, quality of wireless

channels and measures for improvement, physical layer and transceiver design consideration in

wireless sensor networks, Energy usage profile, choice of modulation, Power Management

Data link layer- Fundamentals of wireless MAC protocols, Characteristics of MAC protocol in

wireless sensor networks contention-based protocols, Contention free MAC protocols, Hybrid MAC

protocols

Network layer-routing metrics-Flooding and gossiping, Data centric routing, proactive routing on

demand routing, hierarchical routing, Location based routing, QOS based routing. Data Aggregation

– Various aggregation techniques.

Case study-Target detection tracking, Habitat monitoring, Environmental disaster monitoring,

Practical implementation issues, IEEE 802.15.4 low rate WPAN, Operating System Design Issues.

Simulation tools. Text Books

1. W. Dargie, C. Poellabauer, ”Fundamentals of Wireless sensor networks-Theory and

Practice”, John Wiley & Sons Publication2010 2. K. Sohraby, D.Minoli and T.Znati, “Wireless Sensor Network Technology- Protocols and

Applications”, John Wiley & Sons, 2007.

Reference Books 1. F.Zhao, L.Guibas, “Wireless Sensor Networks: an information processing approach”,

Elsevier publication, 2004.

2. C.S.Raghavendra Krishna, M.Sivalingam and Taribznati, “Wireless Sensor

Networks”, Springer publication, 2004.

3. H. Karl, A.willig, “Protocol and Architecture for Wireless Sensor Networks”, John Wiley

publication, Jan2006.

4. K.Akkaya and M.Younis, “A Survey of routing protocols in wireless sensor networks”,

Elsevier Adhoc Network Journal, Vol.3, no.3, pp. 325-349, 2005. 5. Philip Levis, “TinyOS Programming”, 2006 –www.tinyos.net. 6. I.F. Akyildiz, W. Su, Sankarasubramaniam, E. Cayirci, “Wireless sensor networks: a

survey”, computer networks, Elsevier, 2002, 394 -422.

7. Jamal N. Al-karaki, Ahmed E. Kamal, “Routing Techniques in Wireless sensor networks:

A survey”, IEEE wireless communication, December 2004, 6 –28.

8. Recent literature in Wireless Sensor Networks.

Page 61: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

60 | P a g e

Course outcomes

At the end of the course student will be able

CO1: analyze the challenges and constraints of wireless sensor network and its subsystems

CO2: examine the physical layer specification, modulation and transceiver design

considerations

CO3: analyze the protocols used at the MAC layer and scheduling mechanisms

CO4: compare and analyse the types of routing protocols and data aggregation techniques

CO5: identify the application areas and practical implementation issues.

Page 62: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

61 | P a g e

Course Code : ECPE40

Course Title : Nano Electronics

Number of Credits 3

Prerequisites (Course

code)

: NONE

Course Type : PE

Course Objectives

To present the state of the art in the areas of semiconductor device physics and

materials technology to enable nano-electronics

To provide and overview of nano materials and device fabrication

To discuss the extensive materials characterization techniques

Course Content

Overview: Nano devices, Nano materials, Nano characterization. Introduction to nano-electronics,

CMOS technology scaling issues, Design techniques for nanoscale transistors

MOS Electrical characterization, Non classical MOSFETs: overview and carrier transport in Nano-

MOSFETs, Silicon on Insulator (SOI) MOSFET

Metal-Semiconductor contacts and Metal-Source/Drain Junction MOSFETs, Germanium and

compound semiconductor Nano MOSFETs

Introduction to Nanomaterials, Quantum Mechanics and Quantum Statistics for considering

Nanomaterials.

Quantum mechanics and Quantum statistics for considering nanomaterials, synthesis/fabrication of

nanomaterials, chemical vapour deposition (CVD) and atomic layer deposition (ALD).

Characterization techniques for nanomaterials and nano structures – FTIR, XRD, AFM, SEM, TEM,

EDAX

NPTEL Link:

https://nptel.ac.in/courses/117108047

Text Books

1. Y. Taur and T. Ning, “Fundamentals of Modern VLSI Devices”, Cambridge University Press,

2nd Edition, 2013.

Reference Books

1. Plummer, Deal and Griffin, “Silicon VLSI Technology”, 1st edition, Pearson education, 2000.

2. Brundle, C. R., Evans, Charles A. jr., Wilson and Shaun, “Encyclopaedia of Materials

Characterization, 1992.

Course Outcomes:

At the end of the course, student will be able to

CO1: get an insight of nano devices and nano materials

CO2: learn the nano-micro fabrication

CO3: get a foundation for the device fabrication

CO4: study vast understanding to the device electronics for integrated circuits

CO5: get an insight of nano materials and its characterization techniques.

Page 63: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

62 | P a g e

Course Code : ECOE10

Course Title : MICROWAVE INTEGRATED CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

To impart knowledge on basics of microwave electron beam devices and their applications

in X band frequency.

Course content Design and realization of power dividers, hybrids, directional couplers etc using strip lines and micro

strip lines.

Filter design; Kuroda identities. K and J inverters. Filter transformations. Realization using strip lines

and micro strip lines.

Transistor amplifiers; Power gain equations. Stability considerations. Analysis. Design using MICs.

Transistor oscillators. Active devices for microwave oscillators. Three port S parameter

characterization of transistors. Oscillation and stability conditions.

Diode mixers. Mixer design. Single ended mixer. Balanced mixer. Image rejection mixer. Phase

shifter design. PIN diode. Phase shifter.

Text Books

1. I.J.Bahl & Bhartia, Microwave Solid State Circuit Design, Wiley, 1987. 2. G.D.Vendelin, Design of Amplifiers and Oscillators by the S Parameter Method, Wiley,

1982.

Reference Books

1. Stripline-like Transmission Lines for Microwave Integrated Circuits - Bharathi Bhat, Shiban Koul, New Age International(P) Limited, Publishers, 2007

2. Microwave Engineering, David M Pozar, John Wiley & Sons, Inc International Student Edition.

3. T.C.Edwards, Foundations for Microstrip Circuit Design (2/e), Wiley, 1992. 4. Recent literature in Microwave Integrated Circuit Design.

Course outcomes

At the end of the course student will be able

CO1: the topics will make students design of the important and essential M.I.C components CO2: Filter is the most needed circuit for many applications and the unit will make the student

confident in filter design

CO3: All aspects and different parameters, design factors and properties will me made thorough

CO4: One will be confident to handle any oscillator design

CO5: The student will become familiar and confident in the design of Mixers, the other essential

circuits.

Page 64: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

63 | P a g e

Course Code : ECOE11

Course Title : RF MEMS CIRCUIT

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

To impart knowledge on basics of MEMS and their applications in RF circuit design.

Course content Introduction to Micromachining Processes. RF MEMS relays and switches. Switch parameters.

Actuation mechanisms. Bi-stable relays and micro actuators. Dynamics of switching operation.

MEMS inductors and capacitors. Micro machined inductor. Effect of inductor layout. Modeling and

design issues of planar inductor. Gap-tuning and area-tuning capacitors. Dielectric tunable capacitors.

MEMS phase shifters. Types. Limitations. Switched delay lines. Fundamentals of RF MEMS Filters.

Micro machined transmission lines. Coplanar lines. Micro machined directional coupler and mixer.

Micro machined antennas. Micro strip antennas –design parameters. Micromachining to

improve performance. Re configurable antennas.

Text Book 1. Vijay.K.Varadanetal, “RF MEMS and their Applications”, Wiley-India, 2011.

Reference Books 1. H.J.D.Santos, “RF MEMS Circuit Design for Wireless Communications”, Artech House,

2002. 2. G.M.Rebeiz, “RF MEMS Theory, Design, and Technology”, Wiley, 2003.

3. Recent literature in RF MEMS Circuit Design.

Course outcomes

At the end of the course student will be able

CO1: learn the Micro machining Processes CO2: learn the design and applications of RF MEMS inductors and capacitors.

CO3: learn about RF MEMS Filters and RF MEMS Phase Shifters.

CO4: learn about the suitability of micro machined transmission lines for RF MEMS

CO5: learn about the Micro machined Antennas and Reconfigurable Antennas

Page 65: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

64 | P a g e

Course Code : ECOE12

Course Title : HIGH SPEED SYSTEM DESIGN

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course Learning Objective

To expose the students to all aspects of electronic packaging including electrical, thermal,

mechanical and reliability issues.

Course Content

Functions of an Electronic Package, Packaging Hierarchy, IC packaging: MEMS packaging, consumer

electronics packaging, medical electronics packaging, Trends, Challenges, Driving Forces on

Packaging Technology, Materials for Microelectronic packaging, Packaging Material Properties,

Ceramics, Polymers, and Metals in Packaging, Material for high density interconnect substrates

Overview of Transmission line theory, Clock Distribution, Noise Sources, power Distribution, signal

distribution, EMI; crosstalk and nonideal effects; signal integrity: impact of packages, vias, traces,

connectors; non-ideal return current paths, high frequency power delivery, simultaneous switching

noise; system-level timing analysis and budgeting; methodologies for design of high speed buses;

radiated emissions and minimizing system noise.

Electrical Anatomy of Systems Packaging, Signal Distribution, Power Distribution, Electromagnetic

Interference, Design Process Electrical Design: Interconnect Capacitance, Resistance and Inductance

fundamentals; Transmission Lines , Clock Distribution, Noise Sources, power Distribution, signal

distribution, EMI, Digital and RF Issues. Processing Technologies, Thin Film deposition, Patterning,

Metal to metal joining.

IC Assembly – Purpose, Requirements, Technologies, Wire bonding, Tape Automated Bonding, Flip

Chip, Wafer Level Packaging , reliability, wafer level burn – in and test.Single chip packaging:

functions, types, materials processes, properties, characteristics, trends. Multi-chippackaging : types,

design, comparison, trends. Passives: discrete, integrated, and embedded –encapsulation and sealing:

fundamentals, requirements, materials, processes

Printed Circuit Board: Anatomy, CAD tools for PCB design, Standard fabrication, Microvia Boards.

Board Assembly: Surface Mount Technology, Through Hole Technology, Process Control and Design

challenges. Thermal Management, Heat transfer fundamentals, Thermal conductivity and resistance,

Conduction, convection and radiation – Cooling requirements.

Reliability, Basic concepts, Environmental interactions. Thermal mismatch and fatigue – failures –

thermo-mechanically induced – electrically induced – chemically induced. Electrical Testing: System

level electrical testing, Interconnection tests, Active Circuit Testing, Design for Testability.

Text Book

1.Tummala, Rao R., Fundamentals of Microsystems Packaging, McGraw Hill, 2001

2.HowardJohnson , Martin Graham, High Speed Digital Design: A Handbook of Black Magic,

Prentice Hall, 1993

Reference Books

1.Blackwell (Ed), The electronic packaging handbook, CRC Press, 2000.

2.Tummala, Rao R, Microelectronics packaging handbook, McGraw Hill, 2008.

3. Bosshart, Printed Circuit Boards Design and Technology,TataMcGraw Hill, 1988.

4. R.G. Kaduskar and V.B.Baru, Electronic Product design, Wiley India, 2011

5. R.S.Khandpur, Printed Circuit Board, Tata McGraw Hill, 2005

6. Recent literature in Electronic Packaging.

Page 66: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

65 | P a g e

Course outcomes At the end of the course student will be able

CO1: Design of PCBs which minimize the EMI and operate at higher frequency.

CO2: Enable design of packages which can withstand higher temperature, vibrations and shock.

Page 67: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

66 | P a g e

Course Code : ECOE13

Course Title : DIGITAL SPEECH PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : OE

Course learning Objective

The purpose of this course is to explain how DSP techniques could be used for solving

problems in speech communication.

Course content Speech production model-1D sound waves-functional block of the Vocal tract model –Linear

predictive co- efficients (LPC) -Auto-correlation method-Levinson-Durbin algorithm-Auto-co-

variance method-Lattice structure-Computation of Lattice co-efficient from LPC-Phonetic

Representation of speech-Perception of Loudness - Critical bands – Pitch perception – Auditory

masking.

Feature extraction of the speech signal: Endpoint detection-Dynamic time warping- Pitch frequency

estimation: Autocorrelation approach- Homomorphic approach-Formant frequency estimation using

vocal tract model and Homomorphic approach-Linear predictive co-efficient -Poles of the vocal tract-

Reflection co-efficient-Log Area ratio.

Cepstrum- Line spectral frequencies- Functional blocks of the ear- Mel frequency cepstral co-

efficient- Spectrogram-Time resolution versus frequency resolution-Discrete wavelet transformation.

Pattern recognition for speech detection: Back-propagation Neural Network-Support Vector Machine-

Hidden Markov Model (HMM)-Gaussian Mixture Model(GMM) -Unsupervised Learning system: K-

Means and Fuzzy K-means clustering - Kohonen self-organizing map-Dimensionality reduction

techniques: Principle component analysis (PCA), Linear discriminate analysis (LDA), Kernel-LDA

(KLDA), Independent component analysis(ICA).

Non-uniform quantization for Gaussian distributed data- Adaptive quantization-Differential pulse

code modulation- Code Exited Linear prediction (CELP)-Quality assessment of the compressed

speech signal Text to Speech (TTS) analysis –Evolution of speech synthesis systems-Unit selection

methods - TTS Applications.

Text Books 1. L.R.Rabiner and R.W.Schafer, ”Introduction to Digital speech processing”, now publishers

USA,2007

2. E.S.Gopi, ”Digital speech processing using matlab”, Springer, 2014. Reference Books

1. L.R.Rabiner and R.W.Schafer, ”Digital processing of speech signals”, PrenticeHall,1978

2. T.F.Quatieri,”Discrete-time Speech Signal Processing”, Prentice-Hall, PTR,2001 3. L.Hanzaetal, “Voice Compression and Communications”, Wiley/ IEEE, 2001.

4. Recent literature in Digital speech processing.

Course outcomes

At the end of the course student will be able

CO1: illustrate how the speech production is modeled

CO2: summarize the various techniques involved in collecting the features from the speech

signal in both time and frequency domain

CO3: summarize the functional blocks of the ear CO4: compare the various pattern recognition techniques involved in speech and speaker

detection

CO5: summarize the various speech compression techniques

Page 68: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

67 | P a g e

Course Code : ECOE14

Course Title : DIGITAL IMAGE PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

To treat the 2D systems as an extension of 1D system design and discuss techniques specific

to 2D systems.

Course content Linearity and space-invariance. PSF, Discrete images and image transforms, 2-D sampling and

reconstruction, Image quantization, 2-D transforms and properties.

Image enhancement-Histogram modeling, equalization and modification. Image smoothing, Spatial

filtering, Generalized cepstrum and homomorphic filtering.

Image restoration-image observation models. Inverse and Wiener filtering. Filtering using

image transforms. Constrained least-squares restoration.

Image analysis-applications, Spatial and transform features. Edge detection, boundary

extraction, Moments as features.

Morphological operations and transforms. Texture. Scene matching and detection.

Segmentation and classification.

Text Books 1. A.K. Jain, “Fundamentals of Digital Image Processing”, PHI, 1995. 2. R.C.Gonzalez & R.E. Woods,” Digital Image Processing”, (2/e), Pearson, 2002.

Reference Books

1. J.C. Russ, “The Image Processing Handbook”, (5/e), CRC, 2006.

2. E.S.Gopi, ''Digital Image processing using Matlab'', Scitech publications, 2006.

3. Recent literature in Digital Image processing.

Course outcomes

At the end of the course student will be able

CO1: analyze the need for image transforms, types and their properties.

CO2: become skilled at different techniques employed for the enhancement of images both in

spatial and frequency domain.

CO3: explore causes for image degradation and to teach various restoration techniques.

CO4: evaluate the image compression techniques in spatial and frequency domain.

CO5: gain knowledge of feature extraction techniques for image analysis and recognition.

Page 69: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

68 | P a g e

Course Code : ECOE15

Course Title : PATTERN RECOGNITION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

The subject aims to make the students to understand the mathematical approach for pattern

recognition.

Course content Polynomial curve fitting – The curse of dimensionality - Decision theory - Information theory - The

beta distribution - Dirichlet distribution-Gaussian distribution-The exponent family: Maximum

likelihood and sufficient statistics -Non-parametric method: kernel-density estimators - Nearest

neighbourmethods.

Linear models for regression and classification: Linear basis function models for regression - Bias

variance decomposition-Bayesian linear regression-Discriminant functions - Fisher’s linear

discriminant analysis (LDA) - Principal Component Analysis (PCA) - Probabilistic generative model

- Probabilistic discriminative model.

Kernel methods: Dual representations-Constructing kernels-Radial basis function networks-Gaussian

process-Maximum margin classifier (Support Vector Machine) –Relevance Vector Machines-Kernel-

PCA, Kernel-LDA.

Mixture models: K-means clustering - Mixtures of Gaussian - Expectation-Maximization algorithm-

Sequential models: Markov model, Hidden-Markov Model (HMM) - Linear Dynamical

Systems(LDS).

Neural networks: Feed- forward Network functions-Network training - Error Back propagation

- The Hessian Matrix - Regularization in Neural Network - Mixture density networks – Bayesian

Neural Networks

TextBooks 1. C.M.Bishop,''Pattern recognition and machinelearning'',Springer,2006 2. E.S.Gopi, “Pattern recognition and Computational intelligence using matlab, Transactions

on computational science and computational intelligence, Springer, 2019 ReferenceBooks

1. Sergious Thedorodis ,Konstantinos Koutroumbas, Pattern recognition, Elsevier, Fourth

edition,2009

2. Richard O.Duda, Peter.E.Hart, David G.Stork, “Pattern classification”, Wiley, Second

edition,2016

3. Recent literature in the related topics

COURSEOUTCOMES Students are ableto

CO1: summarize the various techniques involved in patternrecognition

CO2: identify the suitable pattern recognition techniques for the particular applications.

CO3: categorize the various pattern recognition techniques into supervised andunsupervised.

CO4: summarize the mixture models based pattern recognitiontechniques

CO5: summarize the artificial neural network based pattern recognitiontechniques

Page 70: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

69 | P a g e

Course Code : ECOE16

Course Title : COMPUTER ARCHITECTURE AND ORGANIZATION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objectives

To understand how computers are constructed out of a set of functional units and how the

functional units operate, interact, and communicate.

To make the students to understand the concept of interfacing memory and various I/O

devices to a computer system using a suitable bus system.

Course content

Introduction: Function and structure of a computer, Functional components of a Computer,

Interconnection of components, Performance of a computer. Representation of Instructions: Machine instructions, Memory locations & Addresses, Operands,

Addressing modes, Instruction formats, Instruction sets, Instruction set architectures - CISC and RISC

architectures, Super scalar Architectures, Fixed point and floating point operations. Basic Processing Unit: Fundamental concepts, ALU, Control unit, Multiple bus organization,

Hardwired control, Micro programmed control, Pipelining, Data hazards, Instruction hazards,

Influence on instruction sets, Data path and control considerations, Performance considerations. Memory organization: Basic concepts, Semiconductor RAM memories, ROM, Speed - Size and

cost, Memory Interfacing circuits, Cache memory, Improving cache performance, Memory

management unit, Shared/Distributed Memory, Cache coherency in multiprocessor, Segmentation,

Paging, Concept of virtual memory, Address translation, Secondary storage devices. I/O Organization: Accessing I/O devices, Input/output programming, Interrupts, Exception

Handling, DMA, Buses, I/O interfaces- Serial port, Parallel port, PCI bus, SCSI bus, USB bus,

Firewall and Infini band, I/O peripherals.

Text Books

1. C.Hamacher Z. Vranesic and S. Zaky, "Computer Organization", McGraw-Hill, 2002.

2. W. Stallings, "Computer Organization and Architecture - Designing for Performance",

Prentice Hall of India, 2002.

References Books

1. B,Parhami, “Computer Architecture, From Microprocessors to Supercomputers,” Oxford

University Press, Reprint2014.

2. D. A. Patterson and J. L. Hennessy, "Computer Organization and Design,

3. Morgan Kaufmann,”The Hardware/Software Interface", 1998.

4. J .P. Hayes, "Computer Architecture and Organization", McGraw-Hill, 1998.

5. Recent literature in Computer Architecture and Organization.

Course outcomes

At the end of the course student will be able

CO1: apply the basic knowledge of digital concept to the functional components of a Computer

System.

CO2: analyze the addressing mode concepts and design the instruction set Architecture.

CO3: identify the functions of various processing units within the CPU of a Computer System. CO4: analyze the function of the memory management unit and create suitable memory interface

to the CPU.

CO5: recognize the need for recent Bus standards and I/O devices.

Page 71: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

70 | P a g e

Course Code : ECOE17

Course Title : OPERATING SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

To expose the principles and practice of operating system design and to illustrate the current

design practices using DOS and UNIX operating systems.

Course content Types of operating systems, Different views of the operating system, Principles of Design and

Implementation. The process and threads. System programmer’s view of processes, Operating

system's views of processes, Operating system services for process management. Process scheduling,

Schedulers, Scheduling algorithms. Overview of Linux operating system.

Interprocess synchronization, Mutual exclusion algorithms, Hardware support, Semaphores,

Concurrent programming using semaphores.

Conditional critical regions, Monitors, Inter process communication: Messages, Pipes. Deadlocks:

Characterization. Prevention. Avoidance. Detection and recovery. Combined approach to deadlock

handling.

Contiguous allocation. Static and dynamic partitioned memory allocation. Segmentation.

Non- contiguous allocation. Paging, Hardware support, Virtual Memory.

Need for files. File abstraction. File naming. File system organization. File system optimization.

Reliability. Security and protection. I/O management and disk scheduling. Recent trends and

developments.

Text Books

1. Gary: Operating Systems- A modern Perspective, (2/e), Addison Wesley, 2000.

2. M.Milenkovic: Operating systems, Concepts and Design, McGraw Hill, 1992.

Reference Books 1. C. Crowley: Operating Systems, Irwin, 1997.

2. J.l. Peterson & A.S. Chatz: Operating System Concepts, Addison Wesley, 1985.

3. W. Stallings: Operating Systems, (2/e), Prentice Hall, 1995. 4. Mattuck,A., Introduction to Analysis,Prentice-Hall,1998.

5. Recent literature in Operating Systems.

Course outcomes

At the end of the course student will be able

CO1:.Understand the different types of Operating systems and scheduling algorithms.

CO2: Understand the synchronization algorithms and semaphores.

CO3: Appreciate the inter process communication and deadlock handling.

CO4: Critically evaluate the different memory allocation techniques.

CO5: Appreciate the importance of file system organization, I/O management and disk

scheduling.

Page 72: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

71 | P a g e

Course Code : ECOE18

Course Title : WIRELESS SENSOR NETWORKS

Number of Credits 3

Prerequisites

(Course code)

: ECPE10

Course Type : OE

Course learning Objective

To overview the various design issues and challenges in the layered architecture of Wireless

sensor networks

Course content

Motivation for a network of wireless sensor nodes-Definitions and background-challenges and

constraints for wireless sensor networks-Applications. Node architecture-sensing subsystems,

processing Subsystems, Communication interfaces, Prototypes.

Physical layer- Introduction, wireless channel and communication fundamentals – frequency

allocation, modulation and demodulation, wave propagation effects and noise, channels models,

spread spectrum communication, packet transmission and synchronization, quality of wireless

channels and measures for improvement, physical layer and transceiver design consideration in

wireless sensor networks, Energy usage profile, choice of modulation, Power Management

Data link layer- Fundamentals of wireless MAC protocols, Characteristics of MAC protocol in

wireless sensor networks contention-based protocols, Contention free MAC protocols, Hybrid MAC

protocols

Network layer-routing metrics-Flooding and gossiping, Data centric routing, proactive routing on

demand routing, hierarchical routing, Location based routing, QOS based routing. Data Aggregation

– Various aggregation techniques.

Case study-Target detection tracking, Habitat monitoring, Environmental disaster monitoring,

Practical implementation issues, IEEE 802.15.4 low rate WPAN, Operating System Design Issues.

Simulation tools.

Text Books 1. W. Dargie,C. Poellabauer, ”Fundamentals of Wireless sensor networks-Theory and

Practice”, John Wiley & Sons Publication2010 2. K. Sohraby, D.Minoli and T.Znati, “Wireless Sensor Network Technology- Protocols and

Applications”, John Wiley & Sons, 2007.

Reference Books 1. F.Zhao, L.Guibas, “Wireless Sensor Networks: an information processing approach”,

Elsevier publication, 2004.

2. C.S.Raghavendra Krishna,M.Sivalingam and Taribznati, “Wireless Sensor

Networks”, Springer publication,2004.

3. H. Karl, A.willig, “Protocol and Architecture for Wireless Sensor Networks”, John Wiley

publication, Jan2006.

4. K.Akkaya and M.Younis, “A Survey of routing protocols in wireless sensor networks”,

Elsevier Adhoc Network Journal, Vol.3, no.3, pp. 325-349, 2005. 5. Philip Levis, “TinyOS Programming”, 2006 –www.tinyos.net.

6. I.F. Akyildiz, W. Su, Sankara subramaniam, E. Cayirci, “Wireless sensor networks: a

survey”, computer networks, Elsevier, 2002, 394 -422.

7. Jamal N. Al-karaki, Ahmed E. Kamal, “Routing Techniques in Wireless sensor networks:

A survey”, IEEE wireless communication, December 2004, 6 –28.

8. Recent literature in Wireless Sensor Networks.

Page 73: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

72 | P a g e

Course outcomes

At the end of the course student will be able

CO1: analyze the challenges and constraints of wireless sensor network and its subsystems

CO2: examine the physical layer specification, modulation and transceiver design

considerations

CO3: analyze the protocols used at the MAC layer and scheduling mechanisms

CO4: compare and analyse the types of routing protocols and data aggregation techniques

CO5: identify the application areas and practical implementation issues.

Page 74: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

73 | P a g e

Course Code : ECOE19

Course Title : ARM SYSTEM ARCHITECTURE

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course learning Objective

The objective of this course is to give the students a thorough exposure to ARM architecture

and make the students to learn the ARM programming & Thumb programming models.

Course Content

RISC machine. ARM programmer’s model. ARM Instruction Set. Assembly level language

programming. Development tools.

ARM organization. ARM instruction execution. ARM implementation. ARM coprocessor interface.

Flynn's Taxonomy, SIMD and Vector Processors, Vector Floating Point Processor (VFP), VFP and

ARM interactions, vector operation.

Floating point architecture. Expressions. Conditional statements. Loops. Functions and procedures.

Run time environment. Interrupt response. Interrupt processing. Interrupt Handling schemes,

Examples of Interrupt Handlers.

Thumb programmer’s model. Thumb Instruction set. Thumb implementation. AMBA Overview,

Typical AMAB Based Microcontroller, AHB bus features, AHB Bus transfers, APB bus transfers and

APB Bridge.

Memory hierarchy. Architectural support for operating system. Memory size and speed. Cache

memory management. Operating system. ARM processor chips. Features of Raspberry Pi and its

applications.

Text Books

1. S. Furber, “ARM System Architecture”, Addison-Wesley, 1996.

2. Sloss, D.Symes & C.Wright, “ARM system Developer’s guide-Designing and Optimizing System Software”, Elsevier.2005.

Reference Books 1. Technical reference manual for ARM processor cores, including Cortex, ARM 11, ARM 9 &

ARM 7 processor families.

2. User guides and reference manuals for ARM software development and modelling tools.

David Seal, ARM Architecture Reference Manual, Addison-Wesley.

3. The Definitive Guide to ARM® Cortex®-M3 and Cortex®-M4 Processors, Third Edition by

Joseph Yiu, Elsevier 2015

4. Recent literature in ARM System Architecture.

Course outcomes

At the end of the course student will be able to

CO1: understand the programmer’s model of ARM processor and test the assembly

level programming.

CO2: analyze various types of coprocessors and design suitable co-processor interface to ARM

processor.

CO3: analyze floating point processor architecture and its architectural support for higher level

language.

CO4: become aware of the Thumb mode of operation of ARM.

CO5: identify the architectural support of ARM for operating system and analyze the

function of memory Management unit of ARM.

Page 75: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

74 | P a g e

Course Code : ECOE20

Course Title : LOW POWER VLSI CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECPC23

Course Type : OE

Course learning Objective

To expose the students to the low voltage device modelling, low voltage, low power VLSI

CMOS circuit design.

Course content

CMOS fabrication process, Shallow trench isolation. Lightly-doped drain. Buried channel.

Fabrication process of BiCMOS and SOI CMOS technologies.

Modeling of CMOS devices parameters. Threshold voltage, Body effect, Short channel and Narrow

channel effects, Electron temperature, and MOS capacitance.

CMOS inverters, static logic circuits of CMOS, pass transistor, BiCMOS, SOI CMOS and low

power CMOS techniques.

Basic concepts of dynamic logic circuits. Various problems associated with dynamic logic circuits.

Differential, BiCMOS and low voltage dynamic logic circuits.

CMOS memory circuits, Decoders, sense amplifiers, SRAM architecture. Low voltage SRAM

techniques.

Text Books

1.Jan Rabaey,”Low Power Design Essentials (Integrated Circuits and Systems)”, Springer,2009

2.J.B.Kuo&J.H.Lou,”Low-voltage CMOS VLSI Circuits”, Wiley, 1999.

Reference Book

1.A.Bellaowar&M.I.Elmasry,”Low power Digital VLSI Design, Circuits and Systems”, Kluwer, 1996. 2.Recent literature in Low Power VLSI Circuits.

Course outcomes

At the end of the course student will be able

CO1: acquire the knowledge about various CMOS fabrication process and its modeling.

CO2: infer about the second order effects of MOS transistor characteristics.

CO3: analyze and implement various CMOS static logic circuits.

CO4: learn the design of various CMOS dynamic logic circuits.

CO5: learn the different types of memory circuits and their design.

Page 76: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

75 | P a g e

Course Code : ECOE21

Course Title : COMPUTER VISION AND MACHINE LEARNING

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course Learning Objectives

Be familiar with the theoretical aspects of computing with images;

Describe the foundation of image formation, measurement, and analysis;

Course Content

Computer Vision and Computer Graphics, Computer Vision - Low-level, Mid-level, High-level,

Diverse Computer Vision Applications: Document Image Analysis, Biometrics, Object Recognition,

Tracking, Medical Image Analysis, Content-Based Image Retrieval, Video Data Processing.

Segmentation -Object Recognition, Activity Recognition, and Gesture Recognition - Image features:

Colour, Shape, Texture Shape orientation descriptors – SIFT, SURF, Viola Jones Feature detectors,

Harris. Integral Histogram.

Adaboost: concept of ensemble of classifiers; basic algorithm; case study- Face detection Artificial

Immune Systems Fuzzy belief networks, Evolving belief networks Bayesian belief networks

Evolutionary and swarm-based neural networks.

Machine learning: classification, Machine learning: clustering, Machine learning: classification.

Logistic regression Bayesian logistic regression Non-linear logistic regression Dual logistic regression

Kernel logistic regression, Incremental fitting and boosting.

Reinforcement learning - Classification trees- Multi-class logistic regression Random trees, Random

forests, Applications. Introduction to Deep Learning.

Text Books

1. Richard Szeliski, “Computer Vision: Algorithms and Applications”, Springer, 2010.

2. D. Forsyth and J. Ponce,”Computer Vision - A modern approach”, Prentice Hall, 2002.

Reference Books

1. Richard Hartley and Andrew Zisser man, Multiple view geometry in computer vision 2nd

edition, Cambridge University press, 2004.

2. E Davies, “Computer and Machine Vision, Algorithms, Practicalities”, 4th Edition, Elsevier,

2012.

Course outcomes

At the end of the course student will be able

CO1: learn the basics of computer vision.

CO2: learn the vision features.

CO3: understand issue of segmentation in computer vision algorithms.

CO4: study the basics of Machine learning.

CO5: know the design of Deep learning architectures.

Page 77: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

76 | P a g e

Course Code : ECOE22

Course Title : TEXT DATA MINING

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : OE

Course Learning Objective

To understand the role played by text mining in Information retrieval and extraction.

Course content Data, information and knowledge, Models of knowledge representation information retrieval and data

mining -relevance, association rules, and knowledge discovery. Conceptual models of an information

retrieval and knowledge discovery system. Information extraction- prediction and evaluation-Textual information to numerical vectors - Types

and tokens, Document similarity Vector space models, TF-IDF weighting Indexing, Boolean search

Evaluation of IR systems Ranked retrieval Relevance feedback. Text Categorization – Definition – Document Representation –Feature Selection - Decision Tree

Classifiers - Rule-based Classifiers - Probabilistic and Naive Bayes Classifiers - Linear Classifiers-

Clustering –Definition- Distance-based Algorithms- Word and Phrase-based Clustering -Semi-

Supervised Clustering - Transfer Learning. Naive Bayes - k Nearest Neigh bor (kNN) - Logistic

Regression-Decision Trees. Connectivity-based clustering and centroid-based clustering. Probabilistic Models for Text Mining -Mixture Models - Stochastic Processes in Bayesian

Nonparametric Models - Graphical Models - Relationship Between Clustering, Dimension Reduction

and Topic Modelling - Latent Semantic Indexing - Probabilistic Latent Semantic Indexing -Latent

Dirichlet Allocation- Probabilistic Document Clustering and Topic Models - Probabilistic Models for

Information Extraction - Hidden Markov Models- Maximal Entropy Modelling - Maximal Entropy

Markov Models -Conditional Random Fields. Visualization Approaches - Architectural Considerations - Visualization Techniques in Link Analysis

- Example- Mining Text Streams - Text Mining in Multimedia - Text Analytics in Social Media -

Opinion Mining and Sentiment Analysis - Document Sentiment Classification Aspect-Based

Sentiment Analysis - Opinion Spam Detection – Text Mining Applications and Case studies. Text Books

1. Sholom Weiss, Nitin Indurkhya, Tong Zhang, Fred Damerau “The Text Mining Handbook:

Advanced Approaches in Analyzing Unstructured Data”, Springer, paperback 2010.

2. Ronen Feldman, James Sanger -“ The Text Mining Handbook: Advanced Approaches in

Analyzing Unstructured Data”-Cambridge University press, 2006.

Reference Books

1. Charu C. Aggarwal, Cheng Xiang Zhai, Mining Text Data, Springer; 2012.

Course Outcomes

Upon completion of the course, the students will be able to

CO1: know about the basics of text mining.

CO2: Identify the different features that can be mined from text and web documents.

CO3: learn about text classification.

CO4: learn to improve the efficiency of features and reduce the dimensionality.

CO5: understand the basics of recent advances in text classification.

Page 78: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

77 | P a g e

Course Code : ECOE23

Course Title : INTERNET OF THINGS

Number of Credits 3

Prerequisites (Course

code)

: CSIR11, C/C++ and Python Programming skills

Course Type : OE

Course Learning Objective

To understand basics of an IOT System, IoT sensors, IoT hardware and communication

protocols, data storage, data analysis and use them for real time IoT enabled domains.

Introduction to IoT and IoT levels

Functional blocks of an IoT system - Basics of Physical and logical design of IoT - IoT enabled domains

- Difference between IoT, Embedded Systems and M2M - Industry 4.0 concepts

IoT sensors and hardware

Passive and active sensors - Different applications of sensors - Multi-sensors - Pre-processing - IoT

front-end hardware

Introduction to IoT protocols

Infrastructure - Communication/ Transport - Data Protocols: MQTT, CoAP, AMQP, Websocket, Node

IoT Cloud and data analytics

Collecting data from sensors - Data Ingress - Cloud storage - Data analytics for IoT - Software and

management tool for IoT - Dashboard design

IoT architectures with case studies

Business models for IoT - smart cities – agriculture – healthcare - industry.

Case studies/Mini projects for the real time IoT applications.

Text Books 1. Arshdeep Bahga, Vijay Madisetti, “Internet of Things – A hands-on approach”, Universities

Press, 2015.

Reference Books

1. Raj kamal, Internet of Things, Architecture and Design Principles, McGraw-Hill, 2017

2. Manoel Carlos Ramon, “Intel® Galileo and Intel® Galileo Gen 2: API Features and

Arduino Projects for Linux Programmers”, Apress, 2014.H.Gerez, “Algorithms for VLSI

Design Automation”, John Wiley, 1999.

3. Marco Schwartz, “Internet of Things with the Arduino Yun”, Packt Publishing, 2014.

COURSEOUTCOMES Students are ableto

CO1: understand basic premise of an IOT System

CO2 : be familiar with the sensors available for IoT applications

CO3 : learn the front-end hardware platforms and communication protocols for IoT.

CO4 : understand cloud storage, data analysis and management

CO5 : usage for real time IoT enabled domains

Page 79: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

78 | P a g e

Course Code : ECMI10

Course Title : SIGNALS AND SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : MI

Course Learning Objectives

To make the students to understand the fundamental characteristics of signals and systems in terms of both the time and transform domains

Development of the mathematical skills to solve problems involving convolution, filtering, modulation and sampling.

Course Content Definition of Signals and Systems, Classification of Signals, Operations on signals, Singularity

functions and related functions. Analogy between vectors and signals - orthogonal signal space,

complete set of orthogonal functions, Parseval’s relations. Fourier series representation of continuous

time periodic signals -Trigonometric and Exponential Fourier series- Properties of Fourier series.

Fourier transform of aperiodic signals, standard signals and periodic signals - Properties of Fourier

transforms. Hilbert transform and its properties. Laplace transforms-RoC-properties. Inverse Laplace

transform.

Continuous-time Systems and its properties. Linear time invariant (LTI) system-Impulse response.

Convolution. Analysis of LTI System using Laplace and Fourier transforms.

Sampling and reconstruction of band limited signals. Low pass and band pass sampling theorems.

Aliasing. Anti-aliasing filter. Practical Sampling-aperture effect.

Discrete-time signals and systems. Discrete Fourier series. Z-transform and its properties. Analysis of

LSI systems using Z – transform.

Text Books 1. A.V.Oppenheim, A. Willsky, S. Hamid Nawab, “Signals and Systems (2/e)”, Pearson 200. 2. S.Haykin and B.VanVeen “Signals and Systems, Wiley, 1998.

Reference Books 1. M.Mandal and A.Asif, “Continuous and Discrete Time Signals and Systems, Cambridge,

2007. 2. D.C.Lay, “Linear Algebra and its Applications (2/e)”, Pearson, 200. 3. S.S.Soliman & M.D.Srinath, “Continuous and Discrete Signals and Systems”, Prentice- Hall,

1990.

Course outcomes At the end of the course student will be able to

CO1: Understand the mathematical description and representation of continuous-time and discrete-time signals.

CO2: Analyze the spectral characteristics of continuous-time periodic and aperiodic signals using Fourier analysis.

CO3: Analyse system properties based on impulse response and Fourier analysis

CO4: Convert a continuous time signal into discrete time signal and reconstruct the continuous time signals back from its samples

CO5: Apply the Laplace transform and Z- transform respectively for the analyse of continuous-time and discrete-time signals.

Page 80: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

79 | P a g e

Course Code : ECMI11

Course Title : NETWORK ANALYSIS AND SYNTHESIS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : MI

Course Learning Objectives

To make the students capable of analysing any given electrical network.

To make the students to learn synthesis of an electrical network for a given impedance/ admittance function.

Course Content Network concept. Elements and sources. Kirchhoff’s laws. Tellegen’s theorem. Network equilibrium equations. Node and Mesh method. Source superposition. Thevenin’s and Norton’s theorems. Network graphs.

First and second order networks. State equations. Transient response. Network functions. Determination of the natural frequencies and mode vectors from network functions.

Sinusoidal steady-state analysis. Maximum power-transfer theorem. Resonance. Equivalent and dual networks. Design of equalizers.

Two-port network parameters. Interconnection of two port networks. Barlett’s bisection theorem.

Image and Iterative parameters. Design of attenuators.

Two-terminal network synthesis. Properties of Hurwitz polynomial and Positive real function. Synthesis of LC, RC and RL Networks, Foster Forms and Cauer Forms.

Text Books 1. Hayt W. H., Kemmerly J. E. and Durbin S. M., “Engineering Circuit Analysis”, 6th Ed., Tata

McGraw-Hill Publishing Company Ltd., 2008. 2. F.F. Kuo, “Network analysis and Synthesis”, Wiley International Edition, 2008.

Reference Books 1. Valkenberg V., “Network Analysis”, 3rd Ed., Prentice Hall International Edition, 2007. 2. B.S.Nair and S.R.Deepa, “Network analysis and Synthesis”, Elsevier, 2012.

Course outcomes At the end of the course student will be able

CO1: analyze the electric circuit using network theorems CO2: understand and Obtain Transient & Forced response CO3: determine Sinusoidal steady state response; understand the real time applications of maximum power transfer theorem and equalizer CO4: understand the two–port network parameters, are able to find out two-port network parameters & overall response for interconnection of two-port networks. CO5: synthesize one port network using Foster form, Cauer form.

Page 81: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

80 | P a g e

Course Code : ECMI12

Course Title : ELECTRODYNAMICS AND ELECTROMAGNETIC WAVES

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : MI

Course Learning Objective

To expose the students to the rudiments of Electromagnetic theory and wave propagation essential for subsequent courses on microwave engineering, antennas and wireless communication

Course Content Electrostatics. Coulomb’s law. Gauss’s law and applications. Electric potential. Poisson’s and Laplace equations. Method of images. Multipole Expansion.

Electrostatic fields in matter. Dielectrics and electric polarization. Capacitors with dielectric substrates. Linear dielectrics. Force and energy in dielectric systems.

Magneto statics. Magnetic fields of steady currents. Biot-Savart’s and Ampere’s laws. Magnetic vector potential. Magnetic properties of matter.

Electrodynamics. Flux rule for motional emf. Faraday’s law. Self and mutual inductances. Maxwell’s

Equations. Electromagnetic Boundary conditions. Poynting theorem.

Electromagnetic wave propagation. Uniform plane waves. Wave polarization. Waves in matter. Reflection and transmission at boundaries. Propagation in an ionized medium.

Text Books 1. D.J.Griffiths, “Introduction to Electrodynamics (3/e)”, PHI, 2001 2. E.C. Jordan & G. Balmain, “Electromagnetic Waves and Radiating Systems”, PHI, 1995.

Reference Books 1. W.H.Hayt, “Engineering Electromagnetics, (7/e)”, McGraw Hill, 2006. 2. D.K.Cheng, “Field and Wave Electromagnetics, (2/e)”, Addison Wesley, 1999.

3. M.N.O.Sadiku, ”Principles of Electromagnetics, (4/e)”, Oxford University Press, 2011. 4. N.Narayana Rao, “Elements of Engineering Electromagnetics, (6/e)”, Pearson, 2006. 5. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, McGraw –Hill, 2002. 6. R.E.Collin, “Antennas and Radiowave Propagation”, McGraw-Hill, 1985.

Course outcomes

At the end of the course student will be able CO1: recognize and classify the basic Electrostatic theorems and laws and to derive them. CO2: discuss the behaviour of Electric fields in matter and Polarization concepts. CO3: classify the basic Magneto static theorems and laws and infer the magnetic properties of matter. CO4: summarize the concepts of electrodynamics &to derive and discuss the Maxwell’s equations. CO5: students are expected to be familiar with Electromagnetic wave propagation and wave polarization.

Page 82: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

81 | P a g e

Course Code : ECMI13

Course Title : SEMICONDUCTOR PHYSICS AND DEVICES

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : MI

Course Learning Objective

To make the students understand the fundamentals of electronic devices.

To train them to apply these devices in mostly used and important applications.

Course Content Semiconductor materials: crystal growth, film formation, lithography, etching and doping. Formation

of energy bands in solids, Concept of hole, Intrinsic and extrinsic semiconductors, conductivity,

Equilibrium Carrier concentration, Density of states and Fermi level, Carrier transport – Drift and

Diffusion, continuity equation, Hall effect and its applications.

P-N junction diodes, Energy band diagram, biasing, V-I characteristics, capacitances. Diode models,

Break down Mechanisms, Rectifiers, Limiting and Clamping Circuits, types of diodes.

BJT Physics and Characteristics modes of operation, Ebers-Moll Model, BJT as a switch and

Amplifier, breakdown mechanisms, Photo devices.

MOSFET: Ideal I-V characteristics, non-ideal I-V effects, MOS Capacitor, MOSFET as switch,

CMOS Logic gate Circuits, Bi-CMOS circuits, CCDs.

State-of-the-art MOS technology: small-geometry effects, FinFETs, Ultrathin body FETs. Display

devices, Operation of LCDs, Plasma, LED and HDTV

Text Books 1. S.M.Sze, Semiconductors Devices, Physics and Technology, (2/e), Wiley, 2002

2. A.S.Sedra & K.C.Smith, Microelectronic Circuits (5/e), Oxford, 2004

Reference Books 1. L.Macdonald & A.C.Lowe, Display Systems, Wiley, 2003Robert Pierret, “Semiconductor

Device Fundamentals,” Pearson Education, 2006

2. J.Millman and C.C.Halkias: Electronic devices and Circuits, McGraw Hill, 1976.

3. B.G.Streetman: Solid state devices, (4/e), PHI, 1995.

4. N.H.E.Weste, D. Harris, “CMOS VLSI Design (3/e)”, Pearson, 2005.

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of basic semiconductor material physics and understand fabrication processes. CO2: Analyze the characteristics of various electronic devices like diode, transistor etc., CO3: Classify and analyze the various circuit configurations of Transistor and MOSFETs. CO4: Illustrate the qualitative knowledge of Power electronic Devices. CO5: Become Aware of the latest technological changes in Display Devices.

Page 83: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

82 | P a g e

Course Code : ECMI14

Course Title : DIGITAL CIRCUITS AND SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : MI Course Learning Objective

To introduce the theoretical and circuit aspects of digital electronics, which is the back bone for the basics of the hardware aspect of digital computers

Course Content Review of number systems-representation-conversions, error detection and error correction. Review of Boolean algebra- theorems, sum of product and product of sum simplification, canonical forms-minterm and maxterm, Simplification of Boolean expressions-Karnaugh map, completely and incompletely specified functions, Implementation of Boolean expressions using universal gates. Combinational logic circuits- adders, subtractors, BCD adder, ripple carry look ahead adders, parity generator, decoders, encoders, multiplexers, DE multiplexers, Realization of Boolean expressions- using decoders-using multiplexers. Memories – ROM- organization, expansion. PROMs. Types of RAMs – Basic structure, organization, Static and dynamic RAMs, PLDs, PLAs. Sequential circuits – latches, flip flops, edge triggering, asynchronous inputs. Shift registers, Universal shift register, applications. Binary counters – Synchronous and asynchronous up/down counters, mod-N counter, Counters for random sequence. Synchronous circuit analysis and design: structure and operation, analysis-transition equations, state tables and state diagrams, Modelling- Moore machine and Mealy machine- serial binary adder, sequence recogniser, state table reduction, state assignment. Hazard; Overview and comparison of logic families. Introduction to Verilog HDL, Structural, Dataflow and behavioural modelling of combinational and sequential logic circuits. Text Books

1. Wakerly J F, “Digital Design: Principles and Practices, Prentice-Hall”, 2nd Ed., 2002. 2. D. D. Givone, “Digital Principles and Design”, Tata Mc-Graw Hill, New Delhi, 2003.

Reference Books

1. S.Brown and Z.Vranesic, “Fundamentals of Digital Logic with Verilog Design”, Tata Mc-Graw Hill, 2008.

2. D.P. Leach, A. P. Malvino, GoutamGuha, “Digital Principles and Applications”, Tata Mc-Graw Hill, New Delhi, 2011.

3. M. M. Mano, “Digital Design”, 3rd ed., Pearson Education, Delhi, 2003. 4. R.J.Tocci and N.S.Widner, “Digital Systems - Principles& Applications”, PHI, 10th Ed.,

2007. 5. Roth C.H., “Fundamentals of Logic Design”, Jaico Publishers. V Ed., 2009. 6. T. L. Floyd and Jain,”Digital Fundamentals”, 8th ed., Pearson Education, 2003.

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of Boolean algebra and simplification of Boolean expressions to deduce optimal digital networks. CO2: Study and examine the SSI, MSI and Programmable combinational networks. CO3: Study and investigate the sequential networks suing counters and shift registers; summarize the performance of logic families with respect to their speed, power consumption, number of ICs and cost. CO4: Work out SSI and MSI digital networks given a state diagram based on Mealy and Moore configurations. CO5: Code combinational and sequential networks using Virology HDL.

Page 84: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

83 | P a g e

Course Code : ECMI15

Course Title : DIGITAL SIGNAL PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: ECMI10

Course Type : MI

Course Learning Objective

To study about discrete-time Fourier transform (DTFT), the concepts of frequency response

characteristics of a discrete-time systems, DFT and its fast computation.

To make the students able to design digital filters (FIR and IIR) and implement in various

forms.

To study and understand the concept of multirate DSP systems and its applications

Course Content

Review of LSI system, DTFT, Frequency response of discrete time systems, all pass inverse, linear phase and minimum phase systems.

DFT, Relationship of DFT to other transforms, FFT, DIT and DIF, FFT algorithm, Linear filtering using DFT and FFT.

Characteristics of FIR Digital Filters, types and frequency response - Design of FIR digital filters using

window techniques and frequency sampling technique - basic structures and lattice structure for FIR

systems. Analog filter approximations – Butter worth and Chebyshev, Design of IIR Digital filters from analog

filters, Analog and Digital frequency transformations - Basic structures of IIR systems, Transposed

forms. Sampling rate conversion by an integer and rational factor, Poly phase FIR structures for sampling rate conversion.

Text Books 1. J.G.Proakis, D.G. Manolakis, “Digital Signal Processing”, (4/e) Pearson, 2007. 2. A.V.Oppenheim & R.W.Schafer, “Discrete Time Signal processing", (2/e), Pearson

Education, 2003.

Reference Books 1. S.K.Mitra, “Digital Signal Processing (3/e)”, Tata McGraw Hill, 2006. 2. P.S.R.Diniz, E.A.B.da Silva and S.L.Netto, “Digital Signal Processing”, Cambridge, 2002. 3. E.C.Ifeachor & B.W.Jervis, “Digital Signal Processing”, (2/e), Pearson Education, 2002. 4. J.R.Jhonson, “Introduction to Digital Signal Processing”, Prentice-Hall, 1989.

Course outcomes

At the end of the course student will be able to CO1: analyze discrete-time systems in both time & transform domain and also through pole-zero placement. CO2: analyze discrete-time signals and systems using DFT and FFT. CO3: design and implement digital finite impulse response (FIR) filters. CO4: design and implement digital infinite impulse response (IIR) filters.

CO5: understand and develop multirate digital signal processing systems.

Page 85: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

84 | P a g e

Course Code : ECMI16

Course Title : TRANSMISSION LINES AND WAVEGUIDES

Number of Credits 3

Prerequisites

(Course code)

: ECMI12

Course Type : MI

Course Learning Objective

To expose students to the complete fundamentals and essential feature of waveguides, resonators and microwave components and also able to give an introduction to microwave integrated circuit design.

Course Content

Classification of guided wave solutions-TE, TM and TEM waves. Field analysis transmission lines.

Rectangular and circular waveguides. Excitation of waveguides. Rectangular and circular cavity

resonators.

Transmission line equations. Voltage and current waves. Solutions for different terminations. Transmission-line loading.

Impedance transformation and matching. Smith Chart, Quarter-wave and half-wave transformers. Binomial and T-chebeyshev transformers. Single, double and triple stub matching.

Microstriplines, stripline, slot lines, coplanar waveguide and fin line. Micro strip MIC design aspects. Computer- aided analysis and synthesis.

Text Books 1. D.M.Pozar, “Microwave Engineering (3/e)” Wiley, 2004. 2. J.D.Ryder, “Networks, Lines and Fields”, PHI, 2003.

Reference Books 1. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, McGraw-Hill, 2002. 2. S.Y.Liao, “Microwave Devices and Circuits”, (3/e) PHI, 2005. 3. J. A. Seeger, “Microwave Theory, Components, and Devices” Prentice-Hall-A division of

Simon & Schuster Inc Englewood Cliffs, New Jersy 07632, 1986.

Course outcomes

At the end of the course student will be able

CO1: classify the Guided Wave solutions -TE, TM, and TEM. CO2: analyze and design rectangular waveguides and understand the propagation of electromagnetic waves. CO3: evaluate the resonance frequency of cavity Resonators and the associated modal field. CO4: analyze the transmission lines and their parameters using the Smith Chart. CO5: apply the knowledge to understand various planar transmission lines.

Page 86: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

85 | P a g e

Course Code : ECMI17

Course Title : ELECTRONIC CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECMI13

Course Type : MI

Course Learning Objective

To make the students understand the fundamentals of electronic circuits.

Course Content

Load line, operating point, biasing methods for BJT and MOSFET. Low frequency and high models

of BJT and MOSFET, Small signal Analysis of CE, CS, CD and Cascade amplifier

MOSFET amplifiers: Current mirrors: Basic current mirror, Cascade current mirror, Single-ended

amplifiers: CS amplifier – with resistive load, diode connected load, current source load, triode load,

source degeneration. CG and CD amplifiers, Cascade amplifier,

Frequency response of amplifiers, Differential Amplifiers, CMRR, Differential amplifiers with active

load, two stage amplifiers

Feedback concept, Properties, Feedback amplifiers, Stability analysis, Condition for oscillation,

Sinusoidal oscillators.

Power amplifiers- class A, class B, class AB, Biasing circuits, class C and class D

Text Books 1. A.S.Sedra & K.C.Smith, “Microelectronic Circuits (5/e)”, Oxford, 2004.

2. D.L.Schilling & C.Belove, ”Electronic Circuits: Discrete and Integrated”, (3/e), McGraw

Hill, 1989.

Reference Books 1. Behzad Razavi, “Design of Analog CMOS Integrated Circuits”, (2/e), McGraw Hill, 2017.

2. Millman&A., “Microelectronics”, McGraw Hill, 1987.

3. K.V.Ramanan, “Functional Electronics”, Tata McGraw Hill, 1984.

Course outcomes

At the end of the course student will be able

CO1: illustrate about rectifiers, transistor and FET amplifiers and its biasing. Also compare the performances of its low frequency models. CO 2: discuss about the frequency response of MOSFET and BJT amplifiers.

CO 3: illustrate about MOS and BJT differential amplifiers and its characteristics. CO4: discuss about the feedback concepts and construct feedback amplifiers and oscillators. Also summarizes its performance parameters. CO 5: explain about power amplifiers and its types and also analyze its characteristics.

Page 87: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

86 | P a g e

Course Code : ECMI18

Course Title : MICROPROCESSORS AND MICRO CONTROLLERS

Number of Credits 3

Prerequisites

(Course code)

: ECMI14

Course Type : MI

Course Learning Objective

This subject deals about the basics of 16-bit Microprocessor, 8-bit and 16-bit Micro

controllers, their architectures, internal organization and their functions, peripherals, and

interfacing.

Course Content

Microprocessor based personal computer system. Software model of 8086. Segmented memory

operation. Instruction set. Addressing modes. Assembly language programming. Interrupts.

Programming with DOS and BIOS function calls.

Hardware detail of 8086. . Bus timing. Minimum Vs Maximum mode of operation. Memory interface.

Parallel and serial data transfer methods. 8255 PPI chip. 8259 Interrupt controller. 8237 DMA

controller.

Microcontroller. Von-Neumann Vs Harvard architecture. Programming model. Instruction set of 8051

Microcontroller. Addressing modes. Programming. Timer operation.

Mixed Signal Microcontroller: MSP430 series. Block diagram. Address space. On-chip peripherals -

analog and digital. Register sets. Addressing Modes. Instruction set. Programming. FRAM Vs flash

for low power and reliability.

Peripheral Interfacing using 8051 and Mixed signal microcontroller. Serial data transfer - UART, SPI

and I2C. Interrupts. I/O ports and port expansion. DAC, ADC, PWM, DC motor, Stepper motor and

LCD interfacing.

Text Books 1. J.L.Antonakos, “An Introduction to the Intel Family of Microprocessors”, Pearson, 1999.

2. M.A.Mazidi & J.C.Mazidi “Microcontroller and Embedded systems using Assembly & C.

(2/e)”, Pearson Education, 2007.

Reference Books 1.John H. Davies, “MSP430 Microcontroller Basics”, Elsevier Ltd., 2008

2.B.B. Brey, “The Intel Microprocessors, (7/e), Eastern Economy Edition”, 2006.

3. K.J. Ayala, “The 8051 Microcontroller “, (3/e), Thomson Delmar Learning, 2004.

4. I. S. MacKenzie and R.C.W.Phan., “The 8051 Microcontroller. (4/e)”, Pearson education, 2008.

Course outcomes At the end of the course student will be able

CO1: recall and apply the basic concept of digital fundamentals to Microprocessor based

personal computer system.

CO2: identify the detailed s/w & h/w structure of the Microprocessor.

CO3: illustrate how the different peripherals are interfaced with Microprocessor.

CO4: distinguish and analyze the properties of Microprocessors & Microcontrollers.

CO5: analyze the data transfer information through serial & parallel ports.

Page 88: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

87 | P a g e

Course Code : ECMI19

Course Title : DIGITAL SIGNAL PROCESSORS AND APPLICATIONS

Number of Credits 3

Prerequisites

(Course code)

: ECMI15

Course Type : MI

Course Learning Objective

To give an exposure to the various fixed point and floating point DSP architectures, to

understand the techniques to interface sensors and I/O circuits and to implement applications

using these processors.

Course Content

Fixed-point DSP architectures. Basic Signal processing system. Need for DSPs. Difference between

DSP and other processor architectures. TMS320C54X, ADSP21XX, DSP56XX architecture details.

Addressing modes. Control and repeat operations. Interrupts. Pipeline operation. Memory Map and

Buses.

Floating-point DSP architectures. TMS320C3X, DSP96XX architectures. Cache architecture.

Floating-point Data formats. On-chip peripherals. Memory Map and Buses.

On-chip peripherals. Hardware details and its programming. Clock generator with PLL. Serial port.

McBSP. Parallel port. DMA. EMIF. I2C. Real-time-clock (RTC). Watchdog timer.

Interfacing. Serial interface- Audio codec. Sensors - Humidity/temperature sensor, flow sensor,

accelerometer, pulse sensor and finger print scanner. A/D and D/A interfaces. Parallel interface-

Memory interface. RF transceiver interface – Wi-Fi and Zigbee modules.

DSP tools and applications. Implementation of Filters, DFT, QPSK Modem, Speech processing. Video

processing, Video Encoding /Decoding. Biometrics. Machine Vision. High performance computing

(HPC).

Text Books 1. B.Venkataramani & M.Bhaskar, “Digital Signal Processor, Architecture, Programming and

Applications”,(2/e), McGraw- Hill,2010 2. S.Srinivasan & Avtar Singh, “Digital Signal Processing, Implementations using DSP

Microprocessors with Examples from TMS320C54X”, Brooks/Cole, 2004.

Reference Books 1. S.M.Kuo & W.S.S.Gan,” Digital Signal Processors: Architectures, Implementations, and

Applications”, Printice Hall, 2004 2. C.Marven & G.Ewers, “A Simple approach to digital signal processing”, Wiley Inter science,

1996. 3. R.A.Haddad & T.W.Parson, “Digital Signal Processing: Theory, Applications and

Hardware”, Computer Science Press NY, 1991. Course outcomes

At the end of the course student will be able

CO1: learn the architecture details of fixed point DSPs.

CO2: learn the architecture details of floating point DSPs

CO3: infer about the control instructions, interrupts, pipeline operations, memory and buses.

CO4: illustrate the features of on-chip peripheral devices and its interfacing with real time

application devices.

CO5: learn to implement the signal processing algorithms and applications in DSPs

Page 89: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

88 | P a g e

Course Code : ECMI20

Course Title : ANALOG COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECMI10

Course Type : MI

Course Learning Objective

To develop a fundamental understanding on Communication Systems with emphasis on analog modulation techniques and noise performance.

Course Content Basic blocks of Communication System. Amplitude (Linear) Modulation – AM, DSB-SC, SSB-SC and VSB-SC. Methods of generation and detection. FDM. Super Heterodyne Receivers.

Angle (Non-Linear) Modulation - Frequency and Phase modulation. Transmission Bandwidth of FM signals, Methods of generation and detection. FM Stereo Multiplexing.

Noise - Internal and External Noise, Noise Calculation, Noise Figure. Noise in linear and nonlinear AM receivers, Threshold effect.

Noise in FM receivers, Threshold effect, Capture effect, FM Threshold reduction, Pre-emphasis and De-emphasis.

Pulse Modulation techniques – Sampling Process, PAM, PWM and PPM concepts, Methods of generation and detection. TDM. Noise performance.

Text Books 1. S.Haykins, Communication Systems, Wiley, (4/e), Reprint 2009. 2. Kennedy, Davis, Electronic Communication Systems (4/e), McGraw Hill, Reprint 2008.

Reference Books 1. B.Carlson, Introduction to Communication Systems, McGraw-Hill, (4/e), 2009. 2. J.Smith, Modern Communication Circuits (2/e), McGraw Hill, 1997.

3. J.S.Beasley&G.M.Miler, Modern Electronic Communication (9/e), Prentice-Hall, 2008.

Course outcomes

At the end of the course student will be able CO1: Understand the basics of communication system and analog modulation techniques CO2: Apply the basic knowledge of signals and systems and understand the concept of Frequency modulation. CO3: Apply the basic knowledge of electronic circuits and understand the effect of Noise in communication system and noise performance of AM system CO4: Understand the effect of noise performance of FM system. CO5: Understand TDM and Pulse Modulation techniques.

Page 90: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

89 | P a g e

Course Code : ECMI21

Course Title : ANTENNAS AND PROPAGATION

Number of Credits 3

Prerequisites

(Course code)

: ECMI12

Course Type : MI

Course Learning Objective

To impart knowledge on basics of antenna theory and to analyze and design a start of art antenna for wireless communications.

Course Content Radiation fundamentals. Potential theory. Helmholtz integrals. Radiation from a current element. Basic antenna parameters. Radiation field of an arbitrary current distribution. Small loop antennas.

Receiving antenna. Reciprocity relations. Receiving cross section, and its relation to gain. Reception of completely polarized waves. Linear antennas. Current distribution. Radiation field of a thin dipole. Folded dipole. Feeding methods. Baluns.

Antenna arrays. Array factorization. Array parameters. Broad side and end fire arrays. Yagi-Uda arrays Log-periodic arrays.

Aperture antennas. Fields as sources of radiation. Horn antennas. Babinet’s principle. Parabolic reflector antenna. Microstrip antennas. Wave Propagation: Propagation in free space. Propagation around the earth, surface wave propagation, structure of the ionosphere, propagation of plane waves in ionized medium, Determination of critical frequency, MUF. Fading, tropospheric propagation, Super refraction.

Text Books 1. R.E.Collin, “Antennas and Radio Wave Propagation”, McGraw – Hill, 1985. 2. W.L.Stutzman & G.A.Thiele, “Antenna Theory and Design”, Wiley.

Reference Books 1. K.F.Lee, “Principles of Antenna Theory”, Wiley, 1984. 2. F.E. Terman, “Electronic Radio Engineering (4/e)”, McGraw Hill. 3. J.R. James, P. S. Hall, and C. Wood, “Microstrip Antenna Theory and Design”, IEE, 1981. 4. C.A.Balanis, “Modern Antenna Handbook”, Wiley India Pvt. Limited, 2008.

Course outcomes

At the end of the course student will be able CO1: select the appropriate portion of electromagnetic theory and its application to antennas.

CO2: distinguish the receiving antennas from transmitting antennas, analyze and justify their characteristics. CO3: assess the need for antenna arrays and mathematically analyze the types of antenna arrays. CO4: distinguish primary from secondary antennas and analyze their characteristics by applying optics and acoustics principles. CO5: outline the factors involved in the propagation of radio waves using practical antennas.

Page 91: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

90 | P a g e

Course Code : ECMI22

Course Title : ANALOG INTEGRATED CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECMI17

Course Type : MI

Course Learning Objective

To introduce the theoretical & circuit aspects of an Op-amp.

Course Content Operational Amplifiers, DC and AC characteristics, typical op-amp parameters: Finite gain, finite

bandwidth, Offset voltages and currents, Common-mode rejection ratio, Power supply rejection ratio,

Slew rate, Applications of Op-amp: Precision rectifiers. Summing amplifier, Integrators and

differentiators, Log and antilog amplifiers. Instrumentation amplifiers, voltage to current converters.

Active filters: Second order filter transfer function (low pass, high pass, band pass and band reject),

Butterworth, Chebyshev and Bessel filters. Switched capacitor filter. Notch filter, all pass filters,

self-tuned filters

Opamp as a comparator, Schmitt trigger, Astable and monostable multivibrators, Triangular wave

generator, Multivibrators using 555 timer, Data converters: A/D and D/A converters

PLL- basic block diagram and operation, four quadrant multipliers. Phase detector, VCO, Applications

of PLL:Frequency synthesizers, AM detection, FM detection and FSK demodulation.

CMOS differential amplifiers: DC analysis and small signal analysis of differential amplifier with

Restive load, current mirror load and current source load, Input common-mode range and Common-

mode feedback circuits. OTAs vsOpamps. Slew rate, CMRR, PSRR. Two stage amplifiers,

Compensation in amplifiers (Dominant pole compensation).

Text Books 1. S.Franco, Design with Operational Amplifiers and Analog Integrated

2. Circuits (3/e) TMH, 2003.

3. Sedra and Smith, Microelectronics Circuits, Oxford Univ. Press, 2004

Reference Books 1. Coughlin, Driscoll, OP-AMPS and Linear Integrated Circuits, Prentice Hall, 2001.

Course outcomes

At the end of the course student will be able CO1: infer the DC and AC characteristics of operational amplifiers and its effect on output and their compensation techniques. CO2: elucidate and design the linear and nonlinear applications of an op-amp and special application ICs. CO3: explain and compare the working of multi vibrators using special application IC 555 and general purpose op-amp. CO4: classify and comprehend the working principle of data converters. CO5: illustrate the function of application specific ICs such as Voltage regulators, PLL and its application in communication.

Page 92: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

91 | P a g e

Course Code : ECMI23

Course Title : DIGITAL COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECMI20

Course Type : MI

Course Learning Objectives

To understand the key modules of digital communication systems with emphasis on digital modulation techniques.

To get introduced to the basics of source and channel coding/decoding and Spread Spectrum Modulation.

Course Content Base band transmission. Sampling theorem, Pulse code modulation (PCM), DM, Destination SNR in PCM systems with noise. Matched filter. Nyquist criterion for zero ISI. Optimum transmit and receive filters. Correlative Coding, M-ary PAM. Equalization- zero-forcing and basics of adaptive linear equalizers. BASK, BFSK, and BPSK- Transmitter, Receiver, Signal space diagram, Error probabilities. M-ary PSK, M-ary FSK, QAM, MSK and GMSK- Optimum detector, Signal constellation, error probability. Linear block codes-Encoding and decoding. Cyclic codes – Encoder, Syndrome Calculator. Convolutional codes – encoding, Viterbi decoding. TCM. Spread Spectrum (SS) Techniques- Direct Sequence Spread Spectrum modulation, Frequency-hop Spread Spectrum modulation - Processing gain and jamming margin.

Text Books 1. S.Haykin, “Communication Systems”, Wiley, (4/e), 2001. 2. J.G.Proakis, “Digital Communication”, Tata McGraw – Hill, (4/e), 2001.

Reference Books 1. B.Sklar, “Digital Communications: Fundamentals & Applications”, Pearson Education,

(2/e), 2001. 2. A.B.Carlson, “ Communication Systems”, McGraw Hill, 3/e,2002 3. R.E.Zimer & R.L.Peterson,” Introduction to Digital Communication”, PHI,3/e, 2001

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of signals and system and explain the conventional digital

communication system.

CO2: Apply the knowledge of statistical theory of communication and evaluate the performance

of digital communication system in the presence of noise.

CO3: Describe and analyze the performance of advance modulation techniques.

CO4: Apply the knowledge of digital electronics and describe the error control codes like block

code, cyclic code.

CO5: Describe and analyze the digital communication system with spread spectrum modulation.

Page 93: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

92 | P a g e

Course Code : ECMI24

Course Title : MICROWAVE COMPONENTS AND CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: ECMI16

Course Type : MI

Course Learning Objective The subject introduces the essential Microwave Circuit Theory and the design aspects of

Microwave Integrated Circuit components.

Course Content Scattering matrix formulation. Passive microwave devices; terminations, bends, corners, attenuators, phase changers, directional couplers and hybrid junctions. Basics and design considerations of Microstripline, strip line, coplanar waveguide, Slot line and Finline.

Microwave measurements; frequency, wavelength, VSWR. Impedance determination. S-parameter measurements. Network analyzer.

Microwave network parameters. Basic circuit elements for microwaves. Transmission line sections and stubs. Richard transformation. Kuroda identities.

MIC filter design. Low pass to high pass, band pass and band stop transformations. Realization using microstrip lines and strip lines.

Design and realization of MIC components.3 dB hybrid design. Ratrace Hybrid Ring, Backward wave directional coupler, power divider; realization using microstrip lines and strip lines.

Text Books 1. I.J.Bahl & P.Bhartia, “Microwave Solid state Circuit Design”, Wiley, 2003. 2. D.M.Pozar, “Microwave Engineering (2/e)”, Wiley, 2004.

Reference Books 1. A. Das, “Microwave Engineering”, Tata McGraw Hill, 2000 2. B.Bhat, S. K. Koul, ”Stripline like transmission lines for Microwave Integrated Circuits”,

New age International Pvt. Ltd. Publishers 2007. 3. G. Matthaei, E.M.T. Jones , L. Young , George Matthaei, Leo Young , George L. Matthaei

“Microwave filters, Impedance Matching Network, Coupling Structures (Updated)”,Hardcover, 1,096 Pages, Published 1980 by Artech House Publishers ISBN-13: 978-0-89006-099-5, ISBN: 0-89006-099-1

Course outcomes

At the end of the course student will be able CO1: Learn the basics of S parameters and use them in describing the components CO2: Expose to the Microwave Measurements Principle CO3: Realize the importance of the theory of Microwave circuit theory. CO4: Work out the complete design aspects of various M.I.C. Filters CO5: Confidently design all M.I.C. components to meet the industry standard

Page 94: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

93 | P a g e

Course Code : ECMI25

Course Title : VLSI SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECMI14

Course Type : MI

Course Learning Objective

To introduce various aspects of VLSI circuits and their design including testing.

Course Content VLSI design methodology, VLSI technology- NMOS, CMOS and BICMOS circuit fabrication. Layout design rules. Stick diagram. Latch up.

Characteristics of MOS and CMOS switches. Implementation of logic circuits using MOS and CMOS technology, multiplexers and memory, MOS transistors, threshold voltage, MOS device design equations. MOS models, small-signal AC analysis. CMOS inverters, propagation delay of inverters, Pseudo NMOS, Dynamic CMOS logic circuits, power dissipation.

Programmable logic devices- anti-fuse, EPROM and SRAM techniques. Programmable logic cells. Programmable inversion and expander logic. Computation of interconnect delay, Techniques for driving large off-chip capacitors, long lines, Computation of interconnect delays in FPGAs Implementation of PLD, EPROM, EEPROM, static and dynamic RAM in CMOS.

An overview of the features of advanced FPGAs, IP cores, Softcore processors, Various factors determining the cost of a VLSI, Comparison of ASICs, FPGAs , PDSPs and CBICs . Fault tolerant VLSI architectures

VLSI testing -need for testing, manufacturing test principles, design strategies for test, chip level and system level test techniques.

Text Books 1. N. H. E. Weste, D.F. Harris, “CMOS VLSI design”, (3/e), Pearson , 2005. 2. J. Smith, “Application Specific Integrated Circuits, Pearson”, 1997.

Reference Books 1. M.M.Vai, “VLSI design”, CRC Press, 2001. 2. Pucknell & Eshraghian, “Basic VLSI Design”, PHI, (3/e), 2003. 3. Uyemura, “Introduction to VLSI Circuits and Systems”, Wiley, 2002.

Course outcomes

At the end of the course student will be able CO1: Describe the techniques used for VLSI fabrication, design of CMOS logic circuits, switches and memory CO2: Describe the techniques used the design of CMOS logic circuits, switches and memory in VLSI CO3: Generalize the design techniques and analyze the characteristics of VLSI circuits such as area, speed and power dissipation CO4: Explain and compare the architectures for FPGA, PAL and PLDs and evaluate their characteristics such as area, power dissipation and reliability CO4: Use the advanced FPGAs to realize Digital signal processing systems CO5: Describe the techniques for fault tolerant VLSI circuits

Page 95: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

94 | P a g e

Course Code : ECMI26

Course Title : WIRELESS COMMUNICAITON

Number of Credits 3

Prerequisites

(Course code)

: ECMI23

Course Type : MI

Course Learning Objective To get an understanding of mobile radio communication principles, types and to study the recent

trends adopted in cellular and wireless systems and standards.

Course Content

Introduction to Wireless Communication. Cellular concept. System design fundamentals. Coverage and Capacity improvement in Cellular system. Technical Challenges.

Mobile Radio Propagation; Reflection, Diffraction, Fading. Multipath propagation. Statistical characterization of multipath fading. Diversity Techniques.

Path loss prediction over hilly terrain. Practical link budget design using Path loss models. Design parameters at base station. Antenna location, spacing, heights and configurations.

Multiple access techniques; FDMA, TDMA and CDMA. Spread spectrum. Power control. WCDMA. CDMA network design. OFDM and MC-CDMA.

GSM.3G, 4G (LTE), NFC systems, WLAN technology. WLL. Hyper LAN. Ad hoc networks.

Bluetooth.

Text Books: 1. T.S.Rappaport, Wireless Communication Principles (2/e), Pearson, 2002.

2. A.F.Molisch, Wireless Communications, Wiley, 2005.

Reference Books: 1. P.MuthuChidambaraNathan, Wireless Communications, PHI, 2008.

2. W.C.Y.Lee, Mobile Communication Engineering. (2/e), McGraw- Hill, 1998.

3. A.Goldsmith, Wireless Communications, Cambridge University Press, 2005.

4. S.G.Glisic, Adaptive CDMA, Wiley, 2003.

Course outcomes

At the end of the course student will be able CO1: Apply the knowledge of basic communication systems and its principles. CO2: Describe the cellular concept and analyze capacity improvement Techniques. CO3: Mathematically analyze mobile radio propagation mechanisms. CO4: Summarize diversity reception techniques.

CO5: Design Base Station (BS) parameters and analyze the antenna configurations.

Page 96: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

95 | P a g e

Course Code : ECMI27

Course Title : FIBER OPTIC COMMUNICATION

Number of Credits 3

Prerequisites

(Course code)

: ECMI12 & ECMI20

Course Type : MI

Course Learning Objective To expose the students to the basics of signal propagation through optical fibers, fiber

impairments, components and devices and system design.

Course Content Optical Fibers: Structure, Wave guiding. Step-index and graded index optical fibers. Modal analysis. Classification of modes. Single Mode Fibers.

Pulse dispersion. Material and waveguide dispersion. Polarization Mode Dispersion. Absorption, scattering and bending losses. Dispersion Shifted Fibers, Dispersion Compensating Fibers.

Optical Power Launching and Coupling. Lensing schemes for coupling improvement. Fiber-to-fiber joints. Splicing techniques. Optical fiber connectors.

Optical sources and detectors. Laser fundamentals. Semiconductor Laser basics. LEDs. PIN and Avalanche photodiodes, Optical TX/RX Circuits.

Design considerations of fiber optic systems: Analog and digital modulation. Noise in detection process. Bit error rate. Optical receiver operation. Power Budget and Rise time Budget. WDM.

Text Books 1. G.Keiser, “Optical Fiber Communications (5/e)”, McGraw Hill, 2013. 2. G.P.Agarwal, “Fiber Optic Communication Systems”, (3/e), Wiley, 2002.

Reference Books 1. M.M.K.Liu, “Principles and Applications of Optical Communications”, Tata McGraw Hill,

2010. 2. A.Ghatak & K.Thygarajan, “Introduction to Fiber Optics”, Cambridge, 1999. 3. J.Gowar, “Optical Communication Systems”, (2/e), PHI, 2001.

4. A.Selvarajan, S.Kar and T.Srinivas, “Optical Fiber Communication Principles and Systems”,

Tata McGraw Hill, 2002.

. Course outcomes

At the end of the course student will be able CO1: Recognize and classify the structures of Optical fiber and types. CO2: Discuss the channel impairments like losses and dispersion. CO3: Analyze various coupling losses. CO4: Classify the Optical sources and detectors and to discuss their principle. CO5: Familiar with Design considerations of fiber optic systems.

Page 97: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

96 | P a g e

Course Code : ECMI28

Course Title : MICROWAVE ELECTRONICS

Number of Credits 3

Prerequisites

(Course code)

: ECMI24

Course Type : MI

Course Learning Objective To impart knowledge on basics of microwave electron beam devices and their applications in X

band frequency.

Course Content Limitations of conventional vacuum tubes, Klystrons: Re-entrant cavities, Two cavity klystron, Velocity modulation process, Bunching process ,Power output and efficiency; Multi-cavity klystron , Reflex klystron-Velocity modulation process, Mode Characteristics ,Electronic admittance spiral.

Travelling-wave tubes: Slow-wave structures, Helix TWT- Amplification process, Convection current, Wave modes and gain; coupled cavity TWT, Backward wave oscillator.

Crossed -field devices: Magnetrons- Principle of operation, characteristics, Hull cut-off condition; Carcinotron, Gyrotron.

Microwave transistors and FETs: Microwave bipolar transistors-Physical structures, characteristics, Power-frequency limitations; Microwave tunnel diode, Microwave unipolar transistor – Physical structure, principle of operation, characteristics, High electron-mobility transistors.

Transferred electron and Avalanche transit-time devices: Gunn diode, Gunn diode as an oscillator. IMPATT, TRAPATT and BARITT.

Text Books 1. S.Y.Liao, “Microwave Devices and Circuits (3/e)”, PHI, 2005. 2. R. F. Soohoo, “Microwave Electronics”, Wesley publication, 1971.

Reference Books 1. R.E.Collin, “Foundations for Microwave Engineering (2/e)”, Wiley India, 2007. 2. D.M.Pozar,” Microwave Engineering (3/e)”, Wiley India, 2009. 3. K C Gupta, Indian Institute of Technology, Kanpur,” Microwaves”, Wiley Eastern Limited,

1995.

Course outcomes

At the end of the course student will be able CO1: Apply the basic knowledge of waveguide and microwave resonator circuits. CO2: Asses the methods used for generation and amplification of the microwave power. CO3: Distinguish between the linear and cross field electron beam microwave tubes. CO4: Critically analyze the operating principles and performances of the microwave semiconductor devices. CO5: Identify the suitable microwave power sources of given specification for the selected application.

Page 98: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

97 | P a g e

Course Code : ECLR10

Course Title : DEVICES AND NETWORKS LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC13

Course Type : ELR

List of Experiments: 1. Study Experiment 2. PN Junction Diode Characteristics

3. Zener diode characteristics and its application 4. Characteristics study of Bipolar Junction Transistor (BJT)

5. Characteristics study of JFET 6. Response study of Series RLC

7. Constant K High pass Filter 8. Attenuators 9. Equalizers

10. Clippers and Clampers 11. SCR Characteristics

12. LAB view implementation

Page 99: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

98 | P a g e

Course Code : ECLR11

Course Title : DIGITAL ELECTRONICS LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC14

Course Type : ELR

Course Objective

To introduce basic postulates of Boolean algebra and shows the correlation between Boolean

expressions

To introduce the methods for simplifying Boolean expressions

To outline the formal procedures for the analysis and design of combinational circuits and

sequential circuits

To learn combinational and sequentional circuit simulations using Verilog HDL.

List of Experiments:

1. Study of logic gates and verification of Boolean Laws.

2. Design of adders and subtractors & code converters.

3. Design of Multiplexers &DE multiplexers.

4. Design of Encoders and Decoders.

5. Design of Magnitude Comparators

6. Study of flip-flops.

7. Design and implementation of counters using flip-flops

8. Design and implementation of shift registers.

9. Simulation of adders, subtractors, encoders & decoders using Verilog HDL.

10. Simulation of counters & shift registers using Verilog HDL.

Course Outcomes:

Students are able to

CO1: Demonstrate theoretical device/circuit operation in properly constructed digital circuits.

CO2: Able to correctly operate standard electronic test equipment digital multi-meters, power

supplies to analyze, test, and implement digital circuits.

CO3: Able to correctly analyze a circuit and compare its theoretical performance to actual

performance.

CO4: Able to apply troubleshooting techniques to test digital circuits.

CO5: Able to code a given digital logic design in HDL language.

Page 100: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

99 | P a g e

Course Code : ECLR12

Course Title : ELECTRONIC CIRCUITS LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC17

Course Type : ELR

List of Experiments:

Hardware Experiments

1. Stability of Q point

2. Single stage RC coupled CE amplifier

3. Single stage RC coupled Current series CE feedback amplifier

4. Darlington emitter follower

5. Differential Amplifier

6. RC phase shift oscillator

7. Colpitt’s Oscillator

8. Power amplifier – Class A & class AB

Simulation Experiments

9. MOS CS amplifier with resistive load, diode connected load, current source load

10. MOS current mirrors

Course Outcomes:

Students are able to

CO1: Demonstrate theoretical device/circuit operation in properly constructed analog circuits

CO2: Able to correctly operate standard electronic test equipment digital multi-meters, power

supplies to analyze, test, and implement digital circuits

CO3: Able to correctly analyze a circuit and compare its theoretical performance to actual

performance

CO4: Learn different techniques employed for the enhancement of Gain and Bandwidth

CO5: Able to map the Circuits implemented to that of real time application

Page 101: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

100 | P a g e

Course Code : ECLR13

Course Title : MICROPROCESSOR AND MICROCONTROLLER

LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPE12

Course Type : ELR

Course Objective

This course deals with several languages used for programming a Microprocessors

and Microcontrollers through industry-standard compilers, Macro Assemblers,

Debuggers, Real-time Kernels, and system-level simulators. Using the hardware kits

to get the hands-on experience on 16-bit Microprocessor, 8-bit and 16-bit

Microcontrollers and also interfacing the different peripherals.

List of Experiments:

Intel 8086 – 16bit µP- Emulator.

1. Addressing modes of 8086 Microprocessor.

2. Block move and simple arithmetic operations.

3. Identification and displaying the activated key using DOS and BIOS function calls.

Intel 8051 (8-bit Microcontroller) - Proteus VSM Simulator and Trainer Kit.

4. Addressing modes of 8051 Microcontroller.

5. Delay generation - i) Nested loop and ii) Timers.

6. Toggling the ports and counting the pulses.

7. LCD Interfacing.

8. Generation of different waveforms using DAC (0808)

9. ADC interfacing.

Mixed-Signal Microcontroller – 16bit – MSP430 series

10.PWM generation and speed control of Motors using MSP430.

Course Outcomes:

After successful completion of the course, the students are able to

CO1: train their practical knowledge through laboratory experiments.

CO2: understand and write the assembly language programs to control the systems.

CO3: learn system-level simulator and design complete Microcontroller based modules.

CO4: study Code Composer Studio to develop and debug embedded applications.

CO5: do projects in IoT applications.

Page 102: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

101 | P a g e

Course Code : ECLR14

Course Title : ANALOG VLSI & EMBEDDED SYSTEM

LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC21& ECPC23

Course Type : ELR

List of Experiments:

1. Study the characteristics of negative feedback amplifier

2. Design of an instrumentation amplifier

3. Study the characteristics of regenerative feedback system-Schmitt trigger

4. Design of a second order Butterworth band-pass filter for the given higher and lower cut-

off frequencies

5. Design of a function generator-Square, Triangular wave

List of Experiments: USING XILINX

1. Comparators, parity generators & ALU

2. Flip-Flops, Shift-Registers & Counters Using Cadence

1. Dc transfer characteristics of an Inverter

2. Design, Simulation and Layout of basic digital blocks

3. Mini Project on VLSI Design

Page 103: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

102 | P a g e

Course Code : ECLR15

Course Title : DIGITAL SIGNAL PROCESSING LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC15

Course Type : ELR

Course Objective:

1. To program and analyse the signal processing functions such as convolution, correlation etc.

using Matlab tool.

2. To learn and implement algorithms for FIR, IIR filters and DFT using FFT using Matlab

tool.

3. To learn the addressing modes and implement the DSP algorithms in digital signal

processors.

Course Content:

List of Experiments:

MATLAB tool based simulation experiments

1. Realization of correlation of two discrete signals

2. Realization of convolution

3. FIR filter design

4. IIR filter design

5. DFT implementation

6. SNR and Power spectral density estimation of signals

TMS320C5416 Digital Signal Processor kit based Experiments

1. Study of various addressing modes and arithmetic sequence generation

2. Convolution using MAC, MACD and MACP instructions. Convolution using overlap add

and overlap save method

3. Wave pattern generation

4. FIR filter implementation

5. DFT implementation using FFT radix-2 algorithm

6. Serial interface and data acquisition

Course Outcomes:

At the end of the course student will be able

CO1: To write Matlab program for signal processing functions

CO2: To implement algorithms to realize digital filters and transforms

CO3: To write and execute application program in digital signal processors

CO4: To implement signal processing algorithms in digital signal processors

CO5: To learn real time interfacing and data acquisition of signals

Page 104: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

103 | P a g e

Course Code : ECLR16

Course Title : COMMUNICATION ENGINEERING LABORATORY

Number of Credits 2

Corequisites

(Course code)

: ECPC18 & ECPC19

Course Type : ELR

List of Experiments:

1. AM Modulation and Demodulation 2. DSB-SC Modulation

3. Pulse Amplitude Modulation and Demodulation 4. Pulse Width Modulation and Demodulation 5. Pulse Position Modulation using PLL(IC 565) 6. Amplitude Shift Keying (ASK) Modulation and Demodulation

7. Frequency Shift Keying (FSK) Modulation and Demodulation 8. Frequency Multiplier using PLL

9. Analog and digital modulation using COMMSIM simulation tool

10. Analog and digital modulation using MATLAB 11. Sample and Hold Circuit 12. Study of wireless communication system using Wi-Comm Kit

Page 105: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

104 | P a g e

Course Code : ECLR17

Course Title : MICROWAVE &FIBER OPTIC LABORATORY

Number of Credits 2

Co-requisites

(Course code)

: ECPC24, ECPE30& ECPE31

Course Type : ELR

List of Experiments:

Microwave Experiments

1. Study the characteristics of microwave sources ( Gunn Diode, Reflex Klystron)

2. Impedance Measurement of unknown devices.

3. Study the characteristics of Reciprocal devices (Directional Coupler , E-Plane Tee ,

H- Plane Tee etc.,)

4. Study the characteristics of Non Reciprocal devices (Isolator, Circulator)

5. Study the Characteristics of horn Antenna.

6. Microwave CAD -Design and analysis of Planar Antenna

Fiber Optic Communication Experiments

1. Characteristics of Optical Sources - Laser Diode and LED

2. Characteristics of Photodetectors - PIN Photodetector and Avalanche Photodiode

(APD)

3. Characteristics of Optical Fiber-Measurement of Numerical Aperture, Attenuation,

Bending Loss and Fiber Dispersion

4. Analog and Voice Communication through Optical Link

5. Performance Measurement in Optical System-BER and Q-factor Estimation, Optical

Receiver Sensitivity Characteristics

6. Photonics CAD - WDM Link

COURSE OUTCOME:

At the end of course student will be able to

CO1: Understand the characteristics of optical sources and photodetectors in the fiber optic

communication systems.

CO2: Understand the characteristics and various propagation effects of the optical fibers.

CO3: Construct analog and voice communication through optical fibers.

CO4: Analyze the performance parameters of the fiber optic communication systems through

simulation software.

CO5: Interpret the operating principle of wavelength division multiplexing systems.

Page 106: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

105 | P a g e

Course Code : ECHO11

Course Title : SPECTRAL ANALYSIS OF SIGNALS

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : HO

Course learning Objective

To give an exhaustive survey of methods available for power spectrum estimation.

Course content Periodogram and correlogram. Blackman – Tukey, Bartlett, Welch and Daniel methods. Window

design considerations.

Parametric methods for rational spectra. Covariance structure of ARMA processes. AR, MA and

ARMA signals. Multivariate ARMA signals.

Parametric methods for line spectra. Models of sinusoidal signals in noise. Nonlinear least squares,

high order Yule-Walker, min-norm, Pisarenko, MUSIC and ESPRIT methods.

Filter bank methods. Filter-bank interpretation of the periodogram. Refined filter-bank and Capon

methods.

Spatial methods. Array model. Nonparametric methods; beam forming and Capon method. Parametric

methods; nonlinear least squares, Yule-Walker, min-norm, Pisarenko, MUSIC and ESPRIT methods.

Text Books

1. P.Stoica & R.Moses, “Spectral Analysis of signals”, Pearson, 2005.

2. Marple, “Introduction to Spectral Analysis”, Prentice Hall.

Reference Books

1. S.M.Key, “Fundamentals of Statistical Signal Processing”, Prentice Hall PTR, 1998.

2. Recent literature in Spectral Analysis of Signals.

Course outcomes

At the end of the course student will be able

CO1: derive and analyse the statistical properties of the conventional spectral estimators, namely

the periodogram, averaged & modified periodogram and Blackman-Tukey methods.

CO2: formulate modern, parametric, spectral estimators based upon autoregressive (AR), moving

average (MA), and autoregresive moving average (ARMA) models, and detail their statistical

properties. Describe the consequence of the term resolution as applied to a spectral estimator.

CO3: define techniques for calculating moments in spectral and temporal domains; Analyze filter

bank method, capon methods for spectrum estimation.

CO4: demonstrate knowledge and understanding of the principles of parametric and non-

parametric array processing algorithms.

CO5: select an appropriate array processing algorithms for frequency estimation and sonar, radar

applications.

Page 107: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

106 | P a g e

Course Code : ECHO12

Course Title : DETECTION AND ESTIMATION

Number of Credits 3

Prerequisites

(Course code)

: MAIR 45

Course Type : HO

Course learning Objective

The objective of this course is to make the students conversant with those aspects of

statistical decision and estimation which are indispensable tools required for the optimal

design of digital communication systems.

Course content Binary hypothesis testing; Bayes, minimax and Neyman-Pearson tests. Composite hypothesis testing.

Signal detection in discrete time: Models and detector structures. Coherent detection in independent

noise. Detection in Gaussian noise. Detection of signals with random parameters. Detection of

stochastic signals. Performance evaluation of signal detection procedures.

Bayesian parameter estimation; MMSE, MMAE and MAP estimates. Nonrandom parameter

estimation. Exponential families. Completeness theorem. ML estimation. Information inequality.

Asymptotic properties of MLEs.

Discrete time Kalman- Bucy filter. Linear estimation. Orthogonality principle. Wiener- Kolmogorov

filtering – causal and non-causal filters.

Signal detection in continuous time: Detection of deterministic signals in Gaussian noise. Coherent

detection in white Gaussian noise.

TextBooks

1. H.V.Poor, “An Introduction to Signal Detection and Estimation (2/e) Springer”, 1994.

2. B.C.Levy, “Principles of Signal Detection and Parameter Estimation”, Springer, 2008.

ReferenceBooks 1. H.L.Vantrees, “Detection, Estimation and Modulation theory”, Part I, Wiley, 1987. 2. M.D.Srinath & P.K.Rajasekaran, “Statistical Signal Processing with Applications”, Wiley,

1979.

3. J.C.Hancock & P.A. Wintz, “Signal Detection Theory”, Mc-Graw Hill, 1966.

4. Recent literature in Detection and Estimation.

Course outcomes

At the end of the course student will be able

CO1: summarize the fundamental concept on Statistical Decision Theory and

Hypothesis Testing

CO2: summarize the various signal estimation techniques with additive noise

CO3: summarizer with Bayesian parameter estimation (minimum mean square error (MMSE),

minimum mean absolute error (MMAE), maximum a-posterior probability (MAP) estimation

methods).

CO4: compare optimal filtering, linear estimation, and Wiener/Kalman filtering.

CO5: construct Wiener and Kalman filters (time discrete) and state space models.

Page 108: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

107 | P a g e

Course Code : ECHO13

Course Title : WAVELET SIGNAL PROCESSING

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : HO

Course learning Objective

To expose the students to the basics of wavelet theory and to illustrate the use of wavelet

processing for data compression and noise suppression.

Course content Limitations of standard Fourier analysis. Windowed Fourier transform. Continuous wavelet transform.

Time-frequency resolution.

Wavelet bases. Balian-Low theorem. Multi resolution analysis. (MRA). Construction of wavelets from

MRA. Fast wavelet algorithm.

Compactly supported wavelets. Cascade algorithm. Franklin and spline wavelets. Wavelet packets.

Hilbert space frames. Frame representation. Representation of signals by frames. Iterative

reconstruction. Frame algorithm.

Wavelet methods for signal processing. Noise suppression. Representation of noise-corrupted signals

using frames. Algorithm for reconstruction from corrupted frame representation.

Wavelet methods for image processing. Burt- Adelson and Mallat’s pyramidal decomposition

schemes. 2D-dyadic wavelet transform.

Text Books

1. E.Hernandez & G.Weiss, A First Course on Wavelets, CRC Press, 1996.

2. L.Prasad & S.S.Iyengar, Wavelet Analysis with Applications to Image Processing, CRC Press,

1997.

Reference Books

1. A.Teolis, Computational Signal Processing with Wavelets, Birkhauser, 1998

2. R.M. Rao & A.S. Bopardikar, Wavelet Transforms, Addition Wesley, 1998.

3. J.C. Goswami & A.K. Chan, Fundamentals of Wavelets, John Wiley, 1999.

4. Recent literature in Wavelet Signal Processing.

Course outcomes

At the end of the course student will be able

CO1: understand about windowed Fourier transform and difference between windowed Fourier

transform and wavelet transform.

CO2: understand wavelet basis and characterize continuous and discrete wavelet transforms

CO3: understand multi resolution analysis and identify various wavelets and evaluate their time-

frequency resolution properties

CO4: implement discrete wavelet transforms with multirate digital filters

CO5: understand about wavelet packets

Page 109: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

108 | P a g e

Course Code : ECHO14

Course Title : RF CIRCUITS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objective

To impart knowledge on basics of IC design at RF frequencies.

Course content Characteristics of passive IC components at RF frequencies – interconnects, resistors, capacitors,

inductors and transformers – Transmission lines. Noise – classical two-port noise theory, noise

models for active and passive components High frequency amplifier design – zeros as bandwidth

enhancers, shunt-series amplifier, fdoublers ,neutralization and uni-lateralization

Low noise amplifier design – LNA topologies, power constrained noise optimization, linearity and

large signal performance

Mixers – multiplier-based mixers, subsampling mixers, diode-ring mixers

RF power amplifiers – Class A, AB, B, C, D, E and F amplifiers, modulation of power amplifiers,

linearity considerations

Oscillators & synthesizers – describing functions, resonators, negative resistance oscillators,

synthesis with static moduli, synthesis with dithering moduli, combination synthesizers – phase noise

considerations.

Text Books 1. T.homas H. Lee, “The Design of CMOS Radio-Frequency Integrated Circuits”, 2nd ed.,

Cambridge, UK: Cambridge University Press,2004.

2. B.Razavi, “RF Microelectronics”, 2nd Ed., Prentice Hall, 1998.

Reference Books 1. A.A. Abidi, P.R. Gray, and R.G. Meyer, eds., “Integrated Circuits for Wireless

Communications”, New York: IEEE Press,1999.

2. R. Ludwig and P. Bretchko, “RF Circuit Design, Theory and Applications”, Pearson,2000.

3. Mattuck,A., “Introduction to Analysis”,Prentice-Hall,1998.

4. Recent literature in RF Circuits.

Course outcomes

At the end of the course student will be able

CO1: Understand the Noise models for passive components and noise theory

CO2: Analyze the design of a high frequency amplifier

CO3: Appreciate the different LNA topologies & design techniques

CO4: Distinguish between different types of mixers

CO5: Analyse the various types of synthesizers, oscillators and their characteristics.

Page 110: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

109 | P a g e

Course Code : ECHO15

Course Title : NUMERICAL TECHNIQUES FOR MIC

Number of Credits 3

Prerequisites

(Course code)

: ECPC25

Course Type : HO

Course learning Objective

This subject will prepare the student to face the challenging problem of the most important

component of Research namely the numerical analysis.

Course content Over view of Numerical Techniques for Microwave integrated Circuits: Introduction, Quasi Static

and Full wave Analysis, Outline if Finite element method, Integral Equation Technique, Planar

Circuit Analysis, Spectral Domain Approach, The Method of Lines, The Mode Matching Method,

The Transverse Resonance Technique

The Finite Element Method: Introduction, The Method of Weighted Residuals, The Variational

Method Using a Variational Expression, The Finite Element Method, Integral Formulation of

Problems, Antennas and Scattering from Conductors ,Waveguides-Hollow, Dielectric and Optical

Finite Difference in space and Time Matrix Computations. A Finite Element Computer Program for

Micro strips

Planar Circuit Analysis: Introduction, Planar Circuit Analysis’ Function Approach Impedance

Green’s Functions Contour Integral Approach Analysis of Planar Components of Composite

Configurations Planar Circuits with Anisotropic Spacing Media Applications of the Planar Circuits

Concept Summary

Spectral Domain Approach: Introduction, General Approach for Shielded Microstrip Lines, the

Admittance Approach Formulations for Slot lines, Fin lines, and Coplanar Waveguides Numerical

Computation

Transverse Resonance Technique: Introduction, Inhomogeneous Waveguides Uniform along a

Traverse Coordinate, Conventional Traverse Resonance Technique for Transversely Discontinuous

Waveguides, Generalized Transverse Resonance Technique for Transversely Discontinuous

Inhomogeneous Analysis of Discontinuities and Junctions by the Generalized Transverse Resonance

Technique, Examples of Computer Programs.

Text Book 1. T.Itoh, Numerical Techniques for Microwave Integrated Circuits., John Wiley and

sons,1989. 2. Cam Nguyen,Analysis Methods FOR RF,Microwave AND Millimeter_wave Planar

Transmission Line Structures, John Wiley & Sons, INC.2000.

Reference Books

1. Bharathi Bhat, Shiban K.Koul, Analysis, Design and Applications of Fin lines. Artech

House. 1987.

2.Recent literature in numerical techniques for microwave integrated circuits.

Course outcomes

At the end of the course student will be able

CO1: bring awareness of the need for numerical analysis of M.I.C. And prepare to formulate all

popular numerical techniques of M.I.C.

CO2: make one formulate and write coding for Finite Element Method

CO3: prepare a person to be strong in the planar circuit Analysis

CO4: bring awareness of the most popular quasi state analysis Spectral Domain Techniques

CO5: prepare the student formulate and write coding for the Transverse Resonance Techniques

Page 111: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

110 | P a g e

Course Code : ECHO16

Course Title : APPLIED PHOTONICS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objectives

To prepare the students understand the fundamental principles of light-matter interaction

and photonic band gap structures.

To enable the students appreciate the diverse applications of fiberopticsensors.

Course content Introduction to photonics; optical waveguide theory; Interference of light waves -numerical

techniques and simulation

Photonic waveguide components Optical Modulators and Switches Electro-optics - Acousto-optics -

Magneto-optics

Photonic Band gap Structures: Concept of photonic crystal; band gap and band structures in 1D, 2D

and 3D photonic crystal structures;

Photo-refractive materials, non-linear optics, recent trends in bio and nano-photonics

Optical fiber sensors - Sensing using optical fibers - Types:-Amplitude, Inter-ferometric,

Wavelength, Polarimetric – Distributed Sensors

Text Books 1. A. Ghatak and K. Thyagarajan, “Introduction to Fiber Optics”, Cambridge University

Press,2006. 2. PochiYeh and AmnonYariv Photonics,” Optical Electronics in Modern

Communications”,2007

Reference Books 1. F. T. S. Yu and S.Yin, “Fiber Optic Sensors”, Marcel Dekker, Inc2002

2. G. W. Hanson, “Fundamentals of Nanoelectronics “,Pearson Education, 1stedition,2008

3. B. Saleh and M. Teich, “Fundamentals of Photonics”, Wiley & Sons, 2007

4. Recent literature in Applied Photonics.

Course outcomes

At the end of the course student will be able

CO1: understand the interference of light and optical waveguide theory. CO2: understand the significance of photonic band gap structures and their application

CO3: analyze the different types of optical modulators.

CO4: compare the merits and demerits of different types of fiber optic sensors.

CO5: understand the application of nonlinear optics in bio and nano-photonics.

Page 112: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

111 | P a g e

Course Code : ECHO17

Course Title : ADVANCED RADIATION SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECPC19

Course Type : HO

Course learning Objectives

To prepare the students understand the operating principles of various RF radiating systems.

To enable the students appreciate the diverse applications of radiating systems.

To design the suitable antenna systems to serve a defined application.

Course content Antenna Fundamentals Antenna fundamental parameters, Radiation integrals, Radiation from surface and line current

distributions – dipole, monopole, loop antenna; Broadband antennas and matching techniques,

Balance to unbalance transformer, Introduction to numerical techniques.

Apertures Antennas Field equivalence principle, Radiation from Rectangular and Circular apertures, Uniform aperture

distribution on an infinite ground plane; Slot antenna; Horn antenna; Reflector antenna, aperture

blockage, and design consideration.

Arrays General structure of phased array, linear array theory, variation of gain as a function of pointing

direction, frequency scanned arrays, digital beam forming, and MEMS technology in phased arrays-

Retro directive and self-phased arrays.

Micro Strip Antenna Radiation Mechanism from patch; Excitation techniques; Microstrip dipole; Rectangular patch,

Circular patch, and Ring antenna – radiation analysis from transmission line model, cavity model;

input impedance of rectangular and circular patch antenna; Application of microstrip array antenna.

Terahertz Planar Antennas Electronics band gap materials - Photonic Band-gap Structures- Tera Hertz Patch antennas-Special

antenna structures. Text Books

1. S. Haykins,” Communication Systems”, John Wiley, 3rd.Edition,1995. 2. RR Gulathi, “Monochrome and Colour Television”, New Age International Publishers,

2ndedition, 2005. Reference Book

1. J. G. Proakis& M .Salehi,” Communication Systems Engineering”, Prentice Hall,

2ndedition, 2002.

3. Kennedy & Davis,” Electronic Communication systems”, Tata McGraw Hill, 4thedition,

1999.

4. Recent literature in Advanced Radiation Systems.

Course outcomes

At the end of the course student will be able

CO1: understand the various antenna parameters and different impedance matching

techniques.

CO2: understand the working principle of apertures antennas.

CO3: analyze how the electronic beam formation is done using array of antennas.

CO4: compare the merits and demerits of various microwave patch antenna structures.

CO5: understand the photonic band gap structures and its application in tera hertz antennas.

Page 113: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

112 | P a g e

Course Code : ECHO18

Course Title : BIO MEMS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objective

To train the students in the design aspects of Bio MEMS devices and Systems. To make the

students aware of applications in various medical specialists especially the Comparison of

conventions methods and Bio MEMS usage.

Course content Introduction-The driving force behind Biomedical Applications-Biocompatibility-Reliability

Considerations-Regularity Considerations-Organizations-Education of Bio MEMS-Silicon Micro

fabrication-Soft Fabrication techniques

Micro fluidic Principles- Introduction-Transport Processes- Electro kinetic Phenomena-Micro valves

–Micro mixers- Micro-pumps.

SENSOR PRINCIPLES and MICRO SENSORS: Introduction-Fabrication-Basic Sensors-Optical

fibers- Piezo electricity and SAW devices-Electrochemical detection-Applications in Medicine

MICRO ACTUATORS and DRUG DELIVERY: Introduction-Activation Methods-Micro actuators

for Micro fluidics-equivalent circuit representation-Drug Delivery

MICRO TOTAL ANALYSIS: Lab on Chip-Capillary Electrophoresis Arrays-cell, molecule and

Particle Handling-Surface Modification-Microsphere-Cell based Bioassay Systems

Detection and Measurement Methods-Emerging Bio MEMS Technology-Packaging, Power, Data

and RF Safety-Biocompatibility, Standards

Text Book

1. S.S. Saliterman,” Fundamentals of Bio MEMS and Medical Micro devices”, Wiley

Interscience, 2006.

Reference Books 1. A. Folch ,”Introduction to Bio MEMS”, CRC Press,2012 2. G.A. Urban, “Bio MEMS”, Springer,2006

3. W. wang, S.A. Soper,” Bio MEMS”, 2006.

4. M. J. Madou, “Fundamental of Micro fabrication”,2002. 5. G.T. A. Kovacs, “Micro machined Transducers Source book”, 1998.

6. Recent literature in Bio MEMS.

Course outcomes

At the end of the course student will be able

CO1: learn and realize the MEMS applications in Bio Medical Engineering

CO2: understand the Micro fluidic Principles and study its applications.

CO3: learn the applications of Sensors in Health Engineering.

CO4: learn the principles of Micro Actuators and Drug Delivery system

CO5: learn the principles and applications of Micro Total Analysis

Page 114: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

113 | P a g e

Course Code : ECHO19

Course Title : ANALOG IC DESIGN

Number of Credits 3

Prerequisites

(Course code)

: ECPC20

Course Type : HO

Course learning Objectives

To develop the ability design and analyze MOS based Analog VLSI circuits to draw the

equivalent circuits of MOS based Analog VLSI and analyse their performance.

To develop the skills to design analog VLSI circuits for a given specification.

Course content Basic MOS Device Physics – General Considerations, MOS I/V Characteristics, Second Order

effects, MOS Device models. Short Channel Effects and Device Models. Single Stage Amplifiers –

Basic Concepts, Common Source Stage, Source Follower, Common Gate Stage, Cascode Stage.

Differential Amplifiers – Single Ended and Differential Operation, Basic Differential Pair, Common-

Mode Response, Differential Pair with MOS loads, Gilbert Cell. Passive and Active Current Mirrors

– Basic Current Mirrors, Cascode Current Mirrors, Active Current Mirrors.

Frequency Response of Amplifiers – General Considerations, Common Source Stage, Source

Followers, Common Gate Stage, Cascode Stage, Differential Pair. Noise – Types of Noise,

Representation of Noise in circuits, Noise in single stage amplifiers, Noise in Differential Pairs.

Feedback Amplifiers – General Considerations, Feedback Topologies, Effect of Loading.

Operational Amplifiers – General Considerations, One Stage Op Amps, Two Stage Op Amps, Gain

Boosting, Common-Mode Feedback, Input Range limitations, Slew Rate, Power Supply Rejection,

Noise in Op Amps. Stability and Frequency Compensation.

Band gap References, Introduction to Switched Capacitor Circuits, Nonlinearity and Mismatch.

Text Books 1. B.Razavi, “Design of Analog CMOS Integrated Circuits”, McGraw Hill Edition2002. 2. Paul. R.Gray, Robert G. Meyer, “Analysis and Design of Analog Integrated Circuits”,

Wiley, (4/e), 2001.

Reference Books 1. D. A. Johns and K. Martin, “Analog Integrated Circuit Design”, Wiley,1997. 2. R. Jacob Baker, “CMOS Circuit Design, Layout, and Simulation”, Wiley, (3/e),2010.

3. P.E.Allen, D.R. Holberg, “CMOS Analog Circuit Design”, Oxford University Press,2002.

4. Recent literature in Analog IC Design.

Course outcomes

At the end of the course student will be able

CO1: draw the equivalent circuits of MOS based Analog VLSI and analyze their performance.

CO2: design analog VLSI circuits for a givenspecification.

CO3: Analyse the frequency response of the different configurations of a amplifier.

CO4: Understand the feedback topologies involved in the amplifierdesign.

CO5: Appreciate the design features of the differentialamplifiers.

Page 115: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

114 | P a g e

Course Code : ECHO20

Course Title : VLSI SYSTEM TESTING

Number of Credits 3

Prerequisites

(Course code)

: ECPE31

Course Type : HO

Course learning Objective

To expose the students, the basics of testing techniques for VLSI circuits and Test

Economics.

Course content Basics of Testing: Fault models, Combinational logic and fault simulation, Test generation for

Combinational Circuits. Current sensing based testing. Classification of sequential ATPG methods.

Fault collapsing and simulation

Universal test sets: Pseudo-exhaustive and iterative logic array testing. Clocking schemes for delay

fault testing. Testability classifications for path delay faults. Test generation and fault simulation for

path and gate delay faults.

CMOS testing: Testing of static and dynamic circuits. Fault diagnosis: Fault models for diagnosis,

Cause- effect diagnosis, Effect-cause diagnosis.

Design for testability: Scan design, Partial scan, use of scan chains, boundary scan, DFT for other

test objectives, Memory Testing.

Built-in self-test: Pattern Generators, Estimation of test length, Test points to improve testability,

Analysis of aliasing in linear compression, BIST methodologies, BIST for delay fault testing.

Text Books 1. N. Jha& S.D. Gupta, “Testing of Digital Systems”, Cambridge,2003. 2. W. W. Wen, “VLSI Test Principles and Architectures Design for Testability”, Morgan

Kaufmann Publishers.2006

Reference Books 1. Michael L. Bushnell &Vishwani D. Agrawal,” Essentials of Electronic Testing for Digital,

memory & Mixed signal VLSI Circuits”, Kluwar Academic Publishers.2000.

2. P. K. Lala,” Digital circuit Testing and Testability”, Academic Press.1997. 3. M. Abramovici, M. A. Breuer, and A.D. Friedman, “Digital System Testing and Testable

Design”, Computer Science Press,1990.

4. Recent literature in VLSI System Testing.

Course outcomes

At the end of the course student will be able

CO1: apply the concepts in testing which can help them design a better yield in IC design.

CO2: tackle the problems associated with testing of semiconductor circuits at earlier design

levels so as to significantly reduce the testing costs.

CO3: analyze the various test generation methods for static & dynamic CMOS circuits.

CO4: identify the design for testability methods for combinational & sequential CMOS circuits.

CO5: recognize the BIST techniques for improving testability.

Page 116: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

115 | P a g e

Course Code : ECHO21

Course Title : ELECTRONIC DESIGN AUTOMATION TOOLS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objective

To make the students exposed to Front end and Back end VLSI CAD tools.

Course content

OS Architecture: System settings and configuration. Introduction to UNIX commandsHandling

directories, Filters and Piping, Wildcards and Regular expression, Power Filters and Files Redirection.

Working on Vi editor, Basic Shell Programming, TCL Scripting language.

Algorithms in VLSI: Partitioning methods: K-L, FM, and Simulated annealing algorithms. Placement

and Routing algorithms, Interconnects and delay estimation.

Synthesis and simulation using HDLs-Logic synthesis using Verilog. Memory and FSM synthesis.

Performance driven synthesis, Simulation- Types of simulation. Static timing analysis. Formal

verification. Switch level and transistor level simulation.

System Verilog- Introduction, Design hierarchy, Data types, Operators and language constructs.

Functional coverage, Assertions, Interfaces and test bench structures.

Analog/Mixed Signal Modelling and Verification: Analog/ Mixed signal modelling using Verilog-A

and Verilog-AMS. Event Driven Modelling: Real number modelling of Analog/Mixed blocks

modelling using Verilog-RNM/System Verilog. Analog/Digital Boundary Issues: boundary issues

coverage

Text Books

1. M.J.S.Smith, “Application Specific Integrated Circuits”, Pearson, 2008.

2. S.Sutherland, S. Davidmann, P. Flake, “System Verilog for Design”, (2/e), Springer, 2006.

Reference Books

1. H.Gerez, “Algorithms for VLSI Design Automation”, John Wiley, 1999

2. Z. Dr Mark, “Digital System Design with System Verilog “, Pearson, 2010.

3. Recent literature in Electronic Design Automation Tools.

Course outcomes

At the end of the course student will be able

CO1: execute the special features of VLSI back end and front end CAD tools and UNIX shell

script

CO2: explain the algorithms used for ASIC construction

CO3: design synthesizable Verilog and VHDL code.

CO4: explain the difference between Verilog and system Verilog and are able to write system

Verilog code.

CO5: Model Analog and Mixed signal blocks using Verilog A and Verilog AMS

Page 117: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

116 | P a g e

Course Code : ECHO22

Course Title : DESIGN OF ASICS

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objectives

To prepare the student to be an entry-level industrial standard ASIC or FPGA designer.

To give the student an understanding of issues and tools related to ASIC/FPGA design and

implementation.

To give the student an understanding of basics of System on Chip and Platform based design.

To give the student an understanding of High performance algorithms

Course content Introduction to Technology, Types of ASICs, VLSI Design flow, Design and Layout Rules,

Programmable ASICs - Antifuse, SRAM, EPROM, EEPROM based ASICs. Programmable ASIC

logic cells and I/O cells. Programmable interconnects. Advanced FPGAs and CPLDs and Soft-core

processors.

ASIC physical design issues, System Partitioning, Floor planning and Placement. Algorithms: K-L,

FM, Simulated annealing algorithms. Full Custom Design: Basics, Needs & Applications. Schematic

and layout basics, Full Custom Design Flow.

Semicustom Approach: Synthesis (RTL to GATE netlist) - Introduction to Constraints (SDC),

Introduction to Static Timing Analysis (STA). Place and Route (Logical to Physical Implementation):

Floor-plan and Power-Plan, Placement, Clock Tree Synthesis (clock planning), Routing, Timing

Optimization, GDS generation.

Extraction, Logical equivalence and STA: Parasitic Extraction Flow, STA: Timing Flow, LEC:

Introduction, flow and Tools used. Physical Verification: Introduction, DRC, LVS and basics of DFM.

System-On-Chip Design - SoC Design Flow, Platform-based and IP based SoC Designs, Basic

Concepts of Bus-Based Communication Architectures. High performance algorithms for ASICs/ SoCs

as case studies – Canonic Signed Digit Arithmetic, KCM, Distributed Arithmetic, High performance

digital filters for sigma-delta ADC.

Text Books

1. M.J.S. Smith : Application Specific Integrated Circuits, Pearson, 2003

2. Sudeep Pasricha and NikilDutt, On-Chip Communication Architectures System on Chip

Interconnect, Elsevier, 2008

Reference Books

1. H.Gerez, Algorithms for VLSI Design Automation, John Wiley, 1999

2. Jan.M.Rabaey et al, Digital Integrated Circuit Design Perspective (2/e), PHI 2003

3. David A.Hodges, Analysis and Design of Digital Integrated Circuits (3/e), MGH 2004

4. Hoi-Jun Yoo, Kangmin Leeand Jun Kyong Kim, Low-Power NoC for High-Performance SoC

Design, CRC Press, 2008

5. An Integrated Formal Verification solution DSM sign-off market trends, www.cadence.com.

6. Recent literature in Design of ASICS.

Page 118: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

117 | P a g e

Course outcomes

At the end of the course student will be able

CO1: demonstrate VLSI tool-flow and appreciate FPGA and CPLD architectures

CO2: understand the issues involved in ASIC design, including technology choice, design

management and tool-flow.

CO3: understand the algorithms used for ASIC construction.

CO4: understand Full Custom Design Flow and Tool used

CO5: understand Semicustom Design Flow and Tool used - from RTL to GDS and Logical to

Physical Implementation

Page 119: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

118 | P a g e

Course Code : ECHO23

Course Title : DIGITAL SYSTEM DESIGN

Number of Credits 3

Prerequisites

(Course code)

: ECPC14

Course Type : HO

Course learning Objective

To get an idea about designing complex, high speed digital systems and how to implement

such design.

Course content Mapping algorithms into Architectures: Datapath synthesis, control structures, critical path and worst

case timing analysis. FSM and Hazards.

Combinational network delay. Power and energy optimization in combinational logic circuit.

Sequential machine design styles. Rules for clocking. Performance analysis.

Sequencing static circuits. Circuit design of latches and flip-flops. Static sequencing element

methodology. Sequencing dynamic circuits. Synchronizers.

Data path and array subsystems: Addition / Subtraction, Comparators, counters, coding,

multiplication and division. SRAM, DRAM, ROM, serial access memory, context addressable

memory.

Reconfigurable Computing- Fine grain and Coarse grain architectures, Configuration architectures-

Single context, Multi context, partially reconfigurable, Pipeline reconfigurable, Block Configurable,

Parallel processing.

Text Books

1. N.H.E.Weste, D. Harris, CMOS VLSI Design (3/e), Pearson,2005. 2. W.Wolf, FPGA- based System Design, Pearson,2004.

Reference Books

1. S. Hauck, A.DeHon, ”Reconfigurable computing: the theory and practice of FPGA-based

computation”, Elsevier, 2008.

2. Franklin P. Prosser, David E. Winkel, Art of Digital Design, Prentice-Hall,1987.

3. R.F.Tinde,” Engineering Digital Design”, (2/e), Academic Press,2000.

4. C. Bobda, “Introduction to reconfigurable computing”, Springer, 2007. 5. M. Gokhale, ”Paul S. Graham, Reconfigurable computing: accelerating computation with

field- programmable gate arrays”, Springer,2005.

6. C.Roth, “Fundamentals of Digital Logic Design”, Jaico Publishers, V ed.,2009.

7. Recent literature in Digital System Design.

Course outcomes

At the end of the course student will be able

CO1: identify mapping algorithms into architectures. CO2: summarize various delays in combinational circuit and its optimization methods.

CO3: summarize circuit design of latches and flip-flops.

CO4: construct combinational and sequential circuits of medium complexity that is based on

VLSIs, and programmable logic devices.

CO5: summarize the advanced topics such as reconfigurable computing, partially

reconfigurable, Pipeline reconfigurable architectures and block configurable.

Page 120: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

119 | P a g e

Course Code : ECHO24

Course Title : OPTIMIZATIONS OF DIGITAL SIGNAL PROCESSING

STRUCTURES FOR VLSI

Number of Credits 3

Prerequisites

(Course code)

: ECPC20 &ECPE31

Course Type : HO

Course learning Objectives

To understand the various VLSI architectures for digital signal processing.

To know the techniques of critical path and algorithmic strength reduction in the filter

structures.

To enable students to design VLSI system with high speed and low power.

To encourage students to develop a working knowledge of the central ideas of implementation

of DSP algorithm with optimized hardware. Course content An overview of DSP concepts, Pipelining of FIR filters. Parallel processing of FIR filters. Pipelining

and parallel processing for low power, Combining Pipelining and Parallel Processing.

Transformation Techniques: Iteration bound, Retiming, Folding and Unfolding. Pipeline interleaving in digital filters. Pipelining and parallel processing for IIR filters. Low power

IIR filter design using pipelining and parallel processing, Pipelined adaptive digital filters.

Algorithms for fast convolution: Cook-Toom Algorithm, Cyclic Convolution. Algorithmic strength reduction in filters and transforms: Parallel FIR Filters, DCT and inverse DCT,

Parallel Architectures for Rank-Order Filters. Synchronous pipelining and clocking styles, clock skew and clock distribution in bit level pipelined

VLSI designs. Wave pipelining, constraint space diagram and degree of wave pipelining,

Implementation of wave-pipelined systems, Asynchronous pipelining. Text Book

1. K.K.Parhi, VLSI Digital Signal Processing Systems, John-Wiley, 2007.

Reference Books

1. U. Meyer -Baese, Digital Signal Processing with FPGAs, Springer, 2004

2. Wayne Burleson, Konstantinos Konstantinides, Teresa H. Meng, VLSI Signal Processing,

1996.

3. Richard J. Higgins, Digital signal processing in VLSI, 1990.

4. Sun Yuan Kung, Harper J. Whitehouse, VLSI and modern signal processing, 1985

5. Magdy A. Bayoumi, VLSI Design Methodologies for Digital Signal Processing, 2012

6. Earl E. Swartzlander, VLSI signal processing systems, 1986.

7. Recent literature in Optimizations of Digital Signal Processing Structures for VLSI. Course outcomes

At the end of the course student will be able

CO1: understand the overview of DSP concepts and design architectures for DSP algorithms.

CO2: improve the overall performance of DSP system through various transformation and

optimization techniques.

CO3: perform pipelining and parallel processing on FIR and IIR systems to achieve high speed

and low power.

CO4: optimize design in terms of computation complexity and speed.

CO5: understand clock based issues and design asynchronous and wave pipelined systems.

Page 121: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

120 | P a g e

Course Code : ECHO25

Course Title : LOW POWER VLSI CIRCUITS

Number of

Credits

3

Prerequisites

(Course code)

: ECPE31

Course Type : HO

Course learning Objective

To expose the students to the low voltage device modelling, low voltage, low power VLSI

CMOS circuit design.

Course content

CMOS fabrication process, Shallow trench isolation. Lightly-doped drain. Buried channel.

Fabrication process of BiCMOS and SOI CMOS technologies.

Modeling of CMOS devices parameters. Threshold voltage, Body effect, Short channel and Narrow

channel effects, Electron temperature, MOS capacitance.

CMOS inverters, static logic circuits of CMOS, pass transistor, BiCMOS, SOI CMOS and low

power CMOS techniques.

Basic concepts of dynamic logic circuits. Various problems associated with dynamic logic circuits.

Differential, BiCMOS and low voltage dynamic logic circuits.

CMOS memory circuits, Decoders, sense amplifiers, SRAM architecture. Low voltage SRAM

techniques.

Text Books

1. Jan Rabaey,”Low Power Design Essentials (Integrated Circuits and Systems)”, Springer,2009 2. J.B.Kuo&J.H.Lou,”Low-voltage CMOS VLSI Circuits”, Wiley, 1999.

Reference Book 3. A.Bellaowar&M.I.Elmasry,”Low power Digital VLSI Design, Circuits and Systems”,

Kluwer, 1996.

4. Recent literature in Low Power VLSI Circuits.

Course outcomes

At the end of the course student will be able

CO1: acquire the knowledge about various CMOS fabrication process and its modeling.

CO2: infer about the second order effects of MOS transistor characteristics.

CO3: analyze and implement various CMOS static logic circuits.

CO4: learn the design of various CMOS dynamic logic circuits.

CO5: learn the different types of memory circuits and their design.

Page 122: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

121 | P a g e

Course Code : ECHO26

Course Title : VLSI DIGITAL SIGNAL PROCESSING SYSTEMS

Number of Credits 3

Prerequisites

(Course code)

: ECPC15 &ECPE31

Course Type : HO

Course learning Objectives

To enable students to design VLSI systems with high speed and low power.

To encourage students to develop a working knowledge of the central ideas of implementation

of DSP algorithm with optimized hardware.

Course content

An overview of DSP concepts, Representations of DSP algorithms. Systolic Architecture Design: FIR

Systolic Array, Matrix-Matrix Multiplication, 2D Systolic Array Design. Digital Lattice Filter

Structures: Schur Algorithm, Derivation of One-Multiplier Lattice Filter, Normalized Lattice Filter,

Pipelining of Lattice Filter.

Scaling and Round off Noise - State variable description of digital filters, Scaling and Round off Noise

computation, Round off Noise in Pipelined IIR Filters, Round off Noise Computation using state

variable description, Slow-down, Retiming and Pipelining.

Bit level arithmetic Architectures- parallel multipliers, interleaved floor-plan and bit-plane-based

digital filters, Bit serial multipliers, Bit serial filter design and implementation, Canonic signed digit

arithmetic, Distributed arithmetic.

Redundant arithmetic -Redundant number representations, carry free radix-2 addition and subtraction,

Hybrid radix-4 addition, Radix-2 hybrid redundant multiplication architectures, data format

conversion, Redundant to Non redundant converter.

Numerical Strength Reduction – Sub expression Elimination, Multiple Constant Multiplication, Sub

expression sharing in Digital Filters, Additive and Multiplicative Number Splitting.

Text Book

1. K.K.Parhi, “VLSI Digital Signal Processing Systems”, John-Wiley, 2007

Reference Book

1. U. Meyer -Baese, Digital Signal Processing with FPGAs, Springer, 2004

2. Recent literature in VLSI Digital Signal Processing Systems.

Course outcomes

At the end of the course student will be able

CO1: Acquire the knowledge of round off noise computation and numerical strength reduction.

CO2: Ability to design Bit level and redundant arithmetic Architectures.

Page 123: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

122 | P a g e

Course Code : ECHO27

Course Title : ASYNCHRONOUS SYSTEM DESIGN

Number of Credits 3

Prerequisites

(Course code)

: ECPC14

Course Type : HO Course learning Objectives

This subject introduces the fundamentals and performance of Asynchronous system

To familiarize the dependency graphical analysis of signal transmission graphs

To learn software languages and its syntax and operations for implementing Asynchronous

Designs Course content Fundamentals: Handshake protocols, Muller C-element, Muller pipeline, Circuit implementation

styles, theory. Static data-flow structures: Pipelines and rings, Building blocks, examples Performance: A quantitative view of performance, quantifying performance, Dependency graphic

analysis. Handshake circuit implementation: Fork, join, and merge, Functional blocks, mutual

exclusion, arbitration and Metastability. Speed-independent control circuits: Signal Transition graphs, Basic Synthesis Procedure,

Implementation using state-holding gates, Summary of the synthesis Process, Design examples using

Petrify. Advanced 4- phase bundled data protocols and circuits: Channels and protocols, Static type

checking, more advanced latch control circuits. High-level languages and tools: Concurrency and message passing in CSP, Tangram program

examples, Tangram syntax-directed compilation, Martin’s translation process, Using VHDL for

Asynchronous Design. An Introduction to Balsa: Basic concepts, Tool set and design flow, Ancillary

Balsa Tools The Balsa language: Data types, Control flow and commands, Binary/Unary operators, Program

structure. Building library Components: Parameterized descriptions, Recursive definitions. A simple

DMA controller: Global Registers, Channel Registers, DMA control structure, The Balsa description. Text Books

1. Asynchronous Circuit Design- Chris. J. Myers, John Wiley &Sons, 2001. 2. Handshake Circuits An Asynchronous architecture for VLSI programming – Kees

Van Berkel Cambridge University Press,2004 Reference Book

1. Principles of Asynchronous Circuit Design-Jens Sparso, Steve Furber, Kluver Academic

Publishers, 2001. 2. Asynchronous Sequential Machine Design and Analysis, Richard F. Tinder,2009

3. A Designer's Guide to Asynchronous VLSI, Peter A. Beerel, Recep O. Ozdag, Marcos

Ferretti,2010

4. Recent literature in Asynchronous System Design. Course outcomes

At the end of the course student will be able

CO1: understand the fundamentals of Asynchronous protocols

CO2: analyze the performance of Asynchronous System and implement handshake circuits

CO3: understand the various control circuits and Asynchronous system modules

CO4: gain the experience in using high level languages and tools for Asynchronous Design

CO5: learn commands and control flow of Balsa language for implementing Asynchronous

Designs

Page 124: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

123 | P a g e

Course Code : ECHO28

Course Title : PHYSICAL DESIGN AUTOMATION

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objectives

Understand the concepts of Physical Design Process such as partitioning, Floor planning,

Placement and Routing.

Discuss the concepts of design optimization algorithms and their application to physical design

automation.

Understand the concepts of simulation and synthesis in VLSI Design Automation

Formulate CAD design problems using algorithmic methods

Course content VLSI design automation tools- algorithms and system design. Structural and logic design. Transistor

level design. Layout design. Verification methods. Design management tools.

Layout compaction, placement and routing. Design rules, symbolic layout. Applications of

compaction. Formulation methods. Algorithms for constrained graph compaction. Circuit

representation. Wire length estimation. Placement algorithms. Partitioning algorithms.

Floor planning and routing- floor planning concepts. Shape functions and floor planning sizing. Local

routing. Area routing. Channel routing, global routing and its algorithms.

Simulation and logic synthesis- gate level and switch level modeling and simulation. Introduction to

combinational logic synthesis. ROBDD principles, implementation, construction and manipulation.

Two level logic synthesis.

High-level synthesis- hardware model for high level synthesis. Internal representation of input

algorithms. Allocation, assignment and scheduling. Scheduling algorithms. Aspects of assignment.

High level transformations.

Text Books

1. S.H. Gerez, “Algorithms for VLSI Design Automation”, JohnWiley, 1998.

2. N.A.Sherwani, “Algorithms for VLSI Physical Design Automation”, (3/e),Kluwer, 1999.

Reference Books 1. S.M. Sait, H. Youssef, “VLSI Physical Design Automation”, World scientific,1999. 2. M.Sarrafzadeh, “Introduction to VLSI Physical Design”, McGraw Hill (IE),1996.

3. Recent literature in Physical Design Automation.

Course outcomes

At the end of the course student will be able

CO1: know how to place the blocks and how to partition the blocks while for designing the

layout for IC.

CO2: solve the performance issues in circuit layout.

CO3: analyze physical design problems and Employ appropriate automation algorithms for

partitioning, floor planning, placement and routing

CO4: decompose large mapping problem into pieces, including logic optimization with

partitioning, placement and routing

CO5: analyze circuits using both analytical and CAD tools

Page 125: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

124 | P a g e

Course Code : ECHO29

Course Title : MIXED - SIGNAL CIRCUIT DESIGN

Number of Credits 3

Prerequisites

(Course code)

: NONE

Course Type : HO

Course learning Objective

To make the students to understand the design and performance measures concept of mixed

signal circuit.

Course content Concepts of Mixed-Signal Design and Performance Measures. Fundamentals of Data Converters.

Nyquist Rate Converters and Over sampling Converters.

Design methodology for mixed signal IC design using gm/Id concept.

Design of Current mirrors. References. Comparators and Operational Amplifiers.

CMOS Digital Circuits Design: Design of MOSFET Switches and Switched-Capacitor Circuits,

Layout Considerations.

Design of frequency and Q tunable continuous time filters.

Text Books

1. R. Jacob Baker, Harry W. Li, David E. Boyce, CMOS, Circuit Design, Layout, and

Simulation, Wiley-IEEE Press,1998

2. David A. Johns and Ken Martin, Analog Integrated Circuit Design, John Wiley and

Sons,1997.

Course outcomes

At the end of the course student will be able

CO1: Appreciate the fundamentals of data converters and also optimized their performances.

CO2: Understand the design methodology for mixed signal IC design using gm/Id concept.

CO3: Analyze the design of current mirrors and operational amplifiers

CO4: Design the CMOS digital circuits and implement its layout.

CO5: design the frequency and Q tunable time domain filters.

Page 126: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

125 | P a g e

Course Code : ECHO30

Course Title : DIGITAL SIGNAL PROCESSING FOR MEDICAL IMAGING

Number of Credits 3

Prerequisites

(Course code)

: ECPC15

Course Type : HO

Course content: X-ray projection imaging-X-ray Generation-X-ray attenuation –X-ray Detectors- Factors that

determine X-ray image quality - Introduction to Computed Tomography (CT) – Parallel Beam

projection- Fan Beam projection- Relationship between Parallel beam and Fan beam projection-

Discrete Realization. Introduction to Magnetic resonance imaging-Bloch equation-Larmor frequency and the tip angle –

Trick on MRI- Selecting the human slice and the corresponding external RF pulse- Measurement of

the Transverse component using the receiver antenna-Sampling the MRI image in the frequency

domain-Practical difficulties and remedies in MRI Proton-Density, MRI image – 𝑇2 MRI image using

Spin-Echo and Cartesian scanning -𝑇2 MRI image using spin-echo and polar scanning - 𝑇1 MRI

image. Nuclear Imaging-Radiopharmaceuticals-Production of short-lived radioactive tracers-Detector

systems and the Anger camera-Single photon Emission computed tomography-Positron Emission

Tomography-Multi-modality Imaging. Ultrasound imaging-sound propagation in Biological Tissue-Ultrasound Image formation-Ultra sound

Generation and Echo Detection-A-mode scans-B-mode scans-M-mode scans-Volumetric scans and

3D Ultrasound – Doppler ultrasound. Medical image processing-Image Enhancement- Logarithmic display- Non-linear filtering-Image

subtraction-Linear filtering and the Hankel transformation - Histogram equalization - Histogram

specification. Medical image compression-Discrete Cosine Transformation-Hoteling transformation-

Feature extraction and classification-Dimensionality reduction using Principle component analysis-

Linear Discriminant analysis - Kernel-Linear discriminant analysis. Text Books

1. Jerry L. Prince, Jonathan M. Links, Medical imaging signals and systems, Pearson education,

second edition, 2014

2. Mark. A. Haidekkar, Medical Imaging technology, Springer briefs in physics,2013.

Reference Books

1. E.S. Gopi, Digital signal processing for medical imaging using Matlab, Springer, 2013

2. Paul suetens, Fundamentals of medical imaging, second edition, Cambridge university press,

2009.

3. MIT course: http://ocw.mit.edu/courses/nuclear-engineering/22-058-principles-of-medical-

imaging-fall-2002/index.htm

4. Recent literature in Digital Signal Processing for Medical Imaging. Course outcomes

At the end of the course student will be able

CO1: Describe the signal processing techniques involved in CT based Imaging techniques

CO2: Describe the signal processing techniques involved in MRI based Imaging techniques

CO3: Describe the signal processing techniques involved in Nuclear Imaging

CO4: Describe the signal processing techniques involved in Ultra sound Imaging

CO5: Describe the signal processing techniques involved in Medical image processing

Page 127: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

126 | P a g e

Course Code : ECHO31

Course Title : Advanced Techniques for Wireless Reception

Number of Credits : 3

Course Type : HO

Course Objective

To get an understanding of signal processing techniques for emerging wireless systems.

Course Content

Wireless signaling environment. Basic signal processing for wireless reception. Linear receivers for

synchronous CDMA. Blind and group-blind multiuser detection methods. Performance issues.

Robust multiuser detection for non-Gaussian channels; asymptotic performance , implementation

aspects.

Adaptive array processing in TDMA systems. Optimum space-time multiuser detection. Turbo

multiuser detection for synchronous and turbo coded CDMA.

Narrowband interface suppression. Linear and nonlinear predictive techniques. Code- aided

techniques. Performance comparison.

Signal Processing for wireless reception: Bayesian and sequential Montecarlo signal processing. Blind

adaptive equalization of MIMO channels .Signal processing for fading channels. Coherent detection

based on the EM algorithm. Decision-feedback differential detection. Signal processing for coded

OFDM systems.

Text Books

1. X.Wang & H.V.Poor, “Wireless Communication Systems”, Pearson, 2004.

2. R.Janaswamy, “Radio Wave Propagation and Smart Antennas for Wireless Communication”,

Kluwer, 2001.

Reference Books

1. M.Ibnkahla, “Signal Processing for Mobile Communications”, CRC Press, 2005.

2. A.V.H. Sheikh, “Wireless Communications Theory & Techniques”, Kluwer Academic

Publications, 2004.

3. A.Paulraj ,Arogyaswami, R. Nabar, and D.Gore, ”Introduction to Space-time Wireless

Communications”, Cambridge University Press, 2003.

4. Recent literature in Advanced Techniques for Wireless Reception.

Course Outcomes

Students are able to

CO1: discuss the Wireless signaling environment and Performance issues.

CO2: analyze the channel modeling and multiuser detection.

CO3: analyze the Adaptive array processing and turbo coded CDMA.

CO4: analyze Linear and nonlinear predictive techniques.

CO5: analyze the Signal Processing Techniques for wireless reception.

Page 128: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

127 | P a g e

Course Code : ECHO32

Course Title : Error Control Coding

Number of Credits : 3

Course Type : HO

Course Objective

To explain the importance of modern coding techniques in the design of digital

communication systems.

Course Content

Review of modern algebra. Galois fields. Linear block codes; encoding and decoding. Cyclic codes.

Non-binary codes.

Convolutional codes. Generator sequences. Structural properties. ML decoding. Viterbi decoding.

Sequential decoding.

Modulation codes. Trellis coded modulation. Lattice type Trellis codes. Geometrically uniform trellis

codes. Decoding of modulation codes.

Turbo codes. Turbo decoder. Interleaver. Turbo decoder. MAP and log MAP decoders. Iterative turbo

decoding. Optimum decoding of turbo codes.

Space-time codes. MIMO systems. Space-time codes. MIMO systems. Space-time block codes

(STBC) – decoding of STBC.

Text Books

1. S.Lin & D.J.Costello, “Error Control Coding (2/e)”, Pearson, 2005.

2. B.Vucetic & J.Yuan, “Turbo codes”, Kluwer, 2000

Reference Books

1. C.B.Schlegel & L.C.Perez, “Trellis and Turbo Coding”, Wiley,2004.

2. B.Vucetic & J.yuan, “Space-Time Coding”, Wiley, 2003.

3. R.Johannaesson & K.S.Zigangirov, “Fundamentals of Convolutional Coding”, Universities

Press, 2001.

4. Recent literature in Error Control Coding.

Course Outcome

Students are able to

CO1: understand the need for error correcting codes in data communication and storage systems.

CO2: identify the major classes of error detecting and error correcting codes and how they are

used in practice. Construct codes capable of correcting a specified number of errors.

CO3: use the mathematical tools for designing error correcting codes, including finite fields.

CO4: explain the operating principles of block codes, cyclic codes, convolution codes, modulation

codes, Turbo codes etc.

CO5: design an error correcting code for a given application.

Page 129: B. Tech. · Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015 1 | P a g e B.Tech. Curriculum Structure for the

Department of Electronics and Communication Engineering, National Institute of Technology, Tiruchirappalli – 620 015

128 | P a g e

Course Code : ECHO33

Course Title : Digital Communication Receivers

Number of Credits : 3

Course Type : HO

Course Objective

To expose the students to the latest trends in the design of digital communication receivers

with particular emphasis on synchronization, channel estimation and signal processing

aspects.

Course Content

Baseband PAM. Clock recovery circuits. Error tracking and spectral – line generating synchronizers.

Squaring and Mueller and Muller synchronizers.

Channel models. Receivers for PAM. Optimum ML receivers. Synchronized detection. Digital

matched filter.

ML synchronization algorithms – DD and NDA. Timing parameter and carrier phase estimation – DD

and NDA.

Performance analysis of carrier and symbol synchronizers. Feedback and feed forward synchronizers.

Cycle slipping Acquisition of carrier phase and symbol timing.

Fading channels. Statistical characterization. Flat and frequency selective fading channels. Optimal

receivers for data detection and synchronization parameter estimation. Realizable receiver structures

for synchronized detection.

Text Books

1. H.Meyer , M. Moeneclaey, and S. A. Fechtel, “Digital Communication Receivers”, Wiley,

1998.

2. U.Mengali & A.N.D.Andrea, “Synchronization Techniques for Digital Receivers”, Kluwer ,

1997.

Reference Books

1. N.Benuveruto & G.Cherubini, “Algorithms for Communication Systems and their

Applications”, Wiley, 2002.

2. H.Meyer & G.Ascheid, “Synchronization in Digital Communications”, John Wiley, 1990.

3. Recent literature in Digital Communication Receivers.

Course Outcomes

Students are able to

CO1: summarize baseband PAM and Synchronizers.

CO2: model and distinguish the channels.

CO3: interpret optimum receivers and matched filter receivers.

CO4: summarize phase and carrier estimation methods.

CO5: compare carrier and symbol synchronizers.