57
ASP-DAC 2004 Asia and South Pacific Design Automation Conference Click on the text below to go to: Cover Page Author Index Front Matter Table of Contents IEEE Catalog Number: 04EX753C ISBN: 0-7803-8176-9 ACM Order Number: 477042 © 2004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. CD-ROM produced by ACM SIGDA CD-ROM Project. For technical support, contact KP Publications on CD-ROM [email protected]

ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

  • Upload
    lydung

  • View
    223

  • Download
    2

Embed Size (px)

Citation preview

Page 1: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2004

Asia and South Pacific Design Automation Conference

Click on the text below to go to:

Cover Page Author Index Front Matter Table of Contents

IEEE Catalog Number: 04EX753C ISBN: 0-7803-8176-9 ACM Order Number: 477042

© 2004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.

CD-ROM produced by ACM SIGDA CD-ROM Project. For technical support, contact KP Publications on CD-ROM [email protected]

Page 2: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

http://www.aspdac.com

P R O C E E D I N G S

January 27 - 30, 2004Pacifico YokohamaYokohama, Japan

January 27 - 30, 2004Pacifico YokohamaYokohama, Japan

Asia and South Pacific Design Automation Conference 2004ASP-DAC 2004ASP-DAC 2004

IEICE IPSJACM SIGDAIEEE CAS

Sponsored by:

JEITA

In Cooperation with:Supported by:

STARC JIEPTAF City of Yokohama

Page 3: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Proceedings of the

ASP-DAC 2004Asia and South Pacific Design Automation Conference

2004

January 27-January 30, 2004Pacifico YokohamaYokohama, Japan

Sponsored by:IEEE Circuits and Systems SocietyACM SIGDAIEICE (Institute of Electronics, Information and

Communication Engineers)IPSJ (Information Processing Society of Japan)

Supported by:JEITA (Japan Electronics and Information Technologies

Industry Association)STARC (Semiconductor Technology Academic

Research Center)TAF (The Telecomminucation Advancement Foundation)City of Yokohama

In Cooperation with:JIEP (Japan Institute of Electronics Packaging)

Page 4: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Additional Copies may be ordered from:

IEEE Order Dept.Hoes LaneP.O. Box 1331Piscataway, NJ 08854, U.S.A.

Copyright and Reprint Permission: Abstracting is permitted with credit to the source. Li-braries are permitted to photocopy beyond the limit of U.S. copyright law for private use ofpatrons those articles in this volume that carry a code at the bottom of the first page, providedthe per-copy fee indicated in the code is paid through Copyright Clearance Center, 222 Rose-wood Drive, Danvers, MA 01923. For other copying, reprint or republication permission, writeto IEEE Copyrights Manager, IEEE Operations Center, 445 Hoes Lane, P.O. Box 1331, Piscat-away, NJ 08855-1331. All rights reserved. Copyright c©2004 by the Institute of Electrical andElectronics Engineers, Inc.

IEEE Catalog Number: 04EX753 (CD-ROM Version: 04EX753C)ISBN: 0-7803-8175-0 (CD-ROM Version: 0-7803-8176-9)Library of Congress: 2003111071

Page 5: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2004 General Chair’s Message

It is my pleasure and honor, on behalf of the Organizing Committee, to wel-come you to the Asia and South Pacific Design Automation Conference 2004(ASP-DAC 2004), a sister conference of DAC, DATE, and ICCAD. ASP-DAC2004 will be held at Pacifico Yokohama, Kanagawa Prefecture, Japan fromJanuary 27 through 30, 2004. This year’s ASP-DAC will be held again in thePacifico Yokohama, Japan, jointly with Electronic Design and Solution Fair2004. Because Yokohama area has many electronics industries and EDA com-panies, and many conferences and exhibitions are held here, Pacifico Yoko-hama is a familiar place for many of us.

The goal of the ASP-DAC is to provide the researchers and engineers working in the areaof SoC/ VLSI/Embedded System design and EDA/CAD technologies, with the access to globallevel leading edge information and opportunities for discussion and information exchange onthese topics.

We are preparing outstanding Keynote Addresses on ubiquitous computing, ITS (IntelligentTransport Systems), and future electronic systems and EDA Technologies, by the authorities ofthese areas. The details will be announced soon.

The Technical Program Committee, under the leadership of Prof’s. Hidekazu Terai, NikilDutt, Xianlong Hong, and Masahiro Fujita, have reviewed 291 papers from 28 countries to orga-nize the outstanding program. After careful selection, 148 papers are selected for presentationin the Conference. The selected papers cover the wide variety of hot topics from system leveldesign to physical design including embedded systems, reconfigurable systems, analog circuits,and TCAD.

The program also includes a session for design examples and panel discussions. The Uni-versity LSI Design Contest is also an important event of ASP-DAC, which focuses on a realchip design in academia. The Design Contest Committee, chaired by Prof’s Makoto Ikeda andXiaoyang Zeng, selected excellent designs for presentation.

On Tuesday, tutorials are scheduled to give complete introductions to the state-of-the-artSoC design and CAD topics.

ASP-DAC 2004 will be a precious opportunity for you to find valuable information byexchanging ideas with researchers and engineers working on SoC/VLSI design technologies.

We would be more than happy if we could provide you with a valuable and enjoyable expe-rience in this conference. We look forward to meeting with you in Yokohama.

Masaharu ImaiGeneral ChairASP-DAC 2004

iii

Page 6: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Techical Program Co-Chairs’ Message

Hidekazu Terai Nikil Dutt Xianlong Hong

On behalf of the Technical Program Com-mittee for the Asia and South Pacific DesignAutomation Conference 2004, we would liketo welcome all of you to the conference heldfrom January 27 through 30, 2004 at PacificoYokohama Conference Center in YokohamaCity, Japan.

We had 291 paper submissions for the tech-nical sessions. This is a 24% increase in submissions over last year. The submissions span 30countries/regions in Asia, North America, South America, Europe, Oceania, and Africa.

The conference’s Technical Program Committee was composed of 143 professionals whoare experts on EDA, LSI design, and embedded system design, and was organized into 11 topicgroups. Each topic group selected high quality papers through in-depth and prudent discussions.Finally, among the submitted papers, 100 and 48 papers were accepted as regular and shortpapers, respectively. Consequently, the acceptance ratio of 50.8 is almost the same as lastyear’s event. Accepted papers will be presented in 35 technical sessions, with 5 parallel trackson January, 28 and 4 parallel tracks on January, 29 and 30.

In addition to the regular technical sessions, we have several keynote address speeches andspecial sessions. The special sessions are composed of two panel discussions, two invited talks,and three embedded tutorials. Panel discussions are scheduled in Sessions 3E and 9C. Session3E, organized by R. Rajsuman and K. Hatayama, is titled ”Opportunities with the Open Archi-tecture Test System”. Session 9C, organized by M. Kawamura, is titled ”Future ReconfigurableComputing Architectures”.

Invited talk sessions are also organized by a number of distinguished researchers and engi-neers. Session 1A titled ”Selected European Activities in SoC Low Power Design Methodolo-gies and Research Networking” will present many hot topics concerned with the situation oflow power chip design and the related research activities in Europe. Session 4A titled ”C-basedDesign Examples” will present examples of successful C-based designs in companies.

Three tutorial talks by top researchers are also embedded in the technical sessions. Thesubjects in these tutorials cover recent important progresses in embedded system applications,modeling and methodology for Radio Frequency IC, and design for manufacturability (DFM)in nanometer era.

These special sessions will provide you with a wide variety of hot and exciting topics fromsystem level to circuits, test and physical level design.

As Co-Chairs of the Technical Program Committee, we would like to thank all members ofthe Technical Program Committee; Topic Chairs and their Vice Topic Chairs, K. Wakabayashi,D. Araki, H. Takada, H. Tomiyama, Y. Matsunaga, K. Eguchi, M. Toyonaga, M. Hashimoto, T.Watanabe, K. Takamizawa, T. Hayashi, K. Nakane, K. Mashiko, S. Kawahito, H. Masuda, M.Kawamura, H. Amano, and T. Onoye, and reviewers of papers, session organizers and modera-tors. We would like to express our sincere thanks to authors who submitted papers and speakerswho will present their papers at the conference. We are grateful to the Publication Chair, KKobayashi for his contributions in preparing the advance and final program brochures and theproceedings of the conference. We also thank the TPC Vice Chair, M. Fujita, and the TPCSecretaries K. Hamaguchi, M. Fukui, and K. Sakanushi for their contribution towards the de-

iv

Page 7: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

velopment and management of the Web-based paper submitting/reviewing system, and for theirdiligent efforts in processing a huge number of submissions.

We would be more than happy if you could attend the conference and find something newin the directions of EDA and design technologies during ASP-DAC 2004.

Co-Chairs, Technical Program Committee

Co-ChairHidekazu TeraiRitsumeikan University

Co-ChairNikil DuttUniversity of California, Irvine

Co-ChairXianlong HongTsinghua University

v

Page 8: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

University LSI Design Contest

Makoto Ikeda Zeng Xiaoyang Hideki Yamauchi

The University LSI Design Contest wasconceived as a unique program of ASP-DACConference. The purpose of the Contest is toencourage education and research in LSI de-sign, and its realization on chips at universities,and other educational organizations by provid-ing opportunities to present and discuss inno-vative and state-of-the-art designs at the con-ference. Application areas and types of circuitsinclude (1) Analog and Mixed-Signal Circuits,(2) Digital Signal processing, (3) Microprocessors, and (4) Custom Application Specific Cir-cuits. Methods or technology used for implementation include (a) Full Custom and Cell-BasedLSIs, (b) Gate Arrays, and (c) Field Programmable Devices, including FPGA/PLDs.

This year, twenty-eight selected designs from seven countries/areas will be disclosed inSession 6C with a short presentations followed by live discussions in front of posters. Submitteddesigns were reviewed by the members of the University Design Contest Committee based onthe following criteria: Reliability of design and implementation, Quality of implementation,Performance of the design, Novelty, and Additional special features. In the selection process,emphasis was placed more on reliability, quality, and performance. As a result, the twenty-eightdesigns were selected. Also, we have instituted one outstanding design award.

It is with great pleasure that we acknowledge the contributions to the Design Contest, andit is our earnest belief that it will promote and enhance research and education in LSI designin academic organizations. It is also our hope that many people not only in academia but inindustry will attend the contest and enjoy the stimulating discussions.

Co-Chairs, University LSI Design Contest Committee

Co-ChairMakoto IkedaUniv. of TokyoCo-ChairZeng XiaoyangFudan UniversityVice ChairHideki YamauchiSanyo Electric Co.

vi

Page 9: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Keynote Address I

CITRIS: The Center for InformationTechnology Research in the Interest

of Society at the University of California

Dr. Gary L. Baldwin

Executive Director, CITRIS, USA

This talk will give an overview of CITRIS and the unique approach to its research, interaction withindustry, and the implications of its education programs.

The Center for Information Technology in the Interest of Society (CITRIS) was founded on July 1,2001, as a collaboration among the University of California at Berkeley (UCB), Davis (UCD), Merced(UCM) and Santa Cruz (UCSC). The CITRIS mission is to sponsor and house collaborative informa-tion technology (IT) research to provide solutions to grand-challenge social and commercial problemsaffecting the quality of life of individuals and organizations. CITRIS is one of four California Insti-tutes of Science and Innovation established by Governor Gray Davis to create a partnership betweenthe University of California and state’s leading-edge businesses to lay the foundation for the ”next NewEconomy.”

The CITRIS research agenda now embraces more than 200 faculty members from over 50 depart-ments among the four participating U.C. campuses. It encompasses over 150 separate research activities,sponsored both by external funding agencies as well as through CITRIS seed funds. CITRIS has iden-tified Societal-Scale Information Systems (SISs) as core research vehicles for addressing many of thesocietal problems of large scale that we face today and anticipate in the future. In this context, “soci-etal”; refers both to the size and impact of the proposed system, as well as one of our most importantmetrics of success - it must improve people’s lives and the lives of organizations.

Whether it involves

• the simple act of buying an energy-efficient refrigerator or source of illumination, or monitoringbuildings, bridges, and highways for structural integrity during an earthquake, or

• monitoring the status and delivering medications in home health care devices for the elderly, or

• delivering educational course materials over diverse geographies, or

• aiding fire and rescue teams in navigating safely through smoke-filled buildings, or

• guarding the quality of our food and water,

an SIS can be applied to collect, understand, and help people with the vast quantities of informationneeded to address these problems. This partial list of societal-scale applications is being addressed by anextensive, evolving, and diverse set of research projects within CITRIS, all linked by their relevance tosocietal impact.

Our initial vision for one of the most important SISs is that it will integrate vast numbers of tiny wire-less sensors, hand-held information devices, large computing clusters, and large data sets into systemsthat make it easy for all citizens to monitor and gather data. The sensors themselves must be very cheapand operate without batteries so that they become widely used and require no maintenance. There mustbe a reliable network to connect the sensors to monitoring systems in a way that requires no action on thepart of the user to install, activate or maintain. The network must be secure, so that privacy is respectedand malicious use cannot occur. By thinking through these system requirements, from the highest user

vii

Page 10: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

interface to basic device and algorithmic structures, the CITRIS project portfolio is embracing all ofthese challenges and more.

CITRIS is a public-private partnership whose long-term success depends upon fostering an open andcollaborative relationship between the State of California and the federal government (through grantsand contracts), CITRIS industrial partners, and CITRIS University partners.

This is a dangerous number to quote. I’m sure we wouldn’t be precise. Does it include the CITRISseeded projects as well as federal and state funded? We’d never get this right. I suggest leaving it out.GLB

viii

Page 11: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Keynote Address II

System Level Design Technology for Realizing anAmbient Intelligent Environment

Dr. Rudy Lauwereins

Vice President, IMEC, Belgium

The advent of the intelligent environment or ”ambient intelligence” is a serious challenge f or thesystems designer. The systems of the future are small, complex, flexible and consume little en ergy.These conflicting requirements require new ways of designing that differ radically from convent ionalmethods. A real software washing machine will solve the restrictions of today’s methods in the nearfuture.

Full paper is appeared at Page.1.

ix

Page 12: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2003 Best Papers

Best Paper AwardTowards On-Chip Fault-Tolerant CommunicationT. Dumitras, S. Kerner, R. Marculescu (Carnegie Mellon University, USA)

Statistical Delay Computation Considering Spatial CorrelationsA. B. Agarwal, D. Blaauw (University of Michigan, USA) S. Sundareswaran, V. Zolotov, M. Zhao,K. Gala, R. Panda (Motorola,USA)

Design of a Scalable RSA and ECC Crypto-ProcessorM.-C. Sun, C.-P. Su, C.-T. Huang, C.-W. Wu (National Tsing Hua University, Taiwan)

Design Contest Award

Outstanding Design Award

A Still Image Encoder Based on Adaptive Resolution Vector Quantization Employing Need-less Calculation Elimination ArchitectureM. Fujibayashi, T. Nozawa, T. Nakayama, K. Mochizuki, K. Kotani, S. Sugawa, T. Ohmi (TohokuUniv., Japan)

Special Feature Award

A Nearest-Hamming-Distance Search Memory With Fully Parallel Mixed Digital-AnalogMatch CircuitryT. Koide, H. J. Mattausch, Y. Yano, T. Gyohten, Y. Soda (Hiroshima Univ., Japan)

A Highly Efficient AES Cipher ChipC.-P. Su, T.-F. Lin, C.-T. Haung, C.-W. Wu (National Tsing Hua Univ., Taiwan)

x

Page 13: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2004 Best Papers

Best Paper Candidates2C-2 NSGA-Based Parasitic-Aware Optimization of A 5GHz Low-Noise VCO

M. Chu, D. J. Allstot (Univ. of Washington, USA), J. M. Huard, K. Y. Wong (National Semicon-ductor Corp., USA)

2E-1 Efficient RT-level Fault Diagnosis MethodologyO. Sinanoglu, A. Orailoglu (UC San Diego, USA)

4B-1 A Place and Route Aware Buffered Steiner Tree ConstructionC. Sze, J. Hu (Texas A&M Univ., USA), C. J. Alpert (IBM Austin Research Laboratory, USA)

5A-3 Exploiting State Encoding for Invariant Generation in Induction-based Property CheckingM. Wedler, D. Stoffel, W. Kunz (Univ. of Kaiserslautern, Germany)

6D-1 Preserving Synchronizing Sequences of Sequential Circuits After RetimingM. Mneimneh, K. Sakallah (Univ. of Michigan, USA), J. Moondanos (Intel Corp., USA)

8B-1 Representative Frequency for Interconnect R(f)L(f)C ExtractionA. Tsuchiya, M. Hashimoto, H. Onodera (Kyoto Univ., Japan)

8B-3 An efficient method MEGCR for solving systems with multiple right-hand sides in 3-D par-asitic inductance extractionL. Yang, X. Guo, Z. Wang (Tsinghua Univ., China)

8C-3 Temporal Floorplanning Using 3D-subTCGP. Yuh, C. Yang, Y. Chang (National Taiwan Univ., Taiwan), H. Chen (Etron Tech. Inc., Taiwan)

Best Paper Award8B-1 Representative Frequency for Interconnect R(f)L(f)C Extraction

A. Tsuchiya, M. Hashimoto, H. Onodera (Kyoto Univ., Japan)

6D-1 Preserving Synchronizing Sequences of Sequential Circuits After RetimingM. Mneimneh, K. Sakallah (Univ. of Michigan, USA), J. Moondanos (Intel Corp., USA)

Design Contest Award

Outstanding Design Award

6C-1 Design of Real-Time VGA 3-D Image Sensor Using Mixed-Signal TechniquesY. Oike, M. Ikeda, K. Asada (Univ. of Tokyo, Japan)

Special Feature Award

6C-2 A Bandwidth and Memory Efficient MPEG-4 Shape EncoderK. Lee, N. Y. Chang, H. Chin, H. Hsu, C. Jen (National Chiao Tung Univ., Taiwan)

6C-9 Fast Adaptive DC-DC Conversion Using Dual-Loop One-Cycle Control in Standard DigitalCMOS ProcessD. Ma, W. Ki, C. Tsui (HKUST, Hong Kong)

xi

Page 14: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2004 Organizing Committee

General Chair

Masaharu ImaiOsaka University

Secretary

Yoshinori TakeuchiOsaka University

Secretary

Kazuhito ItoSaitama University

Secretary

Hiroyuki TomiyamaNagoya University

Past ChairSC Chair

Hiroto YasuuraKyushu University

TPC Co-Chair

Hidekazu TeraiRitsumeikan University

TPC Co-Chair

Nikil DuttUniversity of California, Irvine

TPC Co-Chair

Xianlong HongTsinghua University

TPC Vice Chair

Masahiro FujitaUniversity of Tokyo

TPC Secretary

Kiyoharu HamaguchiOsaka University

xii

Page 15: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

TPC Secretary

Keishi SakanushiOsaka University

TPC Secretary

Masahiro FukuiRitsumeikan University

Design Contest Co-Chair

Makoto IkedaUniversity of Tokyo

Design Contest Co-Chair

Zeng XiaoyangFudan University

Design Contest Vice Chair

Hideki YamauchiSanyo Electric Co.

Tutorial Co-Chair

Shinji KimuraWaseda University

Tutorial Co-Chair

Yang HaigangLattice Semiconductor

Finance Co-Chair

Nagisa IshiuraKwansei Gakuin University

Finance Co-Chair

Tsuneo NakataFujitsu Laboratories

Publicity Co-Chair

Tsutomu SasaoKyushu Institute of Technology

xiii

Page 16: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Publicity Co-Chair

Yukihiro IguchiMeiji University

Publication Chair

Kazutoshi KobayashiUniversity of Tokyo

Audio Visual Chair

Youichi ShiraishiGunma University

Local Arrangement Chair

Atsushi TakahashiTokyo Institute of Technology

LA Secretary

Masato InagiTokyo Institute of Technology

Registration Chair

Kunihiro FujiyoshiTokyo University of Agriculture and Tech-nology

Promotion Chair

Yusuke MatsunagaKyushu University

Marketing Advisory Chair

Hiromitsu FujiiNihon Synopsys Co. Ltd.

ASP-DAC Japan Council Rep.

Tokinori KozawaSTARC

ASP-DAC Japan Council Rep.

Kenji YoshidaCadence Design Systems

xiv

Page 17: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC Rep. at DAC

Hidetoshi OnoderaKyoto University

ASP-DAC Rep. at DATE

Masaharu ImaiOsaka University

IEICE/CAS Rep.

Tokinori KozawaSTARC

IEICE/ICD Rep.

Michitaka KameyamaTohoku University

IEICE/VLD Rep.

Masao YanagisawaWaseda University

IPSJ/SLDM Rep.

Hidekazu TeraiRitsumeikan University

JIEP Rep.

Akinori KanasugiTokyo Denki University

IWCM Rep.

Mitiko Miura-MattauschHiroshima University

JEITA/EDA TC Rep.

Mitsuru NadaokaOki Electric Industy

EDSF Chair

Masaki HayashiSharp

xv

Page 18: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Secretariat

Jirou IrieJapan Electronics Show Association

Secretariat

Yoshinori IshizakiJapan Electronics Show Association

Secretariat

Mieko MoriJapan Electronics Show Association

Secretariat

Kohei TorikaiJapan Electronics Show Association

Secretariat

Yuka ArikawaJapan Electronics Show Association

xvi

Page 19: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC Steering Committee

Chair

Hiroto YasuuraKyusyu [email protected]

Vice Chair

Takeshi YoshimuraWaseda [email protected]

Secretary

Toshihiro HattoriSuperH (Japan), [email protected]

Secretary

Kazutoshi [email protected]

ASP-DAC 2002 General Chair

Sunil D. SherlekarTata Consultancy Services

ASP-DAC 2001 General Chair

Satoshi GotoWaseda University

ACM SIGDA Rep.

Nikil DuttUniversity of California at Irvine

IEEE CAS Rep.

Ellen J. YoffaIBM Corporation

DAC Representative

William H. Joyner Jr.SRC, Research Triangle Park

DATE Representative

Peter MarwedelUniversity of Dortmund

xvii

Page 20: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

JEITA/EDA TC Rep.

Mitsuru NadaokaOki Electric Industy Co., Ltd.

EDSF Chair

Masaki HayashiSharp

IEICE TGCAS Chair

Tokinori KozawaSemiconductor Technology Academic Re-search Center

IEICE TGVLD Chair

Masao YanagisawaWaseda University

IEICE TGICD Chair

Mihcitaka   KameyamaTohoku  University

IPSJ SIG SLDM Chair

Hidekazu TeraiRitsumeikan University

STARC Rep.

Tokinori KozawaSemiconductor Technology Academic Re-search Center

JIEP Rep.

Akinori KanasugiTokyo Denki University

International Members

Richard M M ChenCity University of Hong Kong

Graham R. HellestrandVaST Systems Technology Corporation

xviii

Page 21: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Xian-Long HongTsinghua University, Beijing

Chong-Min KyungKorea Advanced Institute of Science andTechnology

Youn-Long Steve LinTsing Hua University, Hsin-Chu

Alexander StempkovskyRussin Academy of Sciences

Qianling ZhangFudan University

Advisory Members

Basant R. ChawlaGenentech

Hideo FujiwaraNara Institute of Science and Technology

Fumiyasu HiroseCadence Design Systems, Japan

Masaharu ImaiOsaka University

Takashi KambeKinki University

xix

Page 22: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Hiroaki KuniedaTokyo Institute of Technology

Hidetoshi OnoderaKyoto University

Isao ShirakawaProfessor Emeritus of Osaka University

Kenji YoshidaCadence Design Systems, Japan

xx

Page 23: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

ASP-DAC 2004 Technical Program Committee

Co-Chairs

Hidekazu TeraiRitsumeikan [email protected]

Nikil DuttUniversity of California, [email protected]

Xianlong HongTsinghua [email protected]

Vice ChairMasahiro FujitaUniversity of [email protected]

Secretaries

Keishi SakanushiOsaka [email protected]

Kiyoharu HamaguchiOsaka [email protected]

Masahiro FukuiRitsumeikan [email protected]

Subcommittees (∗/† indicate the subcommitte chair/vice-chair.)[1] System Level Design Methodology

∗ Kazutoshi WakabayashiNEC

† Dai ArakiInterDesign Technologies, Inc.

Jun-Dong ChoSungKyunKwan University

Tony GivargisUniversity of California, Irvine

Joerg HenkelNEC Lab.

Tohru IshiharaFujitsu Laboratories of Amer-ica, Inc.

Nagisa IshiuraKwansei Gakuin University

Akira KawaguchiGAIA System

Wayne LukImperial College

Radu MarculescuCarnegie Mellon University

Hiroshi NakamuraUniversity of Tokyo

Loganath RamachandranSynopsys

Wolfgang RosenstielUniversity Tubingen

Katsuharu SuzukiNEC Corp.

Wayne WolfPrinceton University

Allen C. -H. WuTsing Hua University

Qiang ZhuFujitsu Labs.

[2] Embedded and Real-Time Systems

∗ Hiroaki TakadaNagoya University

† Hiroyuki TomiyamaNagoya University

Naehyuck ChangSeoul National University

Pai ChouUniversity of California, Irvine

Xiaobo Sharon HuUniversity of Notre Dame

xxi

Page 24: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Ing-Jer HuangNational Sun Yat-Sen Univer-sity

Akihiko InoueMatsushita Electric IndustrialCo. Ltd.

Ahmed Amine JerrayaTIMA/INPG

Tatsuo NakajimaWaseda University

Preeti Ranjan PandaIndian Institute of Technology,Delhi

[3] Behavioral/Logic Synthesis and Optimization

∗ Yusuke MatsunagaKyushu University

Rolf DrechslerUniversity of Bremen

Hiroyuki HiguchiFujitsu Laboratories

Yuji KukimotoCadence Design Systems

Wolfgang KunzUniversity of Kaiserslautern

Shin-ichi MinatoNTT

Rajeev MurgaiFujitsu Laboratories of Amer-ica

Hiroyuki OchiHiroshima City University

Tsutomu SasaoKyushu Institute of Technol-ogy

Shigeru YamashitaNAIST

[4] Validation and Verification for Behavioral/Logic Design

∗ Kazuhiko EguchiAichi Institute of Technology

† Masahiko ToyonagaKochi University

Stephen P. G. ChappellCeloxica Ltd.

Atsuo HottaAichi Institute of Technology

Minoru InamoriNTT Cyber Space Laboratories

Satoshi KojimaMentor Graphics Japan Co.Ltd

Tsu-wei KuApex Design Systems, Inc.

Yuichiro MoriKochi University

Kouichi NagamiNetwork Innovation Laborato-ries

S. K. NandyIndian Institute of Science

Goro SuzukiThe University of Kitakyushu

Chang Joseph SylvesterNanyang Technological Uni-versity

Kazuyoshi TakagiNagoya University

Atsushi TakaharaNTT BizLink, Inc.

Tomohiro YonedaTokyo Institute of Technology

[5] Optimization and Verification in Circuit and Chip

∗ Masanori HashimotoKyoto University

Charlie Chung-ping ChenNational Taiwan University

Chung-kuan ChengUniversity of California, SanDiego

Atsushi KurokawaSTARC

David Z. PanThe University of Texas atAustin

Sachin SapatnekarUniversity of Minnesota

xxii

Page 25: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Takashi SatoRenesas Technology Corp.

Masaaki YamadaToshiba Microelectronics

Ryuichi YamaguchiMatsushita Electric IndustrialCo. Ltd

.

Janet Meling WangUniversity of Arizona at Tuc-son

[6] Performance Driven Physical Design

∗ Takahiro WatanabeWaseda University

† Kazuhiko TakamizawaEuphonic Technologies, Inc.

Wayne DaiUC Santa Cruz

Toshihiro HattoriSuperH (Japan), Ltd.

Xianlong HongTsinghua University

Mineo KanekoJAIST

Kaoru KawamuraFujitsu lab.

Hitoshi KitazawaTokyo University of Agricul-ture and Technology

Cheng-kok KohPurdue University

Tetsushi KoideHiroshima University

Shigetoshi NakatakeThe University of Kitakyushu

Michiroh OhmuraHiroshima Institute of Technol-ogy

Nobuto OnoSII EDA Technologies Inc.

Shunji SaikaMatsushita Electric IndustrialCo. Ltd.

Youichi ShiraishiGunma University

Atsushi TakahashiTokyo Institute of Technology

Yasuhiro TakashimaFAIS

Shuji TsukiyamaChuo University

Masahiko ToyonagaKochi University

Shin’ichi WakabayashiHiroshima City University

Masaaki YamadaToshiba Microelectronics

Yan ZhangUniversity of California, LosAngels

Takeshi YoshimuraWaseda University

[7] Test Technology and Design for Testability

∗ Terumine HayashiMie University

† Koji NakamaeOsaka University

Takashi AikyoFujitsu Ltd.

Kazumi HatayamaRenesas Technology Corp.

Toshinori HosokawaNihon University

Michiko InoueNAIST

Kuen-Jong LeeNational Cheng Kung Univer-sity

Yukiya MiuraTokyo Metropolitan Univeristy

Alex OrailogluUniversity of California, SanDiego

Rochit RajsumanAdvantest America R&D Cen-ter, Inc.

Yasuo SatoSTARC

Chauchin SuNational Chiao Tung Unversity

Hiroshi TakahashiEhime University

Masaaki YoshidaNEC electronics corporation

[8] Analog and RF Circuit Design

xxiii

Page 26: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

∗ Koichiro MashikoSTARC

† Shoji KawahitoShizuoka University

Akira HyogoTokyo University of Science

Masao ItoRenesas Technology Corp.

Wing-Hung KiHKUST

Haruo KobayashiGunma University

Seijiro MoriyamaInnotech Corporation

Makoto NagataKobe University

Sri ParameswaranUniversity of New South Wales

[9] Design for Manufacturability (TCAD)

∗ Hiroo MasudaSTARC

Uwe FeldmannInfineon Technologies

Yasunori IwatsuToshiba Microelectronics Cen-ter

Kenji NishiKinki University Tech. College

Kenichiro SonodaRenesas Technology Corp.

Albert WangIllinois Institute of Technology

Masaharu YamamotoSTARC

Zhiping YuTsinghua University

xxiv

Page 27: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

[10] Reconfigurable Systems

∗ Masahiko KawamuraToshiba Corp.

† Hideharu AmanoKeio University

Yao-wen ChangNational Taiwan University

Kamal ChaudharyXilinx Corp.

Kiyoung ChoiSeoul Naitonal University

Andre’ DeHonCaltech

Thomas HuangAptix Corp.

Reiner W. HartensteinUniversity of Kaiserslautern

Nobuki KajiharaNEC

Majid SarrafzadehUniversity of California, LosAngeles

Gary SpiveyGeorge Fox University

Joshua WalstromAltera Corp.

Takashi YokotaUtsunomiya University

[11] Leading-Edge Design Experiments

∗ Takao OnoyeOsaka University

† Hiroyuki OkuhataSynthesis Corporation

Hideki AndoNagoya University

Seongsoo LeeSoongsil University

Satoshi MatsushitaNEC Corporation

Takashi MiyamoriToshiba Corporation

Yulu YangNankai University

xxv

Page 28: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

University LSI Design Contest Committee

Co-Chairs

Makoto IkedaUniversity of [email protected]

Zeng XiaoyangFudan [email protected]

Vice ChairHideki YamauchiSanyo Electric Co.

[email protected]

Chi-Ying TsuiThe Hong Kong University ofScience and Technology

Hideharu AmanoKeio Univ.

Hideo OwadaFujitsu Lab.

Hidetoshi OnoderaKyoto University

Yasuyuki MatsuyaNTT

Ingrid VerbauwhedeUniversity of California, LosAngeles

Kang Hyeon RheeChosun University

Kazuaki MurakamiKyushu University

Koji KotaniTohoku University

Makoto NagataKobe University

Masato MotomuraNEC

Minkyu SongDongguk Univ.

Nobukazu TAKAITokyo Polytechnic University

Shoji KawahitoShizuoka University

Shyh-Jye JouNational Central University

Takafumi AokiTohoku University

Takao OnoyeOsaka Univ.

Tomohisa WadaUniversity of the Ryukyu

Toshiro TsukadaSTARC

Vojin G OklobdzijaUniversity of California

xxvi

Page 29: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

List of Reviewers

Bhavna AgrawalTakashi AikyoHideharu AmanoHideki AndoTetsuya AoyamaDai ArakiToru AwashimaFelice BalarinNico BannowThomas BrandtnerAxel G. BraunChaitali ChakrabartiJoseph Sylvester ChangNaehyuck ChangStephen P.G. ChappellKamal ChaudharyTsung-Hao ChenChung-Kuan ChengJun-Dong ChoYongseok ChoiPai H. ChouAndre DeHonDirk DesmetRolf DrechslerBasant Kumar DwivediMasato EdahiroKazuhiko EguchiKatsumi EikyuToshiyuki EndaKunihiro FujiyoshiUwe FeldmannMartin FrerichsMasato FujinagaDaisuke FukudaMakoto FuruieAnup GangwarTony GivargisBita Gorji-AraLokesh GuptaMasachika HamabeTakashi HashimotoMasaki HashizumeKazumi HatayamaToshihiro HattoriTerumine HayashiGerald Heim

Joerg HenkelYoshinobu HigamiHiroyuki HiguchiXianlong HongKatsumi HonmaToshinori HosokawaAtsuo HottaJingcao HuXiaobo Sharon HuIng-Jer HuangAkira HyogoMasato InagiOsamu IchikawaYukihiro IguchiTakeo ImaiMinoru InamoriAkihiko InoueTakeshi InuoToru IshiharaKiyoshi IshikawaNagisa IshiuraAkio IshizukaNoriyuki ItoNobufusa IwanishiHiroaki IwashitaYasunori IwatsuAhmed A. JerrayaRong JiangYong Soo JooSeiji KajiharaYoji KajitaniNagaPraveen KallaMasayuki KameiHirokazu KamiYuji KanazawaMineo KanekoChandramouli KashyapAkira KawaguchiShoji KawaharaShoji KawahitoYoshiyuki KawakamiHideyuki1 KawakitaMasahiko KawamuraWing-Hung KiKwanho KimKatsuyuki Kimura

HItoshi KitazawaHaruo KobayashiCheng-Kok KohTetsushi KoideSatoshi KojimaSatoshi KomatsuVictor KravetsYukiko KuboYuji KukimotoTatsuya KunikiyoWolfgang KunzAtsushi KurokawaShinya KuwamuraKuen-Jong LeeRainer LeupersHyunmin LimMasayuki MizunoToshiyuki MaedaWai-Kei MakRadu MarculescuHoshino MasashiKoichiro MashikoHiroo MasudaYusuke MatsunagaSatoshi MatsushitaCarsten MennShin-ichi MinatoYukiya MiuraHiroshi MiyajimaTakashi MiyamoriHiroshi MiyashitaMasahide MiyazakiYuichiro MoriSeijiro MoriyamaKenji NishiKouichi NagamiMakoto NagataTatsuo NakajimaKoji NakamaeHiroshi NakamuraMichinobu NakaoShigetoshi NakatakeShogo NakayaSoumitra Kumar NandyWilliam R. MigatzMasafumi Nikaido

xxvii

Page 30: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Kenji NishiOsamu NishiiShinichi NodaHiroyuki OchiTaku OhsawaHiroyuki OchiMichiroh OhmuraRyosuke OishiTakumi OkamotoHiroyuki OkuhataRoberto Yusi OmakiNobuto OnoTakao OnoyeTobias OppoldAlex OrailogluDavid PanPreeti Ranjan PandaSri ParameswaranRuchir PuriGang QuanRochit RajsumanTero P. RissaMarcus RittMichail RomesisWolfgang RosenstielAtsufumi ShibayamaYasuhisa ShimazakiShunji SaikaHiroshi SaitoYoshisato SakaiSachin SapatnekarTsutomu SasaoTakashi Sato

Jurgen SchnerrCarsten Schulz-KeyThomas SchweizerToshiyuki ShibuyaYoichi ShiraishiAxel SiebenbornRobert SiegmundKenichiro SonodaGary E. SpiveyP. V. SrinivasChauchin SuKolja SulimmaHidekana SusaKatsuharu SuzukiJeng-Liang TsaiKazuyoshi TakagiAtsushi TakaharaWataru TakahashiKazuhiko TakamizawaYasuhiro TakashimaHiroyuki TakashinoKoichi TakedaSadami TakeokaTakanori TamaiYutaka TamiyaHiroyoshi TanimotoMotoaki TanizawaShigeyoshi TawadaNozumu TogawaYoshinori TomitaHiroyuki TomiyamaMinoru TomobeMasahiko Toyonaga

Shuji TsukiyamaTetsuya UchidaMasato UchiyamaTeiichi UekiKimiyoshi UsamiSatya Kiran M. N. V.Shin’ichi WakabayashiJoshua Daniel WalstromJanet Meiling WangZhong WangTakahiro WatanabeLaurens WeissMarkus K. WinterholerWayne WolfSiu Kei WongAllen C.-H. WuMin XieMasaaki YamadaRyuichi YamaguchiMasaharu YamamotoShigeru YamashitaTsukasa YamauchiChia-Lin YangToshihiko YokomaruTakashi YokotaTomohiro YonedaMasaaki YoshidaTakeshi YoshimuraZhiping YuYong ZhanYan ZhangQiang Zhu

xxviii

Page 31: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Contents

ASP-DAC 2004 General Chair’s Message iii

Techical Program Co-Chairs’ Message iv

University LSI Design Contest vi

Keynote Addresses vii

ASP-DAC 2003 Best Papers x

ASP-DAC 2004 Best Papers xi

ASP-DAC 2004 Organizing Committee xii

ASP-DAC Steering Committee xvii

ASP-DAC 2004 Technical Program Committee xxi

University LSI Design Contest Committee xxvi

List of Reviewers xxvii

xxix

Page 32: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Keynote Address

2K System Level Design Technology for Realizing an Ambient Intelligent Envi-ronmentRudy Lauwereins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1

Session 1A(Special Session) Invited Talks: Selected European Activities in SoC

Low Power Design Methodologies and Research Networking

Co-Chairs: Wolfgang Rosenstiel, Masahiro Fujita

1A-1 Fast, Predictable, and Low-energy Memory References throughArchitecture-aware CompilationPeter Marwedel, Manish Verma, Lars Wehmeyer, Stefan Steinke and UrsHelmig . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4

1A-2 Predictable Design of Low Power Systems by Pre-Implementation Estima-tion and OptimizationWolfgang H. Nebel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12

1A-3 EuroSoC: Towards a Joint University/Industry Research Infrastructure forSystem on Chip and System in PackageAhmed A. Jerraya . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18

Session 1BFloorplanning

Co-Chairs: Xianlong Hong, Kazuhiko Takamizawa

1B-1 Abstraction and Optimization of Consistent Floorplanning with PillarBlock ConstraintsNing Fu, Shigetoshi Nakatake, Yasuhiro Takashima and Yoji Kajitani . . .19

1B-2 Space Planning: Placement of Modules with Controlled Empty Area by Sin-gle SequenceXuliang Zhang and Yoji Kajitani . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25

1B-3 Layer Assignment for Reliable System-on-PackageJacob Rajkumar Minz and Sung Kyu Lim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31

1B-4 On Handling Arbitrary Rectilinear Shape ConstraintXiaoping Tang and Martin D.F. Wong . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38

1B-5 Robust Fixed-outline Floorplanning through Evolutionary SearchChang-Tzu Lin, De-Sheng Chen and Yi-Wen Wang . . . . . . . . . . . . . . . . . . . . . .42

xxx

Page 33: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 1CModeling for Analog Circuits

Co-Chairs: Yu-Chung Huang, Makoto Nagata

1C-1 Analog Circuit Behavioral Modeling via Wavelet Collocation Method withAuto-CompandingJian Wang, Jun Tao, Xuan Zeng, Charles Chiang and Dian Zhou . . . . . .45

1C-2 High-level Modeling of Continuous-Time∆Σ A/D-Converters Using FormalModelsEwout Stijn Martens and Georges Gielen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51

1C-3 High-Frequency Noise in RF Active CMOS MixersPayam Heydari . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57

1C-4 On Mismatch in the Deep Sub-Micron Era - from Physics to CircuitsRasit Onur Topaloglu and Alex Orailoglu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62

Session 1DBehavioral Synthesis

Co-Chairs: Pai Chou, Akihisa Yamada

1D-1 Register Binding and Port Assignment for Multiplexer OptimizationDeming Chen and Jason Cong . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68

1D-2 A Thread Partitioning Algorithm in Low Power High-Level SynthesisJumpei Uchida, Nozomu Togawa, Masao Yanagisawa and Tatsuo Ohtsuki74

1D-3 Minimization of Fractional Wordlength on Fixed-Point Conversion forHigh-Level SynthesisNobuhiro Doi, Takashi Horiyama, Masaki Nakanishi and Shinji Kimura .80

1D-4 A Procedure for Obtaining a Behavioral Description for the Control Logicof a Non-linear PipelineHashem Hashemi Najaf-Abadi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86

Session 1EDelay Test and BIST

Co-Chairs: Srimat Chakradhar, Hiroshi Takahashi

1E-1 TranGen: A SAT-Based ATPG for Path-Oriented Transition FaultsKai Yang, Kwang-Ting Cheng and Li-C. Wang . . . . . . . . . . . . . . . . . . . . . . . . .92

1E-2 Longest Path Selection for Delay Test under Process VariationXiang Lu, Zhuo Li, Wangqi Qiu, Duncan M. H. Walker and Weiping Shi98

xxxi

Page 34: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

1E-3 SRAM Delay Fault Modeling and Test Algorithm DevelopmentRei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou and Cheng-Wen Wu . . . . . . .104

1E-4 An Efficient Design of Non-linear CA Based PRPG for VLSI Circuit TestingSukanta Das, Debdas Dey, Biplab K Sikdar and P Pal Chaudhuri . . . . . . .110

1E-5 Combinatorial Group Testing Methods for the BIST Diagnosis ProblemAndrew B Kahng and Sherief Reda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113

Session 2A(Special Session) Embedded Tutorial + Reguler Session: Embedded

System Applications

Co-Chairs: Hiroyuki Tomiyama, Ahmed A. Jerraya

2A-1 Toward Mobile Phone LinuxYukikazu Nakamoto . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117

2A-2 Power Control of CDMA Systems with Successive Interference CancellationUsing the Knowledge of Battery Power CapacityYan Wang, Chi Ying Tsui, Shu Kwan Roger Cheng and Wai Ho Mow . . . . .125

2A-3 Rate Analysis for Streaming Applications with On-Chip Buffer ConstraintsAlexander Maxiaguine, Simon Kuenzli, Samarjit Chakraborty and LotharThiele . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .131

Session 2BPlacement

Co-Chairs: Martin Wong, Takashi Sato

2B-1 Performance-driven Global Placement via Adaptive Network Characteriza-tionMongkol Ekpanyapong and Sung Kyu Lim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137

2B-2 Temperature-Aware Global PlacementBernd Obermeier and Frank Johannes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .143

2B-3 High Speed Layout Synthesis for Minimum-Width CMOS Logic Cells viaBoolean SatisfiabilityTetsuya Iizuka, Makoto Ikeda and Kunihiro Asada . . . . . . . . . . . . . . . . . . . . . .149

2B-4 An Integrated Approach to Timing-Driven Synthesis and Placement ofArithmetic CircuitsKeoncheol Shin and Taewhan Kim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .155

2B-5 Layer Assignment for Crosstalk Risk MinimizationDi Wu, Jiang Hu, Rabi N. Mahapatra and Min Zhao . . . . . . . . . . . . . . . . . . .159

xxxii

Page 35: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 2CRF Design Methodology

Co-Chairs: Wing-Hung Ki, Akira Matsuzawa

2C-1 CrtSmile: A CAD Tool for CMOS RF Transistor Substrate Modeling Incor-porating Layout EffectsZhao Li, Ravikanth Suravarapu, Roy Hartono, Sambuddha Bhattacharya,Karti Mayaram and Richard Shi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .163

2C-2 NSGA-Based Parasitic-Aware Optimization of a 5GHz Low-Noise VCOMin Chu, David J Allstot, Jeffrey M Huard and Kim Y Wong . . . . . . . . . . . . .169

2C-3 Analytical Expressions for Phase Noise Eigenfunctions of LC OscillatorsPraveen Ghanta, Zheng Li and Jaijeet Roychowdhury . . . . . . . . . . . . . . . . . .175

2C-4 Analysis of MOS Cross-Coupled LC-Tank Oscillators Using Short-ChannelDevice EquationsMakram Monzer Mansour, Mohammad Monzer Mansour and Amit Mehrotra181

Session 2DPractical Issues in Logic Synthesis

Co-Chairs: Yuji Kukimoto, Yusuke Matsunaga

2D-1 Timing Optimization by Replacing Flip-Flops to LatchesKo Yoshikawa, Keisuke Kanamaru, Yasuhiko Hagihara, Shigeto Inui, YuichiNakamura and Takeshi Yoshimura . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .186

2D-2 Enhancing the Performance of Multi-Cycle Path Analysis in an IndustrialSettingHiroyuki Higuchi and Yusuke Matsunaga . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .192

2D-3 An Approach for Reducing Dynamic Power Consumption in SynchronousSequential Digital DesignsNoureddine Chabini and Wayne Wolf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .198

2D-4 Low Power Design Using Dual Threshold VoltageYen-Te Ho and TingTing Hwang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .205

2D-5 Technology Mapping and Packing for Coarse-grained Anti-fuse Based FP-GAsChang Woo Kang, Ali Iranli and Massoud Pedram . . . . . . . . . . . . . . . . . . . . .209

Session 2EEffective Test and Diagnosis

Co-Chairs: Kwang-Ting Cheng, Tomoo Inoue

xxxiii

Page 36: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

2E-1 Efficient RT-level Fault Diagnosis MethodologyOzgur Sinanoglu and Alex Orailoglu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212

2E-2 Design Diagnosis Using Boolean SatisfiabilityAlexander Smith, Andreas Veneris and Anastasios Viglas . . . . . . . . . . . . . . . .218

2E-3 Testable Design of GRM Networks with EXOR Tree for Detecting Stuck-atand Bridging FaultsHafizur Rahaman, Debesh K Das and Bhargab B Bhattacharya . . . . . . . . . . .224

2E-4 Test Data Compression Technique Using Selective Don’t-Care IdentificationTerumine Hayashi, Haruna Yoshioka, Tsuyoshi Shinogi, Hidehiko Kita andHaruhiko Takase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230

2E-5 Re-configurable Embedded Core Test ProtocolSeongmoon Wang, Srimat T. Chakradhar and Kedarnath J. Balakrishnan . .234

Session 3ASystem-Level Design Methodology

Co-Chairs: Vincent Mooney, Dai Araki

3A-1 Object-Oriented Modeling and Synthesis of SystemC SpecificationsCarsten Schulz-Key, Markus Winterholer, Thomas Schweizer, Tommy Kuhnand Wolfgang Rosenstiel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .238

3A-2 Application of UML for Hardware Design Based on Design Process ModelRobertas Damasevicius and Vytautas Stuikys . . . . . . . . . . . . . . . . . . . . . . . . . . .244

3A-3 A Cosynthesis Algorithm for Applicaton Specific Processors with Heteroge-neous DatapathsYuichiro Miyaoka, Nozomu Togawa, Masao Yanagisawa and Tatsuo Oht-suki . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250

3A-4 Design Methodology for SoC Architectures Based on Reusable VirtualCoresMichiaki Muraoka, Hiroaki Nishi, Rafael Kazumiti Morizawa, HideakiYokota and Hideyuki Hamada . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .256

Session 3BAdvanced Design and Modeling Techniques

Co-Chairs: Louis Scheffer, Fumihiro Minami

3B-1 A Mulitple Level Network Approach for Clock Skew Minimization withProcess VariationsMakoto Mori, Hongyu Chen, Bo Yao and Chung-Kuan Cheng . . . . . . . . . .263

3B-2 Layout Techniques for On-Chip Interconnect Inductance ReductionShang-Wei Tu, Jing-Yang Jou and Yao-Wen Chang . . . . . . . . . . . . . . . . . . . . .269

xxxiv

Page 37: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

3B-3 Piecewise Quadratic Waveform Matching with Successive Chord IterationZhong Wang and Jianwen Zhu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .274

3B-4 Optimal Design of High Fan-In Multiplexers via Mixed-Integer NonlinearProgrammingHsu-Wei Huang, Cheng-Yeh Wang and Jing-Yang Jou . . . . . . . . . . . . . . . . . . .280

3B-5 Adaptive Supply Voltage Technique for Low Swing InterconnectsWoopyo Jeong, Bipul Chandra Paul and Kaushik Roy . . . . . . . . . . . . . . . . . . .284

Session 3CAnalog Design and Evaluation

Co-Chairs: Shoji Kawahito, Toshiro Tsukada

3C-1 A Large-Current-Output Boosted Voltage Generator with Non-Overlapping Clock Control for Sub-1-V Memory ApplicationsKyeong-Sik Min, Young-Hee Kim, Daejeong Kim, Dong Myeong Kim andJin-Hong Ahn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .288

3C-2 Effects of Noise and Nonlinearity on the Calibration of a Non-Binary Ca-pacitor Array in a Successive Approximation Analog-to-Digital ConverterJianhua Gan, Shouli Yan and Jacob Abraham . . . . . . . . . . . . . . . . . . . . . . . . . .292

3C-3 Jitter Spectral Extraction for Multi-gigahertz SignalChee-Kian Ong, Dongwoo Hong, Kwang-Ting Cheng and Li-C Wang . . .298

3C-4 A 35 dB-Linear Exponential Function Generator for VGA and AGC Appli-cationsHoang Quoc Duong . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304

3C-5 A High Efficiency 0.5W BTL Class-D Audio Amplifier with RWDM Tech-niqueSimon C. Li . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .307

Session 3DSystem Design Verification

Co-Chairs: Kazuhiko Eguchi, Masahiko Toyonaga

3D-1 Efficient Translation of Boolean Formulas to CNF in Formal Verification ofMicroprocessorsMiroslav N. Velev . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .310

3D-2 Using Positive Equality to Prove Liveness for Pipelined MicroprocessorsMiroslav N. Velev . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .316

3D-3 On Deriving Equivalent Architecture Model from System SpecificationSamar Abdi and Daniel Gajski . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322

3D-4 On Compliance Test of On-Chip Bus for SOCHue-Min Lin, Chia-Chih Yen, Che-Hua Shih and Jing-Yang Jou . . . . . . . .328

xxxv

Page 38: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 3E(Special Session) Panel Discussion: Opportunities with the Open

Architecture Test System

Organizers: Kazumi Hatayama, Rochit RajsumanModerator: Cheng-Wen WuPanelists: Rochit Rajsuman, Yasumasa Nishimura, Srimat Chakradhar,

Adi Merschon, Dennis Petrich, Tetsuo TadaAbstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334

3E-1 Opportunities with the Open Architecture Test SystemRochit Rajsuman . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .335

3E-2 Open Architecture Tester –What Is a Key Issue of OAT–Yasumasa Nishimura . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .336

3E-3 Open Architecture Test System: Not Why But When!Srimat Chakradhar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .337

3E-4 New Opportunities with the Open Architecture Test SystemAdi Merschon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .341

3E-5 Signal Integrity Analysis in the Open ArchitectureDennis Petrich . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .342

3E-6 Opportunities with the Open Architecture Test SystemTetsuo Tada . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .343

Session 4A(Special Session) Invited Talks: C-Based Design Examples

Chair: Hiroto Yasuura

4A-1 C-based Behavioral Synthesis and Verification Analysis on Industrial De-sign ExamplesKazutoshi Wakabayashi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .344

4A-2 Using C Based Logic Synthesis to Bridge the Productivity GapChris Sullivan, Alex Wilson and Stephen Chappell . . . . . . . . . . . . . . . . . . . . . .349

Session 4BBuffered Tree Construction

Co-Chairs: Cheng-Kok Koh, Takumi Okamoto

4B-1 A Place and Route Aware Buffered Steiner Tree ConstructionC. N. Sze, Jiang Hu and Charles J. Alpert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .355

xxxvi

Page 39: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

4B-2 An Efficient Routing Tree Construction Algorithm with Buffer Insertion,Wire Sizing and Obstacle ConsiderationsSampath Dechu, Zion Cien Shen and Chris Chong-Nuen Chu . . . . . . . . . . . .361

4B-3 Modeling of Coplanar Waveguide for Buffered Clock TreeJun Chen and Lei He . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .367

Session 4CPower-Aware Approach for Microprocessor Design

Co-Chairs: Cheng-Wen Wu, Takashi Miyamori

4C-1 Decode Filter Cache for Energy Efficient Instruction Cache Hierarchy inSuper Scalar ArchitecturesKugan Vivekanandarajah, Thambipillai Srikanthan and Saurav Bhat-tacharyya . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .373

4C-2 Mixed-Clock Issue Queue Design for Energy Aware, High-PerformanceCoresVenkata Syam Prakash Rapaka, Emil Talpes and Diana Marculescu . . . . . .380

4C-3 Power-Performance Trade-off Using Pipeline DelaysG Surendra, Subhasis Banerjee and S. K. Nandy . . . . . . . . . . . . . . . . . . . . . . .384

4C-4 Exploiting Program Execution Phases to Trade Power and Performance forMedia WorkloadSubhasis Banerjee, G. Surendra and S. K. Nandy . . . . . . . . . . . . . . . . . . . . . . .387

4C-5 LPRAM: A Low Power RAM Design with TestabilityDhiraj K. Pradhan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .390

Session 4DAnalog Layout Techniques

Co-Chairs: Jaijeet Roychowdhury, Hidetoshi Onodera

4D-1 Multiple Specifications Radio-Frequency Integrated Circuit Design withAutomatic Template-Driven Layout RetargetingNuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono and C.-J.Richard Shi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .394

4D-2 Hierarchical Extraction and Verification of Symmetry Constraints for Ana-log Layout AutomationSambuddha Bhattacharya, Nuttorn Jangkrajarng, Roy Hartono and RichardShi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .400

4D-3 Multi-Level Placement with Circuit Schema Based Clustering in Analog ICLayoutsTakashi Nojima, Xiaoke Zhu, Yasuhiro Takashima, Shigetoshi Nakatakeand Yoji Kajitani . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .406

xxxvii

Page 40: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 5AFormal Verification

Co-Chairs: Feng Tao, Kazuyoshi Takagi

5A-1 Model Checking on State Transition DiagramBatsayan Das, Dipankar Sarkar and Santanu Chattopadhyay . . . . . . . . . . .412

5A-2 Efficient Reachability Checking Using Sequential SATGanapathy Parthasarathy, Madhu K Iyer, Kwang-Ting Cheng and Li CWang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .418

5A-3 Exploiting State Encoding for Invariant Generation in Induction-basedProperty CheckingMarkus Wedler, Dominik Stoffel and Wolfgang Kunz . . . . . . . . . . . . . . . . . . . .424

Session 5BRouting Methodology

Co-Chairs: Taewhan Kim, Shunji Saika

5B-1 Tradeoff Routing Resource, Runtime and Quality in Buffered RoutingXiaoping Tang and Martin D.F. Wong . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .430

5B-2 Practical Methodology of Post-layout Gate Sizing for 15 % More Power Sav-ingNoriyuki Miura, Naoki Kato and Tadahiro Kuroda . . . . . . . . . . . . . . . . . . . . .434

5B-3 Interconnect Design Methods for Memory DesignChanseok Hwang and Massoud Pedram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .438

5B-4 Optimal Planning for Mesh-Based Power DistributionChung-Kuan Cheng, Hongyu Chen, Andrew B. Kahng, Makoto Mori andQinke Wang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .444

Session 5CExploration for Advanced SoC Design

Co-Chairs: Seongsoo Lee, Hiroyuki Okuhata

5C-1 2.5D System Integration: A Design Driven System Implementation SchemaYangdong Deng and Wojciech Maly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .450

5C-3 An HMAC Processor with Integrated SHA-1 and MD5 AlgorithmsMao-Yin Wang, Chih-Pin Su, Chih-Tsun Huang and Cheng-Wen Wu . . . .456

5C-4 Design Methodology for IRA CodesFrank Kienle and Norbert Wehn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .459

xxxviii

Page 41: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 5DEmbedded Software

Co-Chairs: Pai Chou, Akira Fukuda

5D-1 Embedded Software Generation from System Level Design LanguagesHaobo Yu, Rainer Doemer and Daniel Gajski . . . . . . . . . . . . . . . . . . . . . . . . . .463

5D-2 Fast and Accurate Timed Execution of High Level Embedded Software Us-ing HW/SW Interface Simulation ModelAimen Bouchhima, Sungjoo Yoo and Ahmed Amine Jerraya . . . . . . . . . . . . . .469

5D-3 Energy Efficient Code Generation Exploiting Reduced Bit-width Instruc-tion Set Architectures (rISA)Aviral Shrivastava and Nikil Dutt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .475

5D-4 Memory Access Driven Storage Assignment for Variables in Embedded Sys-tem DesignYoonseo Choi and Taewhan Kim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .478

Session 6A(Special Session) Embedded Tutorial: RF Modeling and Design

Methodology

Chair: Seijiro Moriyama

6A-1 MOSFET Modeling for RF-CMOS DesignMitiko Miura-Mattausch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .482

6A-2 RF Design Methodologies Bridging System-IC-Module DesignRobert A. Mullen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .491

Session 6BPower Grid Analysis and Design

Co-Chairs: Chung-Ping Chen, Masaaki Yamada

6B-1 Hierarchical Random-walk Algorithms for Power Grid AnalysisHaifeng Qian and Sachin S Sapatnekar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .499

6B-2 A Fast Decoupling Capacitor Budgeting Algorithm for Robust On-ChipPower DeliveryJingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan andZhu Pan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .505

6B-3 Large-scale Linear Circuit Simulation with an Inversed Inductance MatrixChieki Mizuta, Jiro Iwai, Ken Machida, Tetsuro Kage and Hiroo Masuda511

xxxix

Page 42: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

6B-4 DEPOGIT: Dense Power-Ground Interconnect Architecture for PhysicalDesign IntegrityAtsushi Kurokawa, Nobuto Ono, Tetsuro Kage and Hiroo Masuda . . . . . .517

Session 6C(Special Session) Presentation + Poster Disscussion: University Design

Contest

Co-Chairs: Xiaoyang Zeng, Makoto Ikeda

6C-1 Design of Real-Time VGA 3-D Image Sensor Using Mixed-Signal Tech-niquesYusuke Oike, Makoto Ikeda and Kunihiro Asada . . . . . . . . . . . . . . . . . . . . . . . .523

6C-2 A Bandwidth and Memory Efficient MPEG-4 Shape EncoderKun-Bin Lee, Nelson Yen-Chung Chang, Hao-Yun Chin, Hui-Cheng Hsuand Chein-Wei Jen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .525

6C-3 A Sub-mW MPEG-4 Motion Estimation Processor Core for Mobile VideoApplicationYuki Kuroda, Jun-Ichi Miyakoshi, Masayuki Miyama, Kosuke Imamura,Hideo Hashimoto and Masahiko Yoshimoto . . . . . . . . . . . . . . . . . . . . . . . . . . . . .527

6C-4 Analog LSI for Motion Detection of Approaching Object with Simple-ShapeRecognition Based on Lower Animal VisionKimihiro Nishio, Hiroo Yonezu, Shinya Sawa and Yuzo Furukawa . . . . . . .529

6C-5 350nm CMOS Test-Chip for Architecture Verification of Real-Time QVGAColor-Video Segmentation at the 90nm Technology NodeTakashi Morimoto, Yohmei Harada, Tetsushi Koide and Hans JuergenMattausch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .531

6C-6 A Low-Power Graphics LSI Integrating 29Mb Embedded DRAM for Mo-bile Multimedia ApplicationsRamchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-DonBae and Hoi-Jun Yoo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .533

6C-7 A High Efficiency 0.5W BTL Class-D Audio Amplifier with RWDM Tech-niqueSimon C. Li . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .535

6C-8 A Small-Area High-Performance 512-Point 2-Dimensional FFT Single-ChipProcessorNaoto Miyamoto, Leo Karnan, Kazuyuki Maruo, Koji Kotani and TadahiroOhmi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .537

6C-9 Fast Adaptive DC-DC Conversion Using Dual-Loop One-Cycle Control inStandard Digital CMOS ProcessDongsheng Ma, Wing-Hung Ki and Chi-Ying Tsui . . . . . . . . . . . . . . . . . . . . . .539

6C-10 A Dual-band Image-reject Mixer for GPS with 64dB Image RejectionYoshihiro Utsurogi, Masaki Haruoka, Toshimasa Matsuoka and KenjiTaniguchi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .541

xl

Page 43: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

6C-11 Associative Memory with Fully Parallel Nearest-Manhattan-DistanceSearch for Low-Power Real-Time Single-Chip ApplicationsYuji Yano, Tetsushi Koide and Hans Juergen Mattausch . . . . . . . . . . . . . . . . .543

6C-12 A Performance Comparison of PLLs for Clock Generation Using Ring Os-cillator VCO and LC Oscillator in a Digital CMOS ProcessTakahito Miyazaki, Masanori Hashimoto and Hidetoshi Onodera . . . . . . . .545

6C-13 A Reliable Low-Power Fast Skew-Compensation CircuitYi-Ming Wang and Jinn-Shyan Wang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .547

6C-14 A Retinal Prosthetic Device Using a Pulse-frequency-modulation CMOSImage SensorJun Ohta, Tetsuo Furumiya, David C. Ng, Akihiro Uehara, KeiichiroKagawa, Takashi Tokuda and Masahiro Nunoshita . . . . . . . . . . . . . . . . . . . . . .549

6C-15 Compact 12-Port Multi-Bank Register File Test-Chip in 0.35µm CMOS forHighly Parallel ProcessorsTetsuya Sueyoshi, Hiroshi Uchida, Yosuke Mitani, Hans Juergen Mattausch,Tetsushi Koide and Tetsuo Hironaka . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .551

6C-16 A Low Power Asynchronous Java Processor for Contactless Smart CardChun-Pong Yu, Chiu-Sing Choy, Hao Min, Cheong-Fat Chan and Kong-Pang Pun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .553

6C-17 An Image-sensor-based Optical Receiver Fabricated in a Standard 0.35-umCMOS Technology for Free-space Optical CommunicationsKeiichiro Kagawa, Tomoaki Kawakami, Hiroaki Asazu, Takashi Ikeuchi,Akiko Fujiuchi, Jun Ohta and Masahiro Nunoshita . . . . . . . . . . . . . . . . . . . . .555

6C-18 The Flexible Processor - An Approach for Single-Chip Hardware Emulationby Dynamic ReconfigurationTakeshi Ohkawa, Toshiyuki Nozawa, Masanori Fujibayashi, NaotoMiyamoto, Leo Karnan, Soichiro Kita, Koji Kotani and Tadahiro Ohmi .557

6C-19 A VDD and Temperature Independent CMOS Voltage Reference CircuitToshihiro Matsuda, Ryuichi Minami, Akira Kanamori, Hideyuki Iwata,Takashi Ohzone, Shin-Ya Yamamoto, Takashi Ihara and Shigeki Nakajima559

6C-20 A Dual Band Switching Digital Controller for a Buck ConverterYeung Kei Martin Chui, Wing Hung Ki and Chi Ying Tsui . . . . . . . . . . . . . . . .561

6C-21 Golay and Wavelet Error Control Codes in VLSIArunkumar Balasundaram, Angelo Pereira, Jun Cheol Park and VincentJohn Mooney III . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .563

6C-22 Timing Measurement Unit with Multi-Stage TVC for Embedded MemoriesKae Jiun Mo, Shao Sheng Yang and Tsin Yuan Chang . . . . . . . . . . . . . . . . . . . .565

6C-23 Development of a Waveform Sampling Front-End ASIC for PETJ. Yeom, T. Ishitsu and H. Takahashi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .567

6C-24 A Dynamic Element Matching Circuit for Multi-bit Delta-Sigma Modula-torsRyozo Katoh, Shin-Ya Kobayashi and Takao Waho . . . . . . . . . . . . . . . . . . . . . .569

xli

Page 44: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

6C-25 Design of POP11 (PDP-11 on Programmable Chip)Yoshihiro Iida and Naohiko Shimizu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .571

6C-26 A Closed Caption TV MicrocontrollerEkachai Leelarasmee and Kanitpong Pengwon . . . . . . . . . . . . . . . . . . . . . . . . . .573

6C-27 Improvement of Saturation Characteristics of a Frequency-demodulationCMOS Image SensorJun Ohta, Koji Yamamoto, Yu Oya, Keiichiro Kagawa, Takashi Tokuda,Masahiro Nunoshita and Kunihiro Watanabe . . . . . . . . . . . . . . . . . . . . . . . . . . . .575

6C-28 Design and Implementation of a Secret Key Steganographic Micro-Architecture Employing FPGAMagdy M Saeb and Hala A. Farouk Abdul Moneim . . . . . . . . . . . . . . . . . . . . . . .577

Session 6DNovel Techniques in Logic Synthesis

Co-Chairs: Rolf Drechsler, Hiroyuki Higuchi

6D-1 Preserving Synchronizing Sequences of Sequential Circuits After RetimingMaher Mneimneh, Karem Sakallah and John Moondanos . . . . . . . . . . . . . . .579

6D-2 A Fast Method to Derive Minimum SOPs for Decomposable FunctionsTsutomu Sasao and Jon T. Butler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .585

6D-3 Efficient Computation of Canonical Form for Boolean Matching in LargeLibrariesDebatosh Debnath and Tsutomu Sasao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .591

6D-4 Disjoint-Support Boolean Decomposition Combining Functional and Struc-tural MethodsAndres Martinelli, Rene Krenz and Elena Dubrova . . . . . . . . . . . . . . . . . . . . .597

6D-5 Transduction Method for Design of Logic Cell StructureKatsunori Tanaka and Yahiko Kambayashi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .600

Session 7A(Special Session) Invited Talks: Future of ITS Technologies in the

Ubiquitous Society

Chair: Masaharu Imai

7A-1 The Integration of Vehicles Into a Ubiquitous Computing Environment –Computing and Networking Technologies for Vehicles–Naoki Tokitsu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .604

xlii

Page 45: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 7BBuffer Planning

Co-Chairs: Jiang Hu, Shin’ichi Wakabayashi

7B-1 Complexity Analysis and Speedup Techniques for Optimal Buffer Insertionwith Minimum CostWeiping Shi, Zhuo Li and Charles J. Alpert . . . . . . . . . . . . . . . . . . . . . . . . . . . . .609

7B-2 A Buffer Planning Algorithm with Congestion OpimizationSong Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng and Jun Gu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .615

7B-3 Buffer Allocation Algorithm with Consideration of Routing CongestionYuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, C.K.Cheng and Jun Gu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .621

7B-4 Integrating Buffer Planning with Floorplanning for Simultaneous Multi-objective OptimizationYi-Hui Cheng and Yao-Wen Chang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .624

Session 7CDesign Verification and Simulation

Co-Chairs: Samar Abdi, Goro Suzuki

7C-1 Verification of Timed Circuits with Symbolic DelaysRobert Clariso and Jordi Cortadella . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .628

7C-2 Improved Symbolic Simulation by Functional-Space DecompositionFeng Tao, Li-C. Wang and Kwang-Ting Cheng . . . . . . . . . . . . . . . . . . . . . . . . .634

7C-3 Improving Simulation-Based Verification by Means of Formal MethodsGoerschwin Fey and Rolf Drechsler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .640

7C-4 Parallel Verilog Simulation: Architecture and Circuit PartitionTun Li, Yang Guo, SiKun Li, FuJiang Ao and GongJie Liu . . . . . . . . . . . .644

Session 7DTask Scheduling with DVS

Co-Chairs: Radu Marculescu, Yukikazu Nakamoto

7D-1 Minimizing Energy Consumption of Multiple-Processor-Core Systems withSimultaneous Task Allocation, Scheduling and Voltage AssignmentLap Fai Leung, Chi Ying Tsui and Wing Hung Ki . . . . . . . . . . . . . . . . . . . . . . .647

7D-2 Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-DrivenSystemsDongkun Shin and Jihong Kim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .653

xliii

Page 46: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

7D-3 Fast and Efficient Voltage Scheduling by Evolutionary Slack DistributionBita Gorji-Ara, Pai Chou, Nader Bagherzade, Mehrdad Reshadi and DavidJensen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .659

7D-4 Minimizing Energy Consumption of Hard Real-Time Systems with Simul-taneous Tasks Scheduling and Voltage Assignment Using Statistical DataLap Fai Leung, Chi Ying Tsui and Wing Hung Ki . . . . . . . . . . . . . . . . . . . . . . .663

Session 8AGlobal Routing

Co-Chairs: Chung-Kuan Cheng, Toshiyuki Shibuya

8A-1 A Fast Congestion Estimator for Routing with Bounded DetoursLerong Cheng, Xiaoyu Song, Guowu Yang and Zhiwei Tang . . . . . . . . . . . .666

8A-2 Accurate and Efficient Flow Based Congestion Estimation in FloorplanningZion Cien Shen and Chris Chong-Nuen Chu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .671

8A-3 A Coupling and Crosstalk Considered Timing-Driven Global Routing Algo-rithm for High Performance Circuit DesignJingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang and Jun Gu . . . . . . . .677

8A-4 Timing-Constrained Congestion-Driven Global RoutingJin-Tai Yan and Shun-Hua Lin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .683

8A-5 Efficient Octilinear Steiner Tree Construction Based on Spanning GraphsQi Zhu, Hai Zhou, Tong Jing, Xianlong Hong and Yang Yang . . . . . . . . . .687

Session 8BInterconnect and ESD Extraction

Co-Chairs: Albert Wang, Kenji Nishi

8B-1 Representative Frequency for Interconnect R(f)L(f)C ExtractionAkira Tsuchiya, Masanori Hashimoto and Hidetoshi Onodera . . . . . . . . . . .691

8B-2 A Mixed-mode Extraction Flow for High Performance MicroprocessorsTao Jiang, Eric Pettus and Daksh Lehther . . . . . . . . . . . . . . . . . . . . . . . . . . . . .697

8B-3 An Efficient Method MEGCR for Solving Systems with Multiple Right-hand Sides in 3-D Parasitic Inductance ExtractionLiu Yang, Xiaobo Guo and Zeyi Wang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .702

8B-4 Fast and Accurate Extraction of 3-D Interconnect Resistance: ImprovedQuasi-Multiple Medium Accelerated BEM MethodXiren Wang, Deyan Liu, Wenjian Yu and Zeyi Wang . . . . . . . . . . . . . . . . . . . .707

8B-5 Concept and Extraction Method of ESD-critical Parameters for Function-Based Layout Level ESD Protection Circuit Design VerificationR.Y. Zhan, H.G. Feng, Q. Wu, X.K. Guan, G. Chen, H.L. Xie and A.Z.Wang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .710

xliv

Page 47: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 8CReconfigurable Systems

Co-Chairs: Yao-Wen Chang, Nobuki Kajihara

8C-1 Interconnect Capacitance Estimation for FPGAsJason H. Anderson and Farid N. Najm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .713

8C-2 Area-Minimal Algorithm for LUT-Based FPGA Technology Mapping withDuplication-free RestrictionChi-Chou Kao and Yen-Tai Lai . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .719

8C-3 Temporal Floorplanning Using 3D-subTCGPing-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang and Hsin-Lung Chen . .725

8C-4 ReCSiP: a Reconfigurable Cell Simulation PlatformYasunori Osana, Tomonori Fukushima and Hideharu Amano . . . . . . . . . . . .731

8C-5 SmartGlue: An Interface Controller with Auto Reconfiguration for FieldProgrammable Computing MachineYoung-Il Kim, Bong-Il Park, Jae-Gon Lee and Chong-Min Kyung . . . . . . .734

Session 8DHW/SW Co-Design

Co-Chairs: Radu Marculescu, Nagisa Ishiura

8D-1 An SoC Architecture and Its Design Methodology Using Unifunctional Het-erogeneous Processor ArrayYoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi and Hidetoshi On-odera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .737

8D-2 Instruction Set and Functional Unit Synthesis for SIMD Processor CoresNozomu Togawa, Koichi Tachikake, Yuichiro Miyaoka, Masao Yanagisawaand Tatsuo Ohtsuki . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .743

8D-3 A High Performance Bus Communication Architecture through Bus Split-tingRuibing Lu and Cheng-Kok Koh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .751

8D-4 Automatic Generation of Bus Functional Models from Transaction LevelModelsDongwan Shin, Samar Abdi and Daniel Gajski . . . . . . . . . . . . . . . . . . . . . . . . .756

8D-5 A Global Bus Power Optimization Methodology for Physical Design ofMemory Dominated Systems by Coupling Bus Segmentation and ActivityDriven Block PlacementHua Wang, Antonis Papanikolaou, Miguel Miranda and Francky Catthoor759

xlv

Page 48: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

Session 9A(Special Session) Embedded Tutorial: DFM in Nm-Process Generation

Co-Chairs: Hiroo Masuda, Kenji Yoshida

9A-1 Toward Stochastic Design for Digital Circuits – Statistical Static TimingAnalysis –Shuji Tsukiyama . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .762

9A-2 Physical CAD Changes to Incorporate Design for Lithography and Manu-facturabilityLouis Scheffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .768

Session 9BAdvanced Interconnect Analysis

Co-Chairs: Lei He, Takashi Sato

9B-1 Parametric Reduced Ordering Modeling for Interconnect AnalysisGuoyong Shi and C.-J. Richard Shi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .774

9B-2 Realizable Parasitic Reduction for Distributed Interconnects Using MatrixPencil TechniqueJanet Wang and Omar Hafiz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .780

9B-3 Spice Compatible Circuit Models for Partial Reluctance KHao Ji, Qingjian Yu and Wayne Wei-Ming Dai . . . . . . . . . . . . . . . . . . . . . . . . . .786

9B-4 Frequency-Dependent Reluctance ExtractionClement Luk, Tsung-Hao Chen and Charlie Chung-Ping Chen . . . . . . . . . .792

Session 9C(Special Session) Panel Discussion: Future Reconfigurable Computing

System

Organizers: Masahiko Kawamura, Hideharu AmanoModerator: Satoshi GotoPanelists: Masato Motomura, Tomoyoshi Sato, Steve Trimberger, Bob

Plunkett, Rudy LauwereinsAbstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 798

Session 9DSystem-Level Architecture

Co-Chairs: Alex Orailoglu, Srimat Chakradhar

xlvi

Page 49: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

9D-1 Enabling On-Chip Diversity through Architectural Communication DesignTudor Dumitras, Sam Kerner and Radu Marculescu . . . . . . . . . . . . . . . . . . . .799

9D-2 Bandwidth Tracing Arbitration Algorithm for Mixed-Clock SoC with Dy-namic Priority AdaptationYoung-Su Kwon, Jae-Gon Lee and Chong-Min Kyung . . . . . . . . . . . . . . . . . . .806

9D-3 A Novel Memory Size Model for Variable-Mapping in System Level DesignLukai Cai, Haobo Yu and Daniel Gajski . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .812

9D-4 A Compressed Frame Buffer to Reduce Display Power Consumption in Mo-bile SystemsHojun Shim, Naehyuck Chang and Massoud Pedram . . . . . . . . . . . . . . . . . . .818

Session 10AEmbedded System Architectures

Co-Chairs: Naehyuck Chang, Akihiko Inoue

10A-1 Instruction Buffering Exploration for Low Energy VLIWs with InstructionClustersTom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, RudyLauwereins, Francky Catthoor and Henk Corporaal . . . . . . . . . . . . . . . . . . . . .824

10A-2 A Static and Dynamic Energy Reduction Technique for I-Cache and BTBin Embedded ProcessorsHidenori Sato and Toshinori Sato . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .830

10A-3 Resource-Constrained Low-Power Bus Encoding with Crosstalk DelayEliminationMeeyoung Cha, Chun-Gi Lyuh and Taewhan Kim . . . . . . . . . . . . . . . . . . . . . .834

10A-4 Compiler Based Exploration of DSP Energy Savings by SIMD OperationsMarkus Lorenz, Peter Marwedel, Thorsten Draeger, Gerhard P. Fettweisand Rainer Leupers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .838

10A-5 Synthesizable HDL Generation Method for Configurable VLIW ProcessorsYuki Kobayashi, Shinsuke Kobayashi, Koji Okuda, Keishi Sakanushi, Yoshi-nori Takeuchi and Masaharu Imai . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .842

Session 10BCrosstalk Noise Analysis

Chair: Masanori Hashimoto

10B-1 A Non-iterative Model for Switching Window Computation with CrosstalkNoiseJanet Wang and Omar Hafiz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .846

10B-2 Gate Delay Calculation Considering the Crosstalk CapacitancesSoroush Abbaspour and Massoud Pedram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .852

xlvii

Page 50: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

CONTENTS

10B-3 A Simplified Transmission-Line Based Crosstalk Noise Model for On-ChipRLC WiringKanak B Agarwal, Dennis Sylvester and David Blaauw . . . . . . . . . . . . . . . . . .858

Session 10CExpressions for Boolean Functions

Co-Chairs: Miroslav N. Velev, Michiaki Muraoka

10C-1 Minimization of the Expected Path Length in BDDs Based on LocalChangesRuediger Ebendt, Wolfgang Guenther and Rolf Drechsler . . . . . . . . . . . . . . .865

10C-2 Minimization of Memory Size for Heterogeneous MDDsShinobu Nagayama and Tsutomu Sasao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .871

10C-3 Combining Ordered Best-First Search with Branch and Bound for ExactBDD MinimizationRuediger Ebendt, Wolfgang Guenther and Rolf Drechsler . . . . . . . . . . . . . . .875

10C-4 Satisfiability and Integer Programming as Complementary ToolsRuiming Li, Dian Zhou and Donglei Du . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .879

10C-5 ShatterPB: Symmetry-Breaking for Pseudo-Boolean FormulasFadi A Aloul, Arathi Ramani, Igor L Markov and Karem A Sakallah . . . . . .883

Session 10DSemi-Custom Techniques in System Design

Co-Chairs: Wayne Dai, Katsuharu Suzuki

10D-1 Automatic Process Migration of Datapath Hard IP LibrariesFang Fang and Jianwen Zhu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .887

10D-2 Priority Assignment Optimization for Minimization of Current Surge inHigh Performance Power Efficient Clock-gated MicroprocessorYiran Chen, Kaushik Roy and Cheng-Kok Koh . . . . . . . . . . . . . . . . . . . . . . . . .893

10D-3 High-Level Area and Power-up Current Estimation Considering Rich CellLibraryFei Li and Lei He . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .899

Author Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 905

xlviii

Page 51: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Author Index

A

Abbaspour, Soroush . . . . . . . . . . . . . . . . (10B-2) 850Abdi, Samar . . . . . . . . . . . . . . . . . . . . . . . (3D-3) 322Abdi, Samar . . . . . . . . . . . . . . . . . . . . . . . (8D-4) 754Abdul Moneim, Hala A. Farouk . . . . . (6C-28) 575Abraham, Jacob . . . . . . . . . . . . . . . . . . . (3C-2) 292Agarwal, Kanak B . . . . . . . . . . . . . . . . . (10B-3) 856Ahn, Jin-Hong . . . . . . . . . . . . . . . . . . . . . (3C-1) 288Allstot, David J . . . . . . . . . . . . . . . . . . . . (2C-2) 169Aloul, Fadi A . . . . . . . . . . . . . . . . . . . . . . (10C-5) 881Alpert, Charles J. . . . . . . . . . . . . . . . . . . (4B-1) 355Alpert, Charles J. . . . . . . . . . . . . . . . . . . (7B-1) 607Amano, Hideharu . . . . . . . . . . . . . . . . . . (8C-4) 729Anderson, Jason H. . . . . . . . . . . . . . . . . (8C-1) 711Ao, FuJiang . . . . . . . . . . . . . . . . . . . . . . . (7C-4) 642Aramoto, Masao . . . . . . . . . . . . . . . . . . . (8D-1) 735Asada, Kunihiro . . . . . . . . . . . . . . . . . . . (2B-3) 149Asada, Kunihiro . . . . . . . . . . . . . . . . . . . (6C-1) 523Asazu, Hiroaki . . . . . . . . . . . . . . . . . . . . (6C-17) 555

B

Bae, Young-Don . . . . . . . . . . . . . . . . . . . (6C-6) 533Bagherzade, Nader . . . . . . . . . . . . . . . . . (7D-3) 657Balasundaram, Arunkumar . . . . . . . . . (6C-21) 563Banerjee, Subhasis . . . . . . . . . . . . . . . . . (4C-3) 384Banerjee, Subhasis . . . . . . . . . . . . . . . . . (4C-4) 387Barat, Francisco . . . . . . . . . . . . . . . . . . . (10A-1) 822Bhattacharya, Sambuddha . . . . . . . . . . (2C-1) 163Bhattacharya, Sambuddha . . . . . . . . . . (4D-1) 394Bhattacharya, Sambuddha . . . . . . . . . . (4D-2) 400Bhattacharyya, Saurav . . . . . . . . . . . . . . (4C-1) 373Blaauw, David . . . . . . . . . . . . . . . . . . . . . (10B-3) 856Bouchhima, Aimen . . . . . . . . . . . . . . . . (5D-2) 469Butler, Jon T. . . . . . . . . . . . . . . . . . . . . . . (6D-2) 583

C

Cai, Lukai . . . . . . . . . . . . . . . . . . . . . . . . (9D-3) 810Cai, Yici . . . . . . . . . . . . . . . . . . . . . . . . . . (6B-2) 505Cai, Yici . . . . . . . . . . . . . . . . . . . . . . . . . . (7B-2) 613Cai, Yici . . . . . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Catthoor, Francky . . . . . . . . . . . . . . . . . . (10A-1) 822Catthoor, Francky . . . . . . . . . . . . . . . . . . (8D-5) 757Cha, Meeyoung . . . . . . . . . . . . . . . . . . . . (10A-3) 832Chabini, Noureddine . . . . . . . . . . . . . . . (2D-3) 198Chakraborty, Samarjit . . . . . . . . . . . . . . (2A-3) 131Chan, Cheong-Fat . . . . . . . . . . . . . . . . . (6C-16) 553Chang, Naehyuck . . . . . . . . . . . . . . . . . . (9D-4) 816

Chang, Nelson Yen-Chung . . . . . . . . . . (6C-2) 525Chang, Tsin Yuan . . . . . . . . . . . . . . . . . . (6C-22) 565Chang, Yao-Wen . . . . . . . . . . . . . . . . . . . (3B-2) 269Chang, Yao-Wen . . . . . . . . . . . . . . . . . . . (7B-4) 622Chang, Yao-Wen . . . . . . . . . . . . . . . . . . . (8C-3) 723Chappell, Stephen . . . . . . . . . . . . . . . . . (4A-2) 349Chattopadhyay, Santanu . . . . . . . . . . . . (5A-1) 412Chen, Charlie Chung-Ping . . . . . . . . . . (9B-4) 790Chen, De-Sheng . . . . . . . . . . . . . . . . . . . (1B-5) 42Chen, Deming . . . . . . . . . . . . . . . . . . . . . (1D-1) 68Chen, G. . . . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Chen, Hongyu . . . . . . . . . . . . . . . . . . . . . (3B-1) 263Chen, Hongyu . . . . . . . . . . . . . . . . . . . . . (5B-4) 444Chen, Hsin-Lung . . . . . . . . . . . . . . . . . . (8C-3) 723Chen, Jun . . . . . . . . . . . . . . . . . . . . . . . . . (4B-3) 367Chen, Song . . . . . . . . . . . . . . . . . . . . . . . (7B-2) 613Chen, Song . . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Chen, Tsung-Hao . . . . . . . . . . . . . . . . . . (9B-4) 790Chen, Yiran . . . . . . . . . . . . . . . . . . . . . . . (10D-2) 891Cheng, C.K. . . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Cheng, Chung-Kuan . . . . . . . . . . . . . . . (3B-1) 263Cheng, Chung-Kuan . . . . . . . . . . . . . . . (5B-4) 444Cheng, Chung-Kuan . . . . . . . . . . . . . . . (7B-2) 613Cheng, Kwang-Ting . . . . . . . . . . . . . . . . (3C-3) 298Cheng, Kwang-Ting . . . . . . . . . . . . . . . . (5A-2) 418Cheng, Kwang-Ting . . . . . . . . . . . . . . . . (7C-2) 632Cheng, Lerong . . . . . . . . . . . . . . . . . . . . (8A-1) 664Cheng, Shu Kwan Roger . . . . . . . . . . . (2A-2) 125Cheng, Yi-Hui . . . . . . . . . . . . . . . . . . . . . (7B-4) 622Chiang, Charles . . . . . . . . . . . . . . . . . . . (1C-1) 45Chin, Hao-Yun . . . . . . . . . . . . . . . . . . . . (6C-2) 525Choi, Sungdae . . . . . . . . . . . . . . . . . . . . . (6C-6) 533Choi, Yoonseo . . . . . . . . . . . . . . . . . . . . . (5D-4) 478Chou, Pai . . . . . . . . . . . . . . . . . . . . . . . . . (7D-3) 657Choy, Chiu-Sing . . . . . . . . . . . . . . . . . . . (6C-16) 553Chu, Chris Chong-Nuen . . . . . . . . . . . . (4B-2) 361Chu, Chris Chong-Nuen . . . . . . . . . . . . (8A-2) 669Chu, Min . . . . . . . . . . . . . . . . . . . . . . . . . (2C-2) 169Chui, Yeung Kei Martin . . . . . . . . . . . . (6C-20) 561Clariso, Robert . . . . . . . . . . . . . . . . . . . . (7C-1) 626Cong, Jason . . . . . . . . . . . . . . . . . . . . . . . (1D-1) 68Corporaal, Henk . . . . . . . . . . . . . . . . . . . (10A-1) 822Cortadella, Jordi . . . . . . . . . . . . . . . . . . . (7C-1) 626

D

Dai, Wayne Wei-Ming . . . . . . . . . . . . . . (9B-3) 784Damasevicius, Robertas . . . . . . . . . . . . (3A-2) 244Das, Batsayan . . . . . . . . . . . . . . . . . . . . . (5A-1) 412

903

Page 52: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Debnath, Debatosh . . . . . . . . . . . . . . . . . (6D-3) 589Dechu, Sampath . . . . . . . . . . . . . . . . . . . (4B-2) 361Deconinck, Geert . . . . . . . . . . . . . . . . . . (10A-1) 822Deng, Yangdong . . . . . . . . . . . . . . . . . . . (5C-1) 450Doemer, Rainer . . . . . . . . . . . . . . . . . . . . (5D-1) 463Doi, Nobuhiro . . . . . . . . . . . . . . . . . . . . . (1D-3) 80Dong, Sheqin . . . . . . . . . . . . . . . . . . . . . . (7B-2) 613Dong, Sheqin . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Draeger, Thorsten . . . . . . . . . . . . . . . . . . (10A-4) 836Drechsler, Rolf . . . . . . . . . . . . . . . . . . . . (10C-1) 863Drechsler, Rolf . . . . . . . . . . . . . . . . . . . . (10C-3) 873Drechsler, Rolf . . . . . . . . . . . . . . . . . . . . (7C-3) 638Du, Donglei . . . . . . . . . . . . . . . . . . . . . . . (10C-4) 877Dubrova, Elena . . . . . . . . . . . . . . . . . . . . (6D-4) 595Dumitras, Tudor . . . . . . . . . . . . . . . . . . . (9D-1) 797Duong, Hoang Quoc . . . . . . . . . . . . . . . (3C-4) 304Dutt, Nikil . . . . . . . . . . . . . . . . . . . . . . . . (5D-3) 475

E

Ebendt, Ruediger . . . . . . . . . . . . . . . . . . (10C-1) 863Ebendt, Ruediger . . . . . . . . . . . . . . . . . . (10C-3) 873Ekpanyapong, Mongkol . . . . . . . . . . . . (2B-1) 137

F

Fang, Fang . . . . . . . . . . . . . . . . . . . . . . . . (10D-1) 885Feng, H.G. . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Fettweis, Gerhard P. . . . . . . . . . . . . . . . . (10A-4) 836Fey, Goerschwin . . . . . . . . . . . . . . . . . . . (7C-3) 638Fu, Jingjing . . . . . . . . . . . . . . . . . . . . . . . (6B-2) 505Fu, Ning . . . . . . . . . . . . . . . . . . . . . . . . . . (1B-1) 19Fujibayashi, Masanori . . . . . . . . . . . . . . (6C-18) 557Fujiuchi, Akiko . . . . . . . . . . . . . . . . . . . . (6C-17) 555Fukushima, Tomonori . . . . . . . . . . . . . . (8C-4) 729Furukawa, Yuzo . . . . . . . . . . . . . . . . . . . (6C-4) 529Furumiya, Tetsuo . . . . . . . . . . . . . . . . . . (6C-14) 549

G

Gajski, Daniel . . . . . . . . . . . . . . . . . . . . . (3D-3) 322Gajski, Daniel . . . . . . . . . . . . . . . . . . . . . (5D-1) 463Gajski, Daniel . . . . . . . . . . . . . . . . . . . . . (8D-4) 754Gajski, Daniel . . . . . . . . . . . . . . . . . . . . . (9D-3) 810Gan, Jianhua . . . . . . . . . . . . . . . . . . . . . . (3C-2) 292Ghanta, Praveen . . . . . . . . . . . . . . . . . . . (2C-3) 175Gielen, Georges . . . . . . . . . . . . . . . . . . . (1C-2) 51Gorji-Ara, Bita . . . . . . . . . . . . . . . . . . . . (7D-3) 657Gu, Jun . . . . . . . . . . . . . . . . . . . . . . . . . . . (7B-2) 613Gu, Jun . . . . . . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Gu, Jun . . . . . . . . . . . . . . . . . . . . . . . . . . . (8A-3) 675Guan, X.K. . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Guenther, Wolfgang . . . . . . . . . . . . . . . . (10C-1) 863

Guenther, Wolfgang . . . . . . . . . . . . . . . . (10C-3) 873Guo, Xiaobo . . . . . . . . . . . . . . . . . . . . . . (8B-3) 700Guo, Yang . . . . . . . . . . . . . . . . . . . . . . . . (7C-4) 642

H

Hafiz, Omar . . . . . . . . . . . . . . . . . . . . . . . (10B-1) 844Hafiz, Omar . . . . . . . . . . . . . . . . . . . . . . . (9B-2) 778Hagihara, Yasuhiko . . . . . . . . . . . . . . . . (2D-1) 186Hamada, Hideyuki . . . . . . . . . . . . . . . . . (3A-4) 256Harada, Yohmei . . . . . . . . . . . . . . . . . . . (6C-5) 531Hartono, Roy . . . . . . . . . . . . . . . . . . . . . . (2C-1) 163Hartono, Roy . . . . . . . . . . . . . . . . . . . . . . (4D-1) 394Hartono, Roy . . . . . . . . . . . . . . . . . . . . . . (4D-2) 400Haruoka, Masaki . . . . . . . . . . . . . . . . . . (6C-10) 541Hashimoto, Hideo . . . . . . . . . . . . . . . . . (6C-3) 527Hashimoto, Masanori . . . . . . . . . . . . . . (6C-12) 545Hashimoto, Masanori . . . . . . . . . . . . . . (8B-1) 689He, Lei . . . . . . . . . . . . . . . . . . . . . . . . . . . (10D-3) 897He, Lei . . . . . . . . . . . . . . . . . . . . . . . . . . . (4B-3) 367Helmig, Urs . . . . . . . . . . . . . . . . . . . . . . . (1A-1) 4Heydari, Payam . . . . . . . . . . . . . . . . . . . . (1C-3) 57Higuchi, Hiroyuki . . . . . . . . . . . . . . . . . (2D-2) 192Hironaka, Tetsuo . . . . . . . . . . . . . . . . . . (6C-15) 551Ho, Yen-Te . . . . . . . . . . . . . . . . . . . . . . . . (2D-4) 205Hong, Dongwoo . . . . . . . . . . . . . . . . . . . (3C-3) 298Hong, Xianlong . . . . . . . . . . . . . . . . . . . (6B-2) 505Hong, Xianlong . . . . . . . . . . . . . . . . . . . (7B-2) 613Hong, Xianlong . . . . . . . . . . . . . . . . . . . (7B-3) 619Hong, Xianlong . . . . . . . . . . . . . . . . . . . (8A-3) 675Hong, Xianlong . . . . . . . . . . . . . . . . . . . (8A-5) 685Horiyama, Takashi . . . . . . . . . . . . . . . . . (1D-3) 80Hsu, Hui-Cheng . . . . . . . . . . . . . . . . . . . (6C-2) 525Hu, Jiang . . . . . . . . . . . . . . . . . . . . . . . . . (2B-5) 159Hu, Jiang . . . . . . . . . . . . . . . . . . . . . . . . . (4B-1) 355Huang, Chih-Tsun . . . . . . . . . . . . . . . . . (5C-3) 456Huang, Hsu-Wei . . . . . . . . . . . . . . . . . . . (3B-4) 280Huard, Jeffrey M . . . . . . . . . . . . . . . . . . (2C-2) 169Hwang, Chanseok . . . . . . . . . . . . . . . . . (5B-3) 438Hwang, TingTing . . . . . . . . . . . . . . . . . . (2D-4) 205

I

Ihara, Takashi . . . . . . . . . . . . . . . . . . . . . (6C-19) 559Iida, Yoshihiro . . . . . . . . . . . . . . . . . . . . . (6C-25) 571Iizuka, Tetsuya . . . . . . . . . . . . . . . . . . . . (2B-3) 149Ikeda, Makoto . . . . . . . . . . . . . . . . . . . . . (2B-3) 149Ikeda, Makoto . . . . . . . . . . . . . . . . . . . . . (6C-1) 523Ikeuchi, Takashi . . . . . . . . . . . . . . . . . . . (6C-17) 555Imai, Masaharu . . . . . . . . . . . . . . . . . . . . (10A-5) 840Imamura, Kosuke . . . . . . . . . . . . . . . . . . (6C-3) 527Inui, Shigeto . . . . . . . . . . . . . . . . . . . . . . (2D-1) 186

904

Page 53: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Iranli, Ali . . . . . . . . . . . . . . . . . . . . . . . . . (2D-5) 209Ishitsu, T. . . . . . . . . . . . . . . . . . . . . . . . . . (6C-23) 567Iwai, Jiro . . . . . . . . . . . . . . . . . . . . . . . . . . (6B-3) 511Iwata, Hideyuki . . . . . . . . . . . . . . . . . . . . (6C-19) 559Iyer, Madhu K . . . . . . . . . . . . . . . . . . . . . (5A-2) 418

J

Jangkrajarng, Nuttorn . . . . . . . . . . . . . . (4D-1) 394Jangkrajarng, Nuttorn . . . . . . . . . . . . . . (4D-2) 400Jayapala, Murali . . . . . . . . . . . . . . . . . . . (10A-1) 822Jen, Chein-Wei . . . . . . . . . . . . . . . . . . . . (6C-2) 525Jensen, David . . . . . . . . . . . . . . . . . . . . . (7D-3) 657Jeong, Woopyo . . . . . . . . . . . . . . . . . . . . (3B-5) 284Jerraya, Ahmed A. . . . . . . . . . . . . . . . . . (1A-3) 18Jerraya, Ahmed Amine . . . . . . . . . . . . . (5D-2) 469Ji, Hao . . . . . . . . . . . . . . . . . . . . . . . . . . . . (9B-3) 784Jiang, Tao . . . . . . . . . . . . . . . . . . . . . . . . . (8B-2) 695Jing, Tong . . . . . . . . . . . . . . . . . . . . . . . . . (8A-3) 675Jing, Tong . . . . . . . . . . . . . . . . . . . . . . . . . (8A-5) 685Johannes, Frank . . . . . . . . . . . . . . . . . . . (2B-2) 143Jou, Jing-Yang . . . . . . . . . . . . . . . . . . . . . (3B-2) 269Jou, Jing-Yang . . . . . . . . . . . . . . . . . . . . . (3B-4) 280Jou, Jing-Yang . . . . . . . . . . . . . . . . . . . . . (3D-4) 328

K

K. Pradhan, Dhiraj . . . . . . . . . . . . . . . . . (4C-5) 390Kagawa, Keiichiro . . . . . . . . . . . . . . . . . (6C-14) 549Kagawa, Keiichiro . . . . . . . . . . . . . . . . . (6C-17) 555Kagawa, Keiichiro . . . . . . . . . . . . . . . . . (6C-27) 573Kage, Tetsuro . . . . . . . . . . . . . . . . . . . . . (6B-3) 511Kage, Tetsuro . . . . . . . . . . . . . . . . . . . . . (6B-4) 517Kahng, Andrew B. . . . . . . . . . . . . . . . . . (5B-4) 444Kajitani, Yoji . . . . . . . . . . . . . . . . . . . . . . (1B-1) 19Kajitani, Yoji . . . . . . . . . . . . . . . . . . . . . . (1B-2) 25Kajitani, Yoji . . . . . . . . . . . . . . . . . . . . . . (4D-3) 406Kambayashi, Yahiko . . . . . . . . . . . . . . . (6D-5) 598Kanamaru, Keisuke . . . . . . . . . . . . . . . . (2D-1) 186Kanamori, Akira . . . . . . . . . . . . . . . . . . . (6C-19) 559Kang, Chang Woo . . . . . . . . . . . . . . . . . (2D-5) 209Kao, Chi-Chou . . . . . . . . . . . . . . . . . . . . (8C-2) 717Karnan, Leo . . . . . . . . . . . . . . . . . . . . . . . (6C-18) 557Karnan, Leo . . . . . . . . . . . . . . . . . . . . . . . (6C-8) 537Kato, Naoki . . . . . . . . . . . . . . . . . . . . . . . (5B-2) 434Katoh, Ryozo . . . . . . . . . . . . . . . . . . . . . (6C-24) 569Kawakami, Tomoaki . . . . . . . . . . . . . . . (6C-17) 555Kerner, Sam . . . . . . . . . . . . . . . . . . . . . . . (9D-1) 797Ki, Wing Hung . . . . . . . . . . . . . . . . . . . . (6C-20) 561Ki, Wing Hung . . . . . . . . . . . . . . . . . . . . (7D-1) 645Ki, Wing Hung . . . . . . . . . . . . . . . . . . . . (7D-4) 661Ki, Wing-Hung . . . . . . . . . . . . . . . . . . . . (6C-9) 539

Kienle, Frank . . . . . . . . . . . . . . . . . . . . . . (5C-4) 459Kim, Daejeong . . . . . . . . . . . . . . . . . . . . (3C-1) 288Kim, Dong Myeong . . . . . . . . . . . . . . . . (3C-1) 288Kim, Jihong . . . . . . . . . . . . . . . . . . . . . . . (7D-2) 651Kim, Taewhan . . . . . . . . . . . . . . . . . . . . . (10A-3) 832Kim, Taewhan . . . . . . . . . . . . . . . . . . . . . (2B-4) 155Kim, Taewhan . . . . . . . . . . . . . . . . . . . . . (5D-4) 478Kim, Young-Hee . . . . . . . . . . . . . . . . . . . (3C-1) 288Kim, Young-Il . . . . . . . . . . . . . . . . . . . . . (8C-5) 732Kimura, Shinji . . . . . . . . . . . . . . . . . . . . . (1D-3) 80Kita, Soichiro . . . . . . . . . . . . . . . . . . . . . (6C-18) 557Kobayashi, Kazutoshi . . . . . . . . . . . . . . (8D-1) 735Kobayashi, Shin-Ya . . . . . . . . . . . . . . . . (6C-24) 569Kobayashi, Shinsuke . . . . . . . . . . . . . . . (10A-5) 840Kobayashi, Yuki . . . . . . . . . . . . . . . . . . . (10A-5) 840Koh, Cheng-Kok . . . . . . . . . . . . . . . . . . . (10D-2) 891Koh, Cheng-Kok . . . . . . . . . . . . . . . . . . . (8D-3) 749Koide, Tetsushi . . . . . . . . . . . . . . . . . . . . (6C-11) 543Koide, Tetsushi . . . . . . . . . . . . . . . . . . . . (6C-15) 551Koide, Tetsushi . . . . . . . . . . . . . . . . . . . . (6C-5) 531Kotani, Koji . . . . . . . . . . . . . . . . . . . . . . . (6C-18) 557Kotani, Koji . . . . . . . . . . . . . . . . . . . . . . . (6C-8) 537Krenz, Rene . . . . . . . . . . . . . . . . . . . . . . . (6D-4) 595Kuenzli, Simon . . . . . . . . . . . . . . . . . . . . (2A-3) 131Kuhn, Tommy . . . . . . . . . . . . . . . . . . . . . (3A-1) 238Kunz, Wolfgang . . . . . . . . . . . . . . . . . . . (5A-3) 424Kuroda, Tadahiro . . . . . . . . . . . . . . . . . . (5B-2) 434Kuroda, Yuki . . . . . . . . . . . . . . . . . . . . . . (6C-3) 527Kurokawa, Atsushi . . . . . . . . . . . . . . . . . (6B-4) 517Kwon, Young-Su . . . . . . . . . . . . . . . . . . (9D-2) 804Kyung, Chong-Min . . . . . . . . . . . . . . . . (8C-5) 732Kyung, Chong-Min . . . . . . . . . . . . . . . . (9D-2) 804

L

Lai, Yen-Tai . . . . . . . . . . . . . . . . . . . . . . . (8C-2) 717Lauwereins, Rudy . . . . . . . . . . . . . . . . . (10A-1) 822Lee, Jae-Gon . . . . . . . . . . . . . . . . . . . . . . (8C-5) 732Lee, Jae-Gon . . . . . . . . . . . . . . . . . . . . . . (9D-2) 804Lee, Kun-Bin . . . . . . . . . . . . . . . . . . . . . . (6C-2) 525Lehther, Daksh . . . . . . . . . . . . . . . . . . . . (8B-2) 695Leung, Lap Fai . . . . . . . . . . . . . . . . . . . . (7D-1) 645Leung, Lap Fai . . . . . . . . . . . . . . . . . . . . (7D-4) 661Leupers, Rainer . . . . . . . . . . . . . . . . . . . . (10A-4) 836Li, Fei . . . . . . . . . . . . . . . . . . . . . . . . . . . . (10D-3) 897Li, Ruiming . . . . . . . . . . . . . . . . . . . . . . . (10C-4) 877Li, SiKun . . . . . . . . . . . . . . . . . . . . . . . . . (7C-4) 642Li, Simon C. . . . . . . . . . . . . . . . . . . . . . . (3C-5) 307Li, Simon C. . . . . . . . . . . . . . . . . . . . . . . (6C-7) 535Li, Tun . . . . . . . . . . . . . . . . . . . . . . . . . . . (7C-4) 642Li, Zhao . . . . . . . . . . . . . . . . . . . . . . . . . . (2C-1) 163Li, Zheng . . . . . . . . . . . . . . . . . . . . . . . . . (2C-3) 175

905

Page 54: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Li, Zhuo . . . . . . . . . . . . . . . . . . . . . . . . . . (7B-1) 607Lim, Sung Kyu . . . . . . . . . . . . . . . . . . . . (1B-3) 31Lim, Sung Kyu . . . . . . . . . . . . . . . . . . . . (2B-1) 137Lin, Chang-Tzu . . . . . . . . . . . . . . . . . . . . (1B-5) 42Lin, Hue-Min . . . . . . . . . . . . . . . . . . . . . (3D-4) 328Lin, Shun-Hua . . . . . . . . . . . . . . . . . . . . . (8A-4) 681Liu, Deyan . . . . . . . . . . . . . . . . . . . . . . . . (8B-4) 705Liu, GongJie . . . . . . . . . . . . . . . . . . . . . . (7C-4) 642Lorenz, Markus . . . . . . . . . . . . . . . . . . . . (10A-4) 836Lu, Ruibing . . . . . . . . . . . . . . . . . . . . . . . (8D-3) 749Luk, Clement . . . . . . . . . . . . . . . . . . . . . . (9B-4) 790Luo, Zuying . . . . . . . . . . . . . . . . . . . . . . . (6B-2) 505Lyuh, Chun-Gi . . . . . . . . . . . . . . . . . . . . (10A-3) 832

M

Ma, Dongsheng . . . . . . . . . . . . . . . . . . . . (6C-9) 539Ma, Yuchun . . . . . . . . . . . . . . . . . . . . . . . (7B-2) 613Ma, Yuchun . . . . . . . . . . . . . . . . . . . . . . . (7B-3) 619Machida, Ken . . . . . . . . . . . . . . . . . . . . . (6B-3) 511Mahapatra, Rabi N. . . . . . . . . . . . . . . . . (2B-5) 159Maly, Wojciech . . . . . . . . . . . . . . . . . . . . (5C-1) 450Mansour, Makram Monzer . . . . . . . . . . (2C-4) 181Mansour, Mohammad Monzer . . . . . . (2C-4) 181Marculescu, Diana . . . . . . . . . . . . . . . . . (4C-2) 380Marculescu, Radu . . . . . . . . . . . . . . . . . . (9D-1) 797Markov, Igor L . . . . . . . . . . . . . . . . . . . . (10C-5) 881Martens, Ewout Stijn . . . . . . . . . . . . . . . (1C-2) 51Martinelli, Andres . . . . . . . . . . . . . . . . . (6D-4) 595Maruo, Kazuyuki . . . . . . . . . . . . . . . . . . (6C-8) 537Marwedel, Peter . . . . . . . . . . . . . . . . . . . (10A-4) 836Marwedel, Peter . . . . . . . . . . . . . . . . . . . (1A-1) 4Masuda, Hiroo . . . . . . . . . . . . . . . . . . . . (6B-3) 511Masuda, Hiroo . . . . . . . . . . . . . . . . . . . . (6B-4) 517Matsuda, Toshihiro . . . . . . . . . . . . . . . . (6C-19) 559Matsunaga, Yusuke . . . . . . . . . . . . . . . . (2D-2) 192Matsuoka, Toshimasa . . . . . . . . . . . . . . (6C-10) 541Mattausch, Hans Juergen . . . . . . . . . . . (6C-11) 543Mattausch, Hans Juergen . . . . . . . . . . . (6C-15) 551Mattausch, Hans Juergen . . . . . . . . . . . (6C-5) 531Maxiaguine, Alexander . . . . . . . . . . . . . (2A-3) 131Mayaram, Karti . . . . . . . . . . . . . . . . . . . . (2C-1) 163Mehrotra, Amit . . . . . . . . . . . . . . . . . . . . (2C-4) 181Min, Hao . . . . . . . . . . . . . . . . . . . . . . . . . (6C-16) 553Min, Kyeong-Sik . . . . . . . . . . . . . . . . . . (3C-1) 288Minami, Ryuichi . . . . . . . . . . . . . . . . . . . (6C-19) 559Minz, Jacob Rajkumar . . . . . . . . . . . . . (1B-3) 31Miranda, Miguel . . . . . . . . . . . . . . . . . . . (8D-5) 757Mitani, Yosuke . . . . . . . . . . . . . . . . . . . . (6C-15) 551Miura, Noriyuki . . . . . . . . . . . . . . . . . . . (5B-2) 434Miura-Mattausch, Mitiko . . . . . . . . . . . (6A-1) 482Miyakoshi, Jun-Ichi . . . . . . . . . . . . . . . . (6C-3) 527

Miyama, Masayuki . . . . . . . . . . . . . . . . (6C-3) 527Miyamoto, Naoto . . . . . . . . . . . . . . . . . . (6C-18) 557Miyamoto, Naoto . . . . . . . . . . . . . . . . . . (6C-8) 537Miyaoka, Yuichiro . . . . . . . . . . . . . . . . . (3A-3) 250Miyaoka, Yuichiro . . . . . . . . . . . . . . . . . (8D-2) 741Miyazaki, Takahito . . . . . . . . . . . . . . . . (6C-12) 545Mizuta, Chieki . . . . . . . . . . . . . . . . . . . . (6B-3) 511Mneimneh, Maher . . . . . . . . . . . . . . . . . (6D-1) 577Mo, Kae Jiun . . . . . . . . . . . . . . . . . . . . . . (6C-22) 565Moondanos, John . . . . . . . . . . . . . . . . . . (6D-1) 577Mooney III, Vincent John . . . . . . . . . . . (6C-21) 563Mori, Makoto . . . . . . . . . . . . . . . . . . . . . (3B-1) 263Mori, Makoto . . . . . . . . . . . . . . . . . . . . . (5B-4) 444Morimoto, Takashi . . . . . . . . . . . . . . . . . (6C-5) 531Morizawa, Rafael Kazumiti . . . . . . . . . (3A-4) 256Mow, Wai Ho . . . . . . . . . . . . . . . . . . . . . (2A-2) 125Mullen, Robert A. . . . . . . . . . . . . . . . . . (6A-2) 491Muraoka, Michiaki . . . . . . . . . . . . . . . . . (3A-4) 256

N

Nagayama, Shinobu . . . . . . . . . . . . . . . . (10C-2) 869Najaf-Abadi, Hashem Hashemi . . . . . (1D-4) 86Najm, Farid N. . . . . . . . . . . . . . . . . . . . . (8C-1) 711Nakajima, Shigeki . . . . . . . . . . . . . . . . . (6C-19) 559Nakamoto, Yukikazu . . . . . . . . . . . . . . . (2A-1) 117Nakamura, Yuichi . . . . . . . . . . . . . . . . . . (2D-1) 186Nakanishi, Masaki . . . . . . . . . . . . . . . . . (1D-3) 80Nakatake, Shigetoshi . . . . . . . . . . . . . . . (1B-1) 19Nakatake, Shigetoshi . . . . . . . . . . . . . . . (4D-3) 406Nandy, S. K. . . . . . . . . . . . . . . . . . . . . . . (4C-3) 384Nandy, S. K. . . . . . . . . . . . . . . . . . . . . . . (4C-4) 387Nebel, Wolfgang H. . . . . . . . . . . . . . . . . (1A-2) 12Ng, David C. . . . . . . . . . . . . . . . . . . . . . . (6C-14) 549Nishi, Hiroaki . . . . . . . . . . . . . . . . . . . . . (3A-4) 256Nishio, Kimihiro . . . . . . . . . . . . . . . . . . . (6C-4) 529Nojima, Takashi . . . . . . . . . . . . . . . . . . . (4D-3) 406Nozawa, Toshiyuki . . . . . . . . . . . . . . . . . (6C-18) 557Nunoshita, Masahiro . . . . . . . . . . . . . . . (6C-14) 549Nunoshita, Masahiro . . . . . . . . . . . . . . . (6C-17) 555Nunoshita, Masahiro . . . . . . . . . . . . . . . (6C-27) 573

O

Obermeier, Bernd . . . . . . . . . . . . . . . . . . (2B-2) 143Ohkawa, Takeshi . . . . . . . . . . . . . . . . . . (6C-18) 557Ohmi, Tadahiro . . . . . . . . . . . . . . . . . . . . (6C-18) 557Ohmi, Tadahiro . . . . . . . . . . . . . . . . . . . . (6C-8) 537Ohta, Jun . . . . . . . . . . . . . . . . . . . . . . . . . (6C-14) 549Ohta, Jun . . . . . . . . . . . . . . . . . . . . . . . . . (6C-17) 555Ohta, Jun . . . . . . . . . . . . . . . . . . . . . . . . . (6C-27) 573Ohtsuki, Tatsuo . . . . . . . . . . . . . . . . . . . . (1D-2) 74

906

Page 55: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Ohtsuki, Tatsuo . . . . . . . . . . . . . . . . . . . . (3A-3) 250Ohtsuki, Tatsuo . . . . . . . . . . . . . . . . . . . . (8D-2) 741Ohzone, Takashi . . . . . . . . . . . . . . . . . . . (6C-19) 559Oike, Yusuke . . . . . . . . . . . . . . . . . . . . . . (6C-1) 523Okuda, Koji . . . . . . . . . . . . . . . . . . . . . . . (10A-5) 840Ong, Chee-Kian . . . . . . . . . . . . . . . . . . . (3C-3) 298Ono, Nobuto . . . . . . . . . . . . . . . . . . . . . . (6B-4) 517Onodera, Hidetoshi . . . . . . . . . . . . . . . . (6C-12) 545Onodera, Hidetoshi . . . . . . . . . . . . . . . . (8B-1) 689Onodera, Hidetoshi . . . . . . . . . . . . . . . . (8D-1) 735Orailoglu, Alex . . . . . . . . . . . . . . . . . . . . (1C-4) 62Osana, Yasunori . . . . . . . . . . . . . . . . . . . (8C-4) 729Oya, Yu . . . . . . . . . . . . . . . . . . . . . . . . . . . (6C-27) 573

P

Pan, Zhu . . . . . . . . . . . . . . . . . . . . . . . . . . (6B-2) 505Papanikolaou, Antonis . . . . . . . . . . . . . (8D-5) 757Park, Bong-Il . . . . . . . . . . . . . . . . . . . . . . (8C-5) 732Park, Jun Cheol . . . . . . . . . . . . . . . . . . . . (6C-21) 563Parthasarathy, Ganapathy . . . . . . . . . . . (5A-2) 418Paul, Bipul Chandra . . . . . . . . . . . . . . . . (3B-5) 284Pedram, Massoud . . . . . . . . . . . . . . . . . . (10B-2) 850Pedram, Massoud . . . . . . . . . . . . . . . . . . (2D-5) 209Pedram, Massoud . . . . . . . . . . . . . . . . . . (5B-3) 438Pedram, Massoud . . . . . . . . . . . . . . . . . . (9D-4) 816Pereira, Angelo . . . . . . . . . . . . . . . . . . . . (6C-21) 563Pettus, Eric . . . . . . . . . . . . . . . . . . . . . . . . (8B-2) 695Pun, Kong-Pang . . . . . . . . . . . . . . . . . . . (6C-16) 553

Q

Qian, Haifeng . . . . . . . . . . . . . . . . . . . . . (6B-1) 499

R

Ramani, Arathi . . . . . . . . . . . . . . . . . . . . (10C-5) 881Rapaka, Venkata Syam Prakash . . . . . (4C-2) 380Reshadi, Mehrdad . . . . . . . . . . . . . . . . . (7D-3) 657Rosenstiel, Wolfgang . . . . . . . . . . . . . . . (3A-1) 238Roy, Kaushik . . . . . . . . . . . . . . . . . . . . . . (10D-2) 891Roy, Kaushik . . . . . . . . . . . . . . . . . . . . . . (3B-5) 284Roychowdhury, Jaijeet . . . . . . . . . . . . . (2C-3) 175

S

Saeb, Magdy M . . . . . . . . . . . . . . . . . . . . (6C-28) 575Sakallah, Karem . . . . . . . . . . . . . . . . . . . (6D-1) 577Sakallah, Karem A . . . . . . . . . . . . . . . . . (10C-5) 881Sakanushi, Keishi . . . . . . . . . . . . . . . . . . (10A-5) 840Sapatnekar, Sachin S . . . . . . . . . . . . . . . (6B-1) 499Sarkar, Dipankar . . . . . . . . . . . . . . . . . . . (5A-1) 412Sasao, Tsutomu . . . . . . . . . . . . . . . . . . . . (10C-2) 869Sasao, Tsutomu . . . . . . . . . . . . . . . . . . . . (6D-2) 583

Sasao, Tsutomu . . . . . . . . . . . . . . . . . . . . (6D-3) 589Sato, Hidenori . . . . . . . . . . . . . . . . . . . . . (10A-2) 828Sato, Toshinori . . . . . . . . . . . . . . . . . . . . (10A-2) 828Sawa, Shinya . . . . . . . . . . . . . . . . . . . . . . (6C-4) 529Scheffer, Louis . . . . . . . . . . . . . . . . . . . . (9A-2) 766Schulz-Key, Carsten . . . . . . . . . . . . . . . . (3A-1) 238Schweizer, Thomas . . . . . . . . . . . . . . . . (3A-1) 238Shen, Zion Cien . . . . . . . . . . . . . . . . . . . (4B-2) 361Shen, Zion Cien . . . . . . . . . . . . . . . . . . . (8A-2) 669Shi, C.-J. Richard . . . . . . . . . . . . . . . . . . (4D-1) 394Shi, C.-J. Richard . . . . . . . . . . . . . . . . . . (9B-1) 772Shi, Guoyong . . . . . . . . . . . . . . . . . . . . . (9B-1) 772Shi, Richard . . . . . . . . . . . . . . . . . . . . . . . (2C-1) 163Shi, Richard . . . . . . . . . . . . . . . . . . . . . . . (4D-2) 400Shi, Weiping . . . . . . . . . . . . . . . . . . . . . . (7B-1) 607Shih, Che-Hua . . . . . . . . . . . . . . . . . . . . . (3D-4) 328Shim, Hojun . . . . . . . . . . . . . . . . . . . . . . (9D-4) 816Shimizu, Naohiko . . . . . . . . . . . . . . . . . . (6C-25) 571Shin, Dongkun . . . . . . . . . . . . . . . . . . . . (7D-2) 651Shin, Dongwan . . . . . . . . . . . . . . . . . . . . (8D-4) 754Shin, Keoncheol . . . . . . . . . . . . . . . . . . . (2B-4) 155Shrivastava, Aviral . . . . . . . . . . . . . . . . . (5D-3) 475Sohn, Ju-Ho . . . . . . . . . . . . . . . . . . . . . . . (6C-6) 533Song, Seong-Jun . . . . . . . . . . . . . . . . . . . (6C-6) 533Song, Xiaoyu . . . . . . . . . . . . . . . . . . . . . . (8A-1) 664Srikanthan, Thambipillai . . . . . . . . . . . (4C-1) 373Steinke, Stefan . . . . . . . . . . . . . . . . . . . . (1A-1) 4Stoffel, Dominik . . . . . . . . . . . . . . . . . . . (5A-3) 424Stuikys, Vytautas . . . . . . . . . . . . . . . . . . (3A-2) 244Su, Chih-Pin . . . . . . . . . . . . . . . . . . . . . . (5C-3) 456Sueyoshi, Tetsuya . . . . . . . . . . . . . . . . . . (6C-15) 551Sullivan, Chris . . . . . . . . . . . . . . . . . . . . . (4A-2) 349Suravarapu, Ravikanth . . . . . . . . . . . . . (2C-1) 163Surendra, G . . . . . . . . . . . . . . . . . . . . . . . (4C-3) 384Surendra, G. . . . . . . . . . . . . . . . . . . . . . . . (4C-4) 387Sylvester, Dennis . . . . . . . . . . . . . . . . . . (10B-3) 856Sze, C. N. . . . . . . . . . . . . . . . . . . . . . . . . . (4B-1) 355

T

Tachikake, Koichi . . . . . . . . . . . . . . . . . . (8D-2) 741Takahashi, H. . . . . . . . . . . . . . . . . . . . . . . (6C-23) 567Takashima, Yasuhiro . . . . . . . . . . . . . . . (1B-1) 19Takashima, Yasuhiro . . . . . . . . . . . . . . . (4D-3) 406Takeuchi, Yoshinori . . . . . . . . . . . . . . . . (10A-5) 840Talpes, Emil . . . . . . . . . . . . . . . . . . . . . . . (4C-2) 380Tan, Sheldon X.-D. . . . . . . . . . . . . . . . . (6B-2) 505Tanaka, Katsunori . . . . . . . . . . . . . . . . . (6D-5) 598Tang, Xiaoping . . . . . . . . . . . . . . . . . . . . (1B-4) 38Tang, Xiaoping . . . . . . . . . . . . . . . . . . . . (5B-1) 430Tang, Zhiwei . . . . . . . . . . . . . . . . . . . . . . (8A-1) 664Taniguchi, Kenji . . . . . . . . . . . . . . . . . . . (6C-10) 541

907

Page 56: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Tao, Feng . . . . . . . . . . . . . . . . . . . . . . . . . (7C-2) 632Tao, Jun . . . . . . . . . . . . . . . . . . . . . . . . . . (1C-1) 45Thiele, Lothar . . . . . . . . . . . . . . . . . . . . . (2A-3) 131Togawa, Nozomu . . . . . . . . . . . . . . . . . . (1D-2) 74Togawa, Nozomu . . . . . . . . . . . . . . . . . . (3A-3) 250Togawa, Nozomu . . . . . . . . . . . . . . . . . . (8D-2) 741Tokitsu, Naoki . . . . . . . . . . . . . . . . . . . . . (7A-1) 602Tokuda, Takashi . . . . . . . . . . . . . . . . . . . (6C-14) 549Tokuda, Takashi . . . . . . . . . . . . . . . . . . . (6C-27) 573Topaloglu, Rasit Onur . . . . . . . . . . . . . . (1C-4) 62Tsuchiya, Akira . . . . . . . . . . . . . . . . . . . (8B-1) 689Tsui, Chi Ying . . . . . . . . . . . . . . . . . . . . . (2A-2) 125Tsui, Chi Ying . . . . . . . . . . . . . . . . . . . . . (6C-20) 561Tsui, Chi Ying . . . . . . . . . . . . . . . . . . . . . (7D-1) 645Tsui, Chi Ying . . . . . . . . . . . . . . . . . . . . . (7D-4) 661Tsui, Chi-Ying . . . . . . . . . . . . . . . . . . . . (6C-9) 539Tsukiyama, Shuji . . . . . . . . . . . . . . . . . . (9A-1) 760Tu, Shang-Wei . . . . . . . . . . . . . . . . . . . . (3B-2) 269

U

Uchida, Hiroshi . . . . . . . . . . . . . . . . . . . . (6C-15) 551Uchida, Jumpei . . . . . . . . . . . . . . . . . . . . (1D-2) 74Uehara, Akihiro . . . . . . . . . . . . . . . . . . . (6C-14) 549Utsurogi, Yoshihiro . . . . . . . . . . . . . . . . (6C-10) 541

V

Vander Aa, Tom . . . . . . . . . . . . . . . . . . . (10A-1) 822Velev, Miroslav N. . . . . . . . . . . . . . . . . . (3D-1) 310Velev, Miroslav N. . . . . . . . . . . . . . . . . . (3D-2) 316Verma, Manish . . . . . . . . . . . . . . . . . . . . (1A-1) 4Vivekanandarajah, Kugan . . . . . . . . . . . (4C-1) 373

W

Waho, Takao . . . . . . . . . . . . . . . . . . . . . . (6C-24) 569Wakabayashi, Kazutoshi . . . . . . . . . . . . (4A-1) 344Wang, A.Z. . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Wang, Cheng-Yeh . . . . . . . . . . . . . . . . . (3B-4) 280Wang, Hua . . . . . . . . . . . . . . . . . . . . . . . . (8D-5) 757Wang, Janet . . . . . . . . . . . . . . . . . . . . . . . (10B-1) 844Wang, Janet . . . . . . . . . . . . . . . . . . . . . . . (9B-2) 778Wang, Jian . . . . . . . . . . . . . . . . . . . . . . . . (1C-1) 45Wang, Jinn-Shyan . . . . . . . . . . . . . . . . . (6C-13) 547Wang, Li C . . . . . . . . . . . . . . . . . . . . . . . . (5A-2) 418Wang, Li-C . . . . . . . . . . . . . . . . . . . . . . . (3C-3) 298Wang, Li-C. . . . . . . . . . . . . . . . . . . . . . . . (7C-2) 632Wang, Mao-Yin . . . . . . . . . . . . . . . . . . . . (5C-3) 456Wang, Qinke . . . . . . . . . . . . . . . . . . . . . . (5B-4) 444Wang, Xiren . . . . . . . . . . . . . . . . . . . . . . . (8B-4) 705Wang, Yan . . . . . . . . . . . . . . . . . . . . . . . . (2A-2) 125Wang, Yi-Ming . . . . . . . . . . . . . . . . . . . . (6C-13) 547

Wang, Yi-Wen . . . . . . . . . . . . . . . . . . . . . (1B-5) 42Wang, Zeyi . . . . . . . . . . . . . . . . . . . . . . . . (8B-3) 700Wang, Zeyi . . . . . . . . . . . . . . . . . . . . . . . . (8B-4) 705Wang, Zhong . . . . . . . . . . . . . . . . . . . . . . (3B-3) 274Watanabe, Kunihiro . . . . . . . . . . . . . . . . (6C-27) 573Wedler, Markus . . . . . . . . . . . . . . . . . . . . (5A-3) 424Wehmeyer, Lars . . . . . . . . . . . . . . . . . . . (1A-1) 4Wehn, Norbert . . . . . . . . . . . . . . . . . . . . . (5C-4) 459Wilson, Alex . . . . . . . . . . . . . . . . . . . . . . (4A-2) 349Winterholer, Markus . . . . . . . . . . . . . . . (3A-1) 238Wolf, Wayne . . . . . . . . . . . . . . . . . . . . . . (2D-3) 198Wong, Kim Y . . . . . . . . . . . . . . . . . . . . . (2C-2) 169Wong, Martin D.F. . . . . . . . . . . . . . . . . . (1B-4) 38Wong, Martin D.F. . . . . . . . . . . . . . . . . . (5B-1) 430Woo, Ramchan . . . . . . . . . . . . . . . . . . . . (6C-6) 533Wu, Cheng-Wen . . . . . . . . . . . . . . . . . . . (5C-3) 456Wu, Di . . . . . . . . . . . . . . . . . . . . . . . . . . . . (2B-5) 159Wu, Q. . . . . . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708

X

Xie, H.L. . . . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Xu, Jingyu . . . . . . . . . . . . . . . . . . . . . . . . (8A-3) 675

Y

Yamamoto, Koji . . . . . . . . . . . . . . . . . . . (6C-27) 573Yamamoto, Shin-Ya . . . . . . . . . . . . . . . . (6C-19) 559Yan, Jin-Tai . . . . . . . . . . . . . . . . . . . . . . . (8A-4) 681Yan, Shouli . . . . . . . . . . . . . . . . . . . . . . . (3C-2) 292Yanagisawa, Masao . . . . . . . . . . . . . . . . (1D-2) 74Yanagisawa, Masao . . . . . . . . . . . . . . . . (3A-3) 250Yanagisawa, Masao . . . . . . . . . . . . . . . . (8D-2) 741Yang, Chia-Lin . . . . . . . . . . . . . . . . . . . . (8C-3) 723Yang, Guowu . . . . . . . . . . . . . . . . . . . . . . (8A-1) 664Yang, Liu . . . . . . . . . . . . . . . . . . . . . . . . . (8B-3) 700Yang, Shao Sheng . . . . . . . . . . . . . . . . . (6C-22) 565Yang, Yang . . . . . . . . . . . . . . . . . . . . . . . . (8A-5) 685Yano, Yuji . . . . . . . . . . . . . . . . . . . . . . . . (6C-11) 543Yao, Bo . . . . . . . . . . . . . . . . . . . . . . . . . . . (3B-1) 263Yen, Chia-Chih . . . . . . . . . . . . . . . . . . . . (3D-4) 328Yeom, J. . . . . . . . . . . . . . . . . . . . . . . . . . . (6C-23) 567Yokota, Hideaki . . . . . . . . . . . . . . . . . . . (3A-4) 256Yonezu, Hiroo . . . . . . . . . . . . . . . . . . . . . (6C-4) 529Yoo, Hoi-Jun . . . . . . . . . . . . . . . . . . . . . . (6C-6) 533Yoo, Sungjoo . . . . . . . . . . . . . . . . . . . . . . (5D-2) 469Yoshikawa, Ko . . . . . . . . . . . . . . . . . . . . (2D-1) 186Yoshimoto, Masahiko . . . . . . . . . . . . . . (6C-3) 527Yoshimura, Takeshi . . . . . . . . . . . . . . . . (2D-1) 186Yu, Chun-Pong . . . . . . . . . . . . . . . . . . . . (6C-16) 553Yu, Haobo . . . . . . . . . . . . . . . . . . . . . . . . (5D-1) 463Yu, Haobo . . . . . . . . . . . . . . . . . . . . . . . . (9D-3) 810

908

Page 57: ASP-DAC 2004 Asia and South Pacific Design Automation ... · Asia and South Pacific Design Automation Conference ... of SoC/ VLSI/Embedded System design and EDA/CAD technologies,

Yu, Qingjian . . . . . . . . . . . . . . . . . . . . . . (9B-3) 784Yu, Wenjian . . . . . . . . . . . . . . . . . . . . . . . (8B-4) 705Yuh, Ping-Hung . . . . . . . . . . . . . . . . . . . (8C-3) 723Yuyama, Yoichi . . . . . . . . . . . . . . . . . . . . (8D-1) 735

Z

Zeng, Xuan . . . . . . . . . . . . . . . . . . . . . . . (1C-1) 45Zhan, R.Y. . . . . . . . . . . . . . . . . . . . . . . . . (8B-5) 708Zhang, Ling . . . . . . . . . . . . . . . . . . . . . . . (8A-3) 675Zhang, Xuliang . . . . . . . . . . . . . . . . . . . . (1B-2) 25Zhao, Min . . . . . . . . . . . . . . . . . . . . . . . . (2B-5) 159Zhou, Dian . . . . . . . . . . . . . . . . . . . . . . . . (10C-4) 877Zhou, Dian . . . . . . . . . . . . . . . . . . . . . . . . (1C-1) 45Zhou, Hai . . . . . . . . . . . . . . . . . . . . . . . . . (8A-5) 685Zhu, Jianwen . . . . . . . . . . . . . . . . . . . . . . (10D-1) 885Zhu, Jianwen . . . . . . . . . . . . . . . . . . . . . . (3B-3) 274Zhu, Qi . . . . . . . . . . . . . . . . . . . . . . . . . . . (8A-5) 685Zhu, Xiaoke . . . . . . . . . . . . . . . . . . . . . . . (4D-3) 406

909