34
An Ultra-Low Energy Human Activity Recognition Accelerator for Wearable Health Applications Ganapati Bhat*, Yigit Tuncel Sizhe An, Umit Y. Ogras Arizona State University Hyung Gyu Lee Daegu University CASES, October 14, 2019

An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

An Ultra-Low Energy Human Activity Recognition Accelerator for

Wearable Health ApplicationsGanapati Bhat*, Yigit Tuncel

Sizhe An, Umit Y. OgrasArizona State University

Hyung Gyu LeeDaegu University

CASES, October 14, 2019

Page 2: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

2

§ Motivation§ Related Work§ Human Activity Recognition Accelerator

– Baseline HAR Engine– Activity-Aware 2-Level HAR Engine

§ Low Power Optimizations§ Experimental Results§ Conclusion

Outline

Page 3: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

3

Health Monitoring using Wearables§ 15% of the world’s population lives with a disability*§ 110-190 million people face difficulties in functioning*§ Intl. Parkinson and Movement Disorders Society Task Force

on Technology:– Low-cost and small form-factor wearable devices offer great potential – Enabled by advances in low power sensors and processors

Current Health Practice

Health Professionals

Patients

Weeks

Health Professionals

Patients

Hardware Software APIs

Sample Applications

Open Source Platform

Commercial \ Proprietary Applications

User Preferences

Wearable Devices

Daily

Daily

OpenHealth Wearable Vision

Weeks

*World Report on Disability: http://www.who.int/disabilities/world report/2011/report/en/.

Page 4: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

4

Why Human Activity Recognition (HAR)?

§ Identify activities, such as walking, sitting, driving, jogging

§ First step to solutions for movement disorders

Jump Lie Down

Walk Stand Sit

§ HAR can provide valuable insight§ Applications of HAR

– Patient rehabilitation– Fall detection– Physical activity promotion

We have to know what the patient is doing to reach a conclusion

Up/down stairs

Page 5: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

5

Challenges of Wearable Health Technology

*Ranadeep Deb, MS Thesis, 2019

0

20

40

60

80

100

120

2008 2010 2012 2014 2016 2018

Num

ber o

f Pap

ers

Years

Use of Wearable Devices for PD*Wearable

§ Adaptation & technology challenges hinder widespread adoption– Comfort: Awkward to wear or carry a device– Compliance: Stop using technology due to maintenance– Applications: No killer applications

§ 27% users give up due to charging reqs [1]– Practical solutions must minimize energy

§ Flexible energy harvesting devices can address these problems

§ However,– Ambient power is still lower

than 10 to 30 mW requirement – Mere 40 hrs with 130 mAh battery

Flexible PV-cell

[1] Ana Lígia Silva de Lima et al.. Feasibility of Large-Scale Deployment of Multiple Wearable Sensors in Parkinson’s Disease. PLOS One 12, 12 (2017), e0189161

Page 6: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

6

Challenges of Wearable Health Technology

*Ranadeep Deb, MS Thesis, 2019

0

20

40

60

80

100

120

2008 2010 2012 2014 2016 2018

Num

ber o

f Pap

ers

Years

Use of Wearable Devices for PD*Wearable

§ Adaptation & technology challenges hinder widespread adoption– Comfort: Awkward to wear or carry a device– Compliance: Stop using technology due to maintenance– Applications: No killer applications

§ 27% users give up due to charging reqs [1]– Practical solutions must minimize energy

§ Flexible energy harvesting devices can address these problems

§ However,– Ambient power is still lower

than 10 to 30 mW requirement – Mere 40 hrs with 130 mAh battery

Flexible PV-cell

Low-power accelerators needed to meetenergy budget

[1] Ana Lígia Silva de Lima et al.. Feasibility of Large-Scale Deployment of Multiple Wearable Sensors in Parkinson’s Disease. PLOS One 12, 12 (2017), e0189161

Page 7: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

7

§ The first integrated full hardware accelerator for HAR– Sensor reading to activity classification

§ Novel activity-aware design to minimize energy consumption– 22.4 µJ per activity (>17 days with 130 mAh battery)

§ Post layout evaluation using TSMC 65 nm LP§ Extensive experimental evaluation with 22 users

– Dataset released to public (https://github.com/gmbhat/human-activity-recognition)

Our Novel Contributions

FFT

BA_DS

BA

SegmentationSTR_FIFO AX_FIFO AY_FIFO AZ_FIFO

BA_FIFO

BA_DWT STR_DS

AX_DS

AX_DWT

AY_DS

AY_DWT

AZ_DS

FFTFeature

Gen.

Feature

Gen.

DNN

FFT

BASegmentationSTR_FIFO

AX_FIFOAY_FIFO AZ_FIFO BA_FIFO

BA_DWT

STR_DS

AX_DS

AX_DWT

AY_DS

AY_DWT

AZ_DS

FFTFeature

Gen. (120)

Feature

Gen.(

120)

DNN

BA_DS

SVMFeature

Gen. (21)

DT

A critical step towards self-poweredhealth monitoring devices

Page 8: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

8

[1] Alan CW Wong et al. A 1 V, Micropower System-On-Chip For Vital-Sign Monitoring In Wireless Body Sensor Networks. ISSCC 2018[2] Yuxuan Luo et al. A 93μW 11Mbps Wireless Vital Signs Monitoring Soc With 3-Lead ECG, Bio-Impedance, And Body Temperature. In Proc. IEEE Asian Solid-State Circuits Conf, 2017[3] Nick Van Helleputte et al. 18.3 A Multi-Parameter Signal-Acquisition Soc For Connected Personal Health Applications. ISSCC 2014[4] Xin Liu et al. An Ultra-Low Power ECG Acquisition And Monitoring ASIC System For WBAN Applications. IEEE J. on Emerg. and Sel. Topics in Circuits Syst. 2, 2012.[5] Wouter Bracke et al.. A 1 cm3 Modular Autonomous Sensor Node For Physical Activity Monitoring. Ph.D. Research in Microelectronics and Electronics, 2006.

Related WorkRef [1] [2] [3] [4] [5] Proposed

Target App. Vital signal monitoring

Vital signal monitoring

Signal acquisition

Signal acquisition

Sensor AFE for physical act. HAR

Technology 130 nm 130 nm 180 nm 180 nm 500 nm 65 nm

Frequency 32 kHz or 16 MHz 1-20 MHz 1 MHz Up to 2 kHz 120 Hz 100 kHz

Voltage 1.0 V 0.9 V 1.2 V 1.1 V 2.7 V - 3.3 V 1.0 V

Power 530 µW 93-322 µW 191 µW 88.6 µW 120 µW 45 – 51 µW

Area 16 mm2 6.25 mm2 49 mm2 5.45 mm2 196 mm2 1.35 mm2

Page 9: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

9

§ Motivation§ Related Work§ Human Activity Recognition Accelerator

– Baseline HAR Engine– Activity-Aware 2-Level HAR Engine

§ Low Power Optimizations§ Experimental Results§ Conclusion

Outline

Page 10: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

10

Baseline HAR Engine Overview

§ Stretch sensor input: Measures bending of the knee§ Accelerometer input: Measures acceleration at ankle§ Activities

JumpLie Down

WalkStandSit Up/down stairs

Page 11: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

11

§ 3-axis accelerometer data– The most commonly used sensor for activity recognition– Since it is notoriously known to be noisy, preprocess using

8-point moving average filter

Input Sensor Data- Accelerometer

§ Invensense MPU-9250§ Low pass filter

�̅� 𝑘𝑇% =18)*+,-

.

𝑠[ 𝑘 + 𝑖 𝑇%]

where 𝑇%: Sampling time, �̅� 𝑘𝑇% : Averaged sample at time 𝑘𝑇%𝑠[𝑘𝑇%]: Raw sample at time 𝑘𝑇%

§ Filter applied to 3-axis data

Page 12: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

12

§ 3-axis accelerometer data– The most commonly used sensor for activity recognition– Since it is notoriously known to be noisy, preprocess using 8-

point moving average filter

§ Use a textile-based stretch sensor (first time for HAR)

Input Sensor Data – Stretch Sensor

§ Stretchsense Stretch Sensor§ Low pass filter

�̅� 𝑘𝑇% =18)*+,-

.

𝑠[ 𝑘 + 𝑖 𝑇%]

where 𝑇%: Sampling time, 𝑠 𝑘𝑇% , �̅� 𝑘𝑇% : Raw, averaged sample at time 𝑘𝑇%

Page 13: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

13

§ 3-axis accelerometer data– The most commonly used sensor for activity recognition– Since it is notoriously known to be noisy, preprocess using 8-

point moving average filter

§ Use a textile-based stretch sensor (first time for HAR)

Input Sensor Data – Stretch Sensor

§ Stretchsense Stretch Sensor§ Low pass filter

�̅� 𝑘𝑇% =18)*+,-

.

𝑠[ 𝑘 + 𝑖 𝑇%]

where 𝑇%: Sampling time, 𝑠 𝑘𝑇% , �̅� 𝑘𝑇% : Raw, averaged sample at time 𝑘𝑇%

It has much less noise and power consumptionsince it is passive

Page 14: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

14

§ 3-axis accelerometer data– The most commonly used sensor for activity recognition– Since it is notoriously known to be noisy, preprocess using 8-

point moving average filter

§ Use a textile-based stretch sensor (first time for HAR)§ Segment data into windows by detecting local minima

in stretch sensor

Input Sensor Data – Segmentation

§ 5-pt derivative to define trends in data

§ A new segment when the trend changes from– Decreasing to Increasing– Flat to Increasing

Page 15: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

15

§ Non-uniform samples due to variable segment length§ Down sample and smooth

– Down sample block standardizes number of samples– 64 for accelerometer, 32 for stretch sensor

§ 16-bit Neural Network Features

Feature Generation

Statistical Features

DWT of 𝒂𝒙

DWT of 𝒂𝒛

DWT of body accel

64-point FFT of stretch sensorDown-Sample

& Smooth

𝑎8𝑎9

𝑎:

𝑏<==

Stretch 32

64

64

64

64

8 features

16 leading coeff.

32 approx. coeff.

32 approx. coeff.

32 approx. coeff.

§ Statistical Features– Variance of 𝑎8, 𝑎9, 𝑎:, 𝑏<== and mean of 𝑎9– Min, max of stretch sensor and window length

Page 16: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

16

§ Operation and optimizations– Design a parameterized module– Instantiate for hidden and output

layers– Only one hour required to change

from 3 layer to 2 layer network

Baseline DNN ClassifierStatistical Features

DWT

4-neurons

DWT

DWT

8-neurons 8-neurons

Out

put

Act

ivit

y

64-point FFT

§ Detailed neural architecture space exploration

§ 2 Hidden layers– ReLU Activation

§ Output layer with 8 neurons– Linear activation with max– More hardware-friendly compared

to softmax

Init Weight Load

IdleCompute

State machine for DNN

Segment

Classify

Page 17: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

17

§ 84% of human activities are static (e.g. sit, stand, lie down)– We do not need a DNN to classify them– At the same time, more complex dynamic activities must be

classified accurately§ Divide the activities into two classes

– A simple support vector machine (SVM) to identify static vs dynamic– A 2-Layer NN classifier for dynamic activities

Activity-Aware 2-Level Engine

DNN Features

Preprocessing

MEMS

Statistical Features

𝑎8𝑎9

𝑎:

Raw Data Preprocessing

3-axis Accelerometer

Stretch SensorDown-Sample

&

Smooth

Staticvs.

Dynamic?

2-Layer NN

Classifier

Decision Tree

ClassifierStatic

Activity

Dynamic Activity𝑏<== DWT

DWT

DWT

64-point FFT

Stretch

Feature Generation Activity-aware ClassifierSVM

US Department of Labor. 2017. American Time Use Survey. [Online] https://www.bls.gov/tus/

Page 18: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

18

§ 84% of human activities are static (e.g. sit, stand, lie down)– We do not need a DNN to classify them– At the same time, more complex dynamic activities must be

classified accurately§ Divide the activities into two classes

– A simple support vector machine (SVM) to identify static vs dynamic– A 2-Layer NN classifier for dynamic activities

Activity-Aware 2-Level Engine

DNN Features

Preprocessing

MEMS

Statistical Features

𝑎8𝑎9

𝑎:

Raw Data Preprocessing

3-axis Accelerometer

Stretch SensorDown-Sample

&

Smooth

Staticvs.

Dynamic?

2-Layer NN

Classifier

Decision Tree

ClassifierStatic

Activity

Dynamic Activity𝑏<== DWT

DWT

DWT

64-point FFT

Stretch

Feature Generation Activity-aware Classifier

Avoids power hungry FFT and DNN blocks for 84% of activities

SVM

US Department of Labor. 2017. American Time Use Survey. [Online] https://www.bls.gov/tus/

Page 19: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

19

§ Features are reused between SVM and decision tree§ DWT and FFT calculated only if activity is dynamic

Activity-Aware Classification

Statistical Features

Support Vector Machine

Static

Dynamic

2-Layer NN1 Hidden layer with 4

Neurons

Dynamic Activity Label

DWT

DWT

DWT

64-point FFT

Stat. Features

Decision Tree Classifier

Static Activity Label

Page 20: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

20

§ Motivation§ Related Work§ Human Activity Recognition Accelerator

– Baseline HAR Engine– Activity-Aware 2-Level HAR Engine

§ Low Power Optimizations§ Experimental Results§ Conclusion

Outline

Page 21: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

21

Clock and Data Gating

§ Human activities are in the order of few Hz– Use this information to clock gate unused blocks

20 22 24 26 28 30 32 34 36Time (s)

0

2

4

6

8

Stre

tch

Cap

acita

nce

Walk Sit Stand

Page 22: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

22

Clock and Data Gating

§ Human activities are in the order of few Hz– Use this information to clock gate unused blocks

§ Data dependencies – e. g., downsampling depends on segment detection

DNN Features

Preprocessing

MEMS

Statistical Features

𝑎8𝑎9

𝑎:

Raw Data Preprocessing

3-axis Accelerometer

Stretch SensorDown-Sample

&

Smooth

Staticvs.

Dynamic?

2-Layer NN

Classifier

Decision Tree

ClassifierStatic

Activity

Dynamic Activity𝑏<== DWT

DWT

DWT

64-point FFT

Stretch

Feature Generation Activity-aware Classifier

Always ON Clock gated blocks

Page 23: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

23

Clock and Data Gating

§ Human activities are in the order of few Hz– Use this information to clock gate unused blocks

§ Data dependencies – e. g., downsampling depends on segment detection

Segm

enta

tion

S Q

R QN Stre

tch

DS

clk

gclk

output_valid

output_valid

Page 24: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

24

§ Insight from wearable applications domain– Data collection and preprocessing have to be always ON– Processing blocks can be activated after the data is available

§ Major power savings potential by turning off processing pipeline§ Divide logic into two domains

– Segmentation, filtering, FIFO in always-ON domain– Downsample, feature generation and NN in gated domain

§ Use signal from segmentation to wake up

Power Gating

1234

5 : gated clk 4

Filtering

SegmentationFIFO

Stretch DS

& StatsFeatures

ClassifierAccel. DS

& Stats

PCU

Power Domain 1 Power Domain 2

sleepVDD

: global clk: gated clk 1

: gated clk 2

: gated clk 3

Page 25: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

25

§ Motivation§ Related Work§ Human Activity Recognition Accelerator

– Baseline HAR Engine– Activity-Aware 2-Level HAR Engine

§ Low Power Optimizations§ Experimental Results§ Conclusion

Outline

Page 26: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

26

§ Design tools and hardware technology– TSMC 65 nm LP– Cadence Innovus for APR– Synopsys PrimeTime for power

§ User studies– Data from 22 users– Total of 4740 segments

§ Training data split– 4 users for test– 18 users for training

• 60% train, 20% cross-val, 20% test– 37% test data from unseen users

Experimental Setup

§ Data used in ESWEEKIoMT design contest– 16 teams from 7 countries

§ Presentations on Tuesday 15th 12 pm to 1pm

§ Data available open source

Page 27: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

27

Design Area: Baseline Engine

FFT

BA_DS

BA

SegmentationSTR_FIFO AX_FIFO AY_FIFO AZ_FIFO

BA_FIFO

BA_DWT STR_DS

AX_DS

AX_DWT

AY_DS

AY_DWT

AZ_DS

FFTFeature

Gen.

Feature

Gen.

DNN

§ Synthesize at 100 kHz§ Floorplan during APR

– Optimize to match logic

§ Total area = 1.353 mm2

§ FFT has the highest area§ Blocks with memory have

higher area– FIFO for storing samples– Neural network

Page 28: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

28

Design Area: 2-Level Engine

FFT

BASegmentationSTR_FIFO

AX_FIFOAY_FIFO AZ_FIFO BA_FIFO

BA_DWT

STR_DS

AX_DS

AX_DWT

AY_DS

AY_DWT

AZ_DS

FFTFeature

Gen. (120)

Feature

Gen.(

120)

DNN

BA_DS

SVMFeature

Gen. (21)

DT

§ Total area = 1.357 mm2

– Only 0.3% larger than the baseline design

§ Resembles baseline design– Processing blocks are common

Page 29: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

29

§ Weight and Activation Quantization to 16-bits

Δ? =@ABCD@EF

where 𝑊H<8: Largest weight

§ Confusion matrix for baseline classifier– Greater than 93% accuracy for all activities

Accuracy of the Baseline Engine

Jump LieDown

Sit Stand Walk Stairs up

Stairs Down

Tran-sition

Accuracy (%)

Jump 442 0 0 0 5 0 5 6 97Lie down 0 474 0 0 0 0 0 0 100Sit 0 0 665 26 0 0 0 5 93Stand 0 0 16 576 1 0 0 27 93Walk 31 0 1 10 1913 0 10 42 95Stairs up 0 0 0 0 1 101 6 1 93Stairs down 0 0 0 0 1 1 97 1 97Transition 7 2 7 14 14 4 0 229 83

Page 30: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

30

Accuracy of 2-Level Engine

§ 99% accuracy in classifying static and dynamic activities§ Accuracy improvement with 2-Level engine

1% to 8% accuracy improvement with only 0.3% larger area

93% to

99%

83% to

91%

Page 31: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

31

Power Consumption of Baseline Engine

§ Always ON modules consume about 14 µW§ FFT has highest power among classification blocks§ Total power consumption of 51 µW

ClassificationAlways ON

Page 32: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

32

Power Consumption of 2-Level Engine

§ Static activities consume 19.5 µW (2.6⨉ reduction)§ Dynamic activities consume 44.6 µW (1.14⨉ reduction)§ 10⨉ improvement compared to embedded solutions

– Including sensor and communication energy

§ 17 day operation using a 130 mAh flexible battery

Common Dynamic TotalStatic

Page 33: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

33

20

40

60

80

Pow

er c

onsu

mpt

ion

(W

)

20

40

60

80

Pow

er c

onsu

mpt

ion

(W

)

Static

Dynamic

376.5 377 377.5 378 378.5 379 379.5 380 380.5Time (s)

10

20

30

40

50

Pow

er c

onsu

mpt

ion

(W

)

Baseline Activity-aware

10

20

30

40

50

Pow

er c

onsu

mpt

ion

(W

)

10

20

30

40

50

Pow

er c

onsu

mpt

ion

(W

)

Static Activity

Dynamic Activity

Peak Power Consumption Benefits§ Our goal is to operate with ambient energy

– Peak power must be lower than energy harvesting capacity

§ More than 80% time spent in static activities– Activity-aware engine provides lower peak power

Facilitates operation with ambient energy

2.6⨉ and 1.1⨉ reduction in peak power for static and dynamic activities

Page 34: An Ultra-Low Energy Human Activity Recognition Accelerator for …gbhat/data/2.A.2_Bhat_ESWEEK19.pdf · 2020. 9. 10. · 5 Challenges of Wearable Health Technology *Ranadeep Deb,

34

§ Presented two human activity recognition engines– Fully integrated solution from sensor to activity classification– Novel activity-aware engine– 22.4 µJ per activity using TSMC 65 nm LP– Further power savings possible with voltage scaling

§ Dataset from 22 users released to public

Conclusion

A critical step towards self-poweredhealthy monitoring devices