10
Integrated Microsystems in the macro-world P.J. French EI/EWI-DIMES, Delft University of Technology, The Netherlands Tel +31-15-2784729, fax +31-15-2785755, e-mail [email protected] Abstract Since the 1960s etching of silicon has been used to make three-dimensional structures. The first devices were pressure sensors using a thin silicon membrane. More recently accelerometers and gyroscopes have been developed. All of these devices can be integrated with electronics enabling the introduction of extra functions such as self-test and self-calibration. A broader look at sensors shows a wealth of integrated devices. The critical issues are reliability and packaging if these devices are to find the applications. A number of silicon sensors have shown great commercial success. What are the directions for the new generations of Microsystems? This paper will examine the opportunities for integrated Microsystems as well as scaling and increased autonomy. 1.0 Introduction Although silicon is brittle and therefore prone to shock, it has a high Young’s modulus and has been found to be an excellent mechanical material. The mechanical properties are well documented in the papers from Petersen [1]. The first examples go back to the early 1960s with a membrane based pressure sensor. The mid 1960s also saw the first surface micromachined structures using a resonating gate device, although this did not perhaps receive the attention it deserved [2]. Instead the attention was concentrated on anisotropic etching using etchants such as KOH, EDP and hydrazine and later TMAH [3-4]. Due to health risks, EDP and hydrazine are less widely used today, but KOH and TMAH can be found in many research labs and industrial process lines. In addition to wet etching, RIE and also DRIE have become major players in the Microsystems industry. The shapes of holes etched using isotropic wet etching and anisotropic wet and dry etching are shown in figure 1. Figure 1 Three etch structures (a) isotropic, (b) wet anisotropic and (c) deactive ion etching. Surface micromachining only became prominent with the publication of a number of papers using polysilicon as the mechanical layer [5-6]. Surface micromachining involves the deposition of thin films and the selective removal of one or more layers to produce free-standing structures. This is illustrated in figure 2 CANEUS 2004--Conference on Micro-Nano-Technologies 1 - 5 November 2004, Monterey, California AIAA 2004-6756 Copyright © 2004 by the American Institute of Aeronautics and Astronautics, Inc. All rights reserved.

[American Institute of Aeronautics and Astronautics CANEUS 2004 Conference on Micro-Nano-Technologies - Monterey, California (01 November 2004 - 05 November 2004)] CANEUS 2004 Conference

  • Upload
    paddy

  • View
    213

  • Download
    1

Embed Size (px)

Citation preview

Integrated Microsystems in the macro-world P.J. French

EI/EWI-DIMES, Delft University of Technology, The Netherlands Tel +31-15-2784729, fax +31-15-2785755, e-mail [email protected]

Abstract Since the 1960s etching of silicon has been used to make three-dimensional structures. The first devices were pressure sensors using a thin silicon membrane. More recently accelerometers and gyroscopes have been developed. All of these devices can be integrated with electronics enabling the introduction of extra functions such as self-test and self-calibration. A broader look at sensors shows a wealth of integrated devices. The critical issues are reliability and packaging if these devices are to find the applications. A number of silicon sensors have shown great commercial success. What are the directions for the new generations of Microsystems? This paper will examine the opportunities for integrated Microsystems as well as scaling and increased autonomy. 1.0 Introduction Although silicon is brittle and therefore prone to shock, it has a high Young’s modulus and has been found to be an excellent mechanical material. The mechanical properties are well documented in the papers from Petersen [1]. The first examples go back to the early 1960s with a membrane based pressure sensor. The mid 1960s also saw the first surface micromachined structures using a resonating gate device, although this did not perhaps receive the attention it deserved [2]. Instead the attention was concentrated on anisotropic etching using etchants such as KOH, EDP and hydrazine and later TMAH [3-4]. Due to health risks, EDP and hydrazine are less widely used today, but KOH and TMAH can be found in many research labs and industrial process lines. In addition to wet etching, RIE and also DRIE have become major players in the Microsystems industry. The shapes of holes etched using isotropic wet etching and anisotropic wet and dry etching are shown in figure 1.

Figure 1 Three etch structures (a) isotropic, (b) wet anisotropic and (c) deactive ion etching.

Surface micromachining only became prominent with the publication of a number of papers using polysilicon as the mechanical layer [5-6]. Surface micromachining involves the deposition of thin films and the selective removal of one or more layers to produce free-standing structures. This is illustrated in figure 2

CANEUS 2004--Conference on Micro-Nano-Technologies1 - 5 November 2004, Monterey, California

AIAA 2004-6756

Copyright © 2004 by the American Institute of Aeronautics and Astronautics, Inc. All rights reserved.

Figure 2 Example of surface micromachined cantilevers [7].

Further issues, which were largely ignored during the early development of Microsystems, are packaging and reliability. These issues have the main problems for transferring the technology from the research labs to the product and therefore, if Microsystems are to find industrial applications, these issues have had to be addressed. Wafer-level packaging is able to offer protection of delicate structures during wafer handling and also simplified and more flexible packaging. Furthermore, Microsystems are being applied to an increasing number of harsh environments. This increases the demands on both sensor and the packaging.

For the future development of Microsystems, a number of issues are important, although their relative importance depends on the applications. These factors include, amongst others, low power, increased functionality, reduced size. The issue of whether or not to integrate depends on the application and process complexity. In some cases benefits can be found through the move from micro to nano-technology. In other applications the size of the system, and not the device, is more important. As structures are reduced in size the surface effects will become more important, bringing new sensing opportunities, but also new problems for reliability.

In Europe early Microsystems groups included Delft and Twente in the Netherlands, ETH Zurich and Neuchâtel in Switzerland. Today a large network exists spanning from Ireland in the west and Russia in the east. Many universities have their own processing facilities, some of which have full CMOS/bipolar capabilities and others concentrate on the special processing for Microsystems structures. The European Union has also played a role in creating “Networks of Excellence” and multi-project processes through Europractice. This is generally standard processing, but a number of companies have also set up services for micromachining processes. The following sections will briefly give a description of the technology and the applications followed by a look into future developments. 2.0 Bulk micromachining Most of the early micromachined devices were produced using anisotropic wet etching in, for example, KOH. The simplicity of the process lead to a number of commercial devices, such as pressure sensors and accelerometers. Two major industries, which promoted these devices are medical and automotive. The silicon accelerometer was

further boosted by the development of self-test techniques [8], which was of particular interest to safety critical applications, such as airbags. A further wet etching technique, which has received attention in recent years is macro-porous silicon. This simple process enables the fabrication of high aspect-ratio holes and trenches, and the nature of the process allows three-dimensional structures to be fabricated in a single step [9]. Dry etching techniques were developed in the IC industry to improve profiles and reduce under-etching. The introduction of deep RIE using processes such as the Bosch and cryogenic processes opened many opportunities for the micromachining community. 2.1 Devices As mentioned above, bulk micromachining has been successfully applied to pressure sensors, accelerometers and more recently gyroscopes [8-14]. Examples of these devices are given in figure 3.

Figure 3 (left) and example of a bulk micromachined accelerometer [11], (right) a bulk

micromachined gyroscope [15].

2.2 Integration Issues The three process groups mentioned above have different integration issues. Anisotropic wet etching can be simply applied as a post-processing step, although the frontside of the wafer should be protected. With the macro-porous process the main issue is the substrate. Macro-porous is performed in n-type substrates, which are not used in most IC processes. There have, however, been some results of macro-porous silicon in p-type substrates, although the process is more difficult to control [16]. DRIE processing can also be performed as a post-processing step. In this case the main issue can be charging in the gate oxide of a CMOS device due to the long exposure to the plasma. Measures should be taken to minimise this effect.

3.0 Surface micromachining Although also a product of the 1960s, surface micromachining did not come to prominence until the 1980s. This period showed the potential of materials such as polysilicon for both sensors and actuators. The basic process is illustrated in figure 4.

Figure 4 Basic surface micromachining process; (ia) sacrificial layer definition, (ib) mechanical layer

definition (ic) sacrificial etching (ii) planar view of simple structures.

A wide range of materials are available for both mechanical and sacrificial layers, as shown in table 1, where for each combination a sacrificial etchant is chosen which etches the sacrificial layer without serious damage to the mechanical layer.

Sacrificial layer Mechanical layer Sacrificial etchant Oxide (PSG, LTO etc) Polysilicon, silicon

nitride, silicon carbide HF

Oxide (PSG, LTO etc) Aluminium Pad etch, HF (73%) Polysilicon Silicon nitride KOH, TMAH Polysilicon Silicon dioxide TMAH Resist Aluminium Acetone/oxygen plasma Table 1. Examples of sacrificial and mechanical layers with the appropriate etchant.

3.1 Devices The same devices found in bulk micromachining can be found in surface micromachining. Two examples are given in figure 5

Figure 5 (left) the Analog devices 2-D surface micromachined accelerometer. Reproduced with kind

permission Kevin Chau, Analog Devices and (right) a polysilicon gyroscope [17]

3.2 Integration issues The integration issues are more complicated with surface micromachining than with bulk micromachining. In this case we have the additional issue of thermal budget, since many surface micromachining processes require additional layers. There have a number of approaches to solving this problem:

1. Pre-processing a. In this approach the additional layers are deposited in a well at the start of

the IC processing, after which the well is filled and the wafer planarised [18]. In this approach the mechanical layers have to survive the full thermal budget of an IC process and also the IC fab has to be willing to accept wafers already partly processed.

2. Integrated processing a. In this approach the wafers are removed from the line, for example just

before metallisation, additional layers are deposited and the wafers are returned to the line [19]. This approach requires access to the line and the thermal budget is limited.

3. Using layers already available in the CMOS or bipolar process a. This approach is perhaps the simplest since no extra depositions are

required [20-22]. The disadvantage is the fact that IC layers are not chosen for their mechanical properties and often have compressive stress. In many cases the designer can design round these problems, using for example, stress relief structures.

4. Post-processing a. Post-processing present the least conflict with the IC-line, although the

thermal budget is limited to 400oC [23]. Materials available for this technique are PECVD layers, polymers and metals [24, 25]. An alternative approach is to use a different metal for the metallisation [26].

4.0 Packaging and reliability Packaging and reliability are major issues in bring devices to the market, although it should not be forgotten that testing can also result in a major part of the final cost. Reliability can be divided into two categories (1) process yield and (2) reliability in operation. The first of these issues has often been a barrier to integration, since a lower yield for the micromachining will give a lower yield for the whole IC. However, the yield of the basic micromachining processes has been significantly improved in recent years. One of the issues of yield is related to packaging. Once etched the micromachined devices are extremely delicate until they are packaged. In many cases the devices are encapsulated in additional wafers prior to packaging using wafer-to-wafer bonding [11]. In the example given in figure 6, the lower glass wafer was bonded before the mass was released. This figure is a cross-sectional view of the device shown in figure 3 (left). An additional packaging option is to take the contact through the wafer. This can be done using KOH etching or DRIE. These two options are shown in figure 7.

Figure 6 Cross sectional view of an accelerometer with glass capping layers.

Figure 7 Two through wafer interconnect options, using KOH and DRIE.

5.0 Integrated system In transport there are two main driving forces for the implementation of sensors, safety and efficiency. Figure 8 shows the advanced safety vehicle idea, part of a Japanese programme. Many of these sensors are designed to avoid accidents or protect the

occupants in the case of an accident. Here we see an example of an integrated system and with the move towards drive-by-wire, this integration will become even more important.

Figure 8 Advanced Safety Vehicle

Throughout the transport industry MEMS based sensors are being increasingly applied for control and safety systems. For the automotive industry these have been mainly accelerometers, pressure sensors and a range of devices for estimating distance. 6.0 Micro to nano The first bulk micromachined devices had lateral dimensions in the order of 1-2 mm with a thickness of the wafer (250-750microns depending on diameter). The move to surface micromachining reduced the lateral dimensions to between 100µm to 500µm with thicknesses in the order of 1µm. The move to nanomachinining will further reduce dimensions to the nm range and in many cases bring together etching techniques (top-down) and growth of structures (bottom-up). Nano-resonators have reached resonant frequencies above 1GHz [27] and carbon nano tubes have been found to have high piezoresistive coefficient and also suitable for gas sensors. Recent work has shown that the piezoresistive coefficient of nano-wires are 55% higher that the bulk material [28]. Both nano-tubes and nano-wires have been applied to chemical sensors [29]. This is still early days for these nanostructures for sensor application and many issues still have to be addressed, including processing yield and reliability. However, if the issues can be addressed nano-structures have great potential for the development of new highly sensitive sensors. 7.0 Autonomous microsystems Increasingly the applications demand high reliability and a degree of autonomy. The autonomy means that the sensors can work independently and will send a message to a central point if there is a problem. As shown in figure 9 a number of issues have to be addressed if we are to develop truly autonomous Microsystems. The trend will be decreasing power consumption combined with increasing functionality.

Figure 9 The issues to be addressed to achieve autonomous microsystems.

There are a number of examples of integrated Microsystems which have made it to the market, as shown above. These show that the intelligence can be combined with the sensor on a single chip. The first step towards this goal were the self-test and self-calibration, the next step is to achieve some autonomy in the intelligence. The challenge is to keep the system miniaturised. This will require advances in both hardware and software, together with more efficient packaging techniques. 8.0 Conclusions What are the directions for the new generations of Microsystems. A number of issues are important, although their relative importance depends on the applications. These factors include, amongst others, low power, increased functionality, reduced size. In some cases benefits can be found through the move from micro to nano-technology. In other applications the size of the system is more important. In all cases packaging and reliability remain critical issues, which have, to date, prevented a number of excellent device ideas from reaching their final destination. 9.0 References 1] K.E. Petersen, Silicon as a mechanical material, Proc. IEEE, 70, (1982), pp 420-457. 2] H.C. Nathanson and R.A. Wickstrom, A resonant-gate silicon surface transistor with

high-Q band pass properties, Appl. Phys. Lett., 7, (1965), p 84. 3] K.E. Bean, "Anisotropic etching of silicon", IEEE Trans Electron Devices, ED-25,

(1978), pp 1185-1193 4] A. Merlos, M.Acero, M.H.Bao, J.Bauselles, J.Esteve, TMAH/IPA anisotropic

etching characteristics, Sensors & Actuators A 37-38 (1993) 737-743. 5] R.T. Howe and R.S. Muller, "Polycrystalline and amorphous silicon

micromechanical beams: annealing and mechanical properties", Sensors and Actuators, 4, (1983), pp 447-454.

6] L-S. Fan, Y-C. Tai and R.S. Muller, "Pin joints, gears, springs, cranks and other novel micromechanical structures", Proceedings Transducers 87, Tokyo, (1987), pp 849-852.

7] M. Bartek, P.J. French and R.F. Wolffenbuttel, Planarization in surface micromachining using selective epitaxial growth. Proceedings Eurosensors 94, Toulouse, France, 26-28 September 1994, p 210.

8] H.V. Allen, S.C. Terry and D.W. de Bruin, “Accelerometer systems with self-testable features”, Sensors and Actuators, 20, (1989), pp 153-161.

9] H. Ohji, P.J. Trimp and P.J. French, “Fabrication of free standing structures using a single step electrochemical etching in hydrofluoric acid”, Sensors and Actuators, A73 (1999) pp. 95-100

10] F. Rudolf, A. Jornod, J. Bergqvist and H. Leuthold, “Precision accelerometers with µg resolution” Sensors and Actuators, A21-23, (1990), pp 297-302

11] R.P. van Kampen, M.J. Vellekoop, P.M. Sarro and R.F. Wolffenbuttel, “Application of electrostatic feedback to critical damping of an integrated silicon capacitive accelerometer”, Sensors and Actuators, A43, (1994), pp 100-106

11] Chr. Burrer and J. Esteve, “A novel resonant silicon accelerometer in bulk-micromachining technology”, Sensors and Actuators, A46-47 (1995), pp 185-189.

12] O. Lüdtke, V. Biefeld, A. Buhrdorf and J. Binder, “Laterally driven accelerometer fabricated in single crystalline silicon” Sensors and Actuators, A82, (2000), pp 149-54.

13] H. Li, M. Bao, H. Yang, S. Shen and D. Lu, “A micromachined piezoresistive angular rate sensors with a composite beam structure” Sensors and Actuators, A 72, (1999), pp 217-223.

14] T. Fujita, K. Maenaka, T. Mizuno, T. Matusoka, T. Kojima, T. Oshima and M. Maeda, “Disk-shaped bulk micromachined gyroscope with vacuum sealing”, Sensors and Actuators, A82, (2000), pp 198-204.

15] G. Craciun, H. Yang, M.A. Blauw, E. van der Drift and P.J. French, “Single step cryogenic SF6/O2 plasma etching process for the development of a novel quad beam gyroscope”, Proceeding MME 02, Sinaia, Romania, October 2002, pp 55-28.

16] H. Ohji, P.J. French and K. Tsutsumi, “Fabrication of mechanical structures in p-type silicon using electrochemical etching”, Sensors and Actuators A: Physical 82 (1-3) (2000) pp 254 – 258

17] T. Tsuchiya, Y. Kageyama, H. Funabashi and J. Sakata, “Vibrating gyroscope consisting of three layers of polysilicon thin films”, Proceedings Transducers 99, Sendai, Japan, June 1999, pp 976-979.

18] J.H. Smith, S. Montague, J.J. Sniegowski, J.R. Murray, R.P. Manginell and P.J. McWhorter, “Characterisation of the embedded micromachined device approach to the monolithic integration of MEMS with CMOS”, Proceedings SPIE Micromachining and Microfabrication Process Technology II, Austin, Texas, USA, October 1996, vol 2879, pp 306-314.

19] B.P. van Drieënhuizen, J.F.L. Goosen, Y.X. Li, M. Bartek, P.J. French, P.M. Sarro and R.F. Wolffenbuttel, “Dual structural polysilicon BiFET-compatible surface micromachining module”, J. Micromech. Microeng., 7, (1997), pp 148-150.

20] C. Hierold, A. Hilderbrandt, U. Näher, T. Scheiter, B. Mensching, M. Steger and R. Tielert, A pure CMOS surface micromachined integrated accelerometer, Proceedings MEMS 96, San Diego, USA 1996, pp 174-179.

21] G.K. Fedder, S. Santhanan, M.L. Read, S.C. Eagle, D.F. Guillou, M.S.-C. Lu and L.R. Carley, Laminated high-aspect ratio microstructures in a conventional CMOS process, Proceedings MEMS 96, San Diego, USA, Feb 1996, pp 13-18.

22] D. Westberg, O. Paul, G.I. Andersson and H. Baltes, Surface micromachining by sacrificial aluminium etching, J. Micromech. Microeng., 6, (1996), pp 376-384.

23] L.S. Pakula, H. Yang and P.J. French, “Fabrication of a CMOS compatible vertical accelerometer”, Proceeding Eurosensors 03, Guimarães, Portugal, September 2003, pp 635-638 (CD-ROM version).

24] A. Bagolini, L. Pakula, T.L.M. Schotes, H.T.M. Pham, P.J. French and P.M. Sarro, “Polyimide sacrificial layer and novel materials for post-processing surface micromachining”, J. Micromech. Microeng., 12, (2002), pp 390-394

25] P.T.J. Gennissen and P.J. French, "Sacrificial oxide etching compatible with aluminum metallization" Proceedings Transducers 97, Chicago, USA, 16-19 June 1997, pp 225-228.

26] J.M. Bustillo, G.K. Fedder, C.T.-C. Nguyen and R.T. Howe, Process technology for the modular integration of CMOS and polysilicon microstructures, Microsystem Technology, 1, (1994), pp 30-41

27] M.L. Roukes, “Nanoelectromechanical systems”, Proceedings Hilton Head 2000, pp 1-10.

28] T. Toriyama, D. Funai and S. Sugiyama, “Piezoresistance measurements on single crystal silicon nanowires”, J. Appl. Phys. 93, (2003), pp 561-565

29] X.T. Zhou, J.Q. Hu, C.P. Li, D.D.D Ma, C.S. Lee and S.T. Lee, “Silicon nanowires as chemical sensors”, Chemical Physics Lett., 369, (2003), pp 220-224.