31
Institut für Angewandte Mikroelektronik und Datentechnik Course and contest Prof. Dirk Timmermann, M.Sc. Christoph Niemann, M.Sc. Jakob Heller, M.Sc. Hannes Raddatz, M.Sc. Franz Plocksties Advanced VLSI Design (Module 24151) 12 Credits 23.10.2019 © 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik 1

Advanced VLSI Design (Module 24151) 12 Credits

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Course and contest

Prof. Dirk Timmermann, M.Sc. Christoph Niemann, M.Sc. Jakob Heller, M.Sc. Hannes Raddatz,

M.Sc. Franz Plocksties

Advanced VLSI Design(Module 24151)

12 Credits

23.10.2019 © 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik 1

Page 2: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Aim of the course

Developing industry-level skills to design VLSI systems

• Design and simulation using VHDL

• Optimizing hardware designs

• Synthesis for FPGA and ASIC

• Layout of a final chip

Developing soft skills

• Team work

• Presenting your work and ideas

Motivation: Best results (metrics) will be awarded

23.10.2019 2© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 3: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

The Award

23.10.2019 3© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 4: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Prerequisites

Number Systems and Digital Circuits

• Number representation (Two’s complement)

• Basic computer arithmetic, e.g., adders

• Analysis and Synthesis of Combinational and Sequential Digital

Systems

• Finite State Machines (automata)

Helpful knowledge

• VHDL (we offer a crash course)

• Bachelor course “Hochintegrierte Systeme”

• VLSI, CMOS Basics, Workflow

• Master course “Selected Topics in VLSI Systems”

• Algorithms, Digital Arithmetic, Advanced number representations

12 credits course demanding, much time & effort req’d23.10.2019 4© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 5: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Schedule

23.10.2019 5© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Date Milestone Description

23.10. Kick-off/Start phase 1 Introduction and start with an exemplary design

30.10. VHDL-Recap Recapitulation of VHDL, tools, and flow

13.11. Start phase 2 Initial results for Xilinx, FPGA, VHDL

27.11. Start phase 3 Results with backannotated optimizations for

Xilinx FPGA

11.12. Start phase 4 Final FPGA-Design

08.01. Start phase 5 Netlist for ST65; Results for speed, power and

area

22.01. Submission/Start

specialization topics

!!! Submission of final design!!!

29.01. Final meeting/Dinner Results from Cadence Tools for final layout and

presentation on a topic of your choice

18:00 Winners invited to a dish of their choice

Page 6: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Tasks, tools, sources

Project Info

23.10.2019 © 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik 6

Page 7: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Project description

Given:

• Simple description of a 24 Bit FIR filter in VHDL

• Testbench and software to display filter output

Task:

• Modification of VHDL description so that

• Replace ‘+’ and ‘*’ operators

• Synthesis for XILINX FPGA and ST65

• Chip layout in ST65 technology

• Optimization for best metric

23.10.2019 7© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 8: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Five project phases

Phase 1:

• Direct implementation of FIR without using + and * operators

• Result: Your first working FIR filter

Phase 2:

• Achieve 100MHz

• Filter investigations

Phase 3:

• Architectural / Component refinement (CSD, term sharing, …)

• Result: awarded best final FPGA-Design

Phase 4:

• Mapping on ST65 technology, exploiting synthesis options

• Result: A working ST65 netlist

Phase 5:

• Layout for ST65 technology, utilizing chip parameters

• Result: ST65 layout, backannotation (awarded best Layout)

23.10.2019 8© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

FPGA

ASIC

Page 9: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Problem:

Music with added spectral Noise

23.10.2019 9© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 10: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Solution:

Separate the spectral components with FIR-Filter

23.10.2019 10© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 11: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Solution:

Separate the spectral components with FIR-Filter

23.10.2019 11© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 12: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Filter Definition:

Digital High-Pass FIR

Filter

Stop band:

0.1 Hz – 290 Hz

-15dB < Stop band gain

< -90dB

Pass band:

700 Hz – 20k Hz

-7dB < Pass band gain

< 7db

23.10.2019 12© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 13: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Metric

Phase 1-3 – FPGA:

23.10.2019 13© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

MetricFPGA =𝐹𝑟𝑒𝑞𝑢𝑒𝑛𝑐𝑦 𝑀𝐻𝑧 ∗ 𝐴𝑡𝑡𝑒𝑛𝑢𝑎𝑡𝑖𝑜𝑛_𝐶𝑜𝑒𝑓𝑓𝑖𝑐𝑖𝑒𝑛𝑡

(#𝐿𝑈𝑇𝑠+#𝐹𝐹𝑠)3

Page 14: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Goal – Final Layout

Exemplary chip layout from the project

• FIR-filter

• Low-Pass characteristic

• Design results

• Frequency 595,9 MHz

• Pipeline Depth 14

• Core Size 69 500 μm²

• Chip Size 924 000 μm²

• Utilization: 71 %

• Pads: 40

• IO Pins: 34

23.10.2019 14© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 15: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Files – VHDL Design

• fir_filter.vhd → Reference design of the band-pass filter

• fir_filter_tb.vhd → Testbench (TB) for the design of the filter

• your_filter.vhd → Implement your design here

• makros.vhd, syslog.vhd, fir_filter_tb_pack.vhd → Packages with

useful functions for the TB

• SineVals.txt → Text file with sample data of a sine oscillation as

stimulus for the TB

23.10.2019 15© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 16: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Files – VHDL Design

• FirResults.txt → Frequency response of the FIR-Filter (generated

by the TB)

• wgnuplot.exe → Tool to generate graphics from output text files

(png or ps)

• FirResults.plt → Script to create the graphic of the frequency

response out of FirResults.txt (by using wgnuplot.exe)

23.10.2019 16© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 17: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

First work steps

1. Compile your own design without using + and * operators

Advice: Start simple (… very simple)

2. Test your own design with the testbench against the reference

design

Design is functionally checked for consistency (check for error

messages)

3. Generating the graphic of the frequency response

a) Start wgnuplot.exe

b) Open/execute FirResults.plt (Script)

(Output can be set to png or ps in script file)

23.10.2019 18© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 18: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Digital Filters

• Use digital technology to perform numerical calculations on sampled

values of the signal.

• The data processing might be processed by

• a general-purpose processor such as a CPU,

• a specialized DSP (Digital Signal Processor),

• or in Hardware

Advantages of digital filters:

• Programmable (in SW) or fast (in HW)

• Extremely stable with respect both to time and temperature

• Easily designed, tested, and implemented on computers

• Can handle all frequency signals accurately

• Are very much versatile

23.10.2019 19© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 19: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Filters

IIR-Filter

• Recursive

• Infinite impulse response (possible)

FIR-Filter

• Non recursive

• Finite impulse response (guaranteed)

• Inherently stable

• y[n] is the filter output at discrete time n

• hk is the k-th feedforward tap or filter coefficient

• x[n-k] is the filter input delayed by k samples

• N: length of impulse response = number of taps in the FIR filter

23.10.2019 22© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

][][1

0

knxhnyN

k

k

Page 20: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

FIR Filter Architectures

• Direct form I

• z-1 : delay by one time sample, implemented by a register

• Drawback: N sequential additions yield tremendous latency

• Approach: use associativity of addition for speedup

23.10.2019 23© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

][][1

0

knxhnyN

k

k

+

z-1 z-1 z-1

+ + +

h(0) h(1) h(N-2) h(N-1)

x(i)

0 y(i)

Page 21: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Filter Definition

• Filter investigation and changes allowed

• Software tools:

• MatLab

• ScopeFIR

• WinFilter

23.10.2019 26© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 22: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Form, schedule, remarks

Organisational Information

23.10.2019 © 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik 29

Page 23: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Remarks – Phase 1

Considerations for design handover

• Final synthesis has to be done with Vivado Version 16.4

(as it is installed in the laboratories)

• Target platform is Digilent ZedBoard

• Submit the following files: *.vhd and your_filter.syr

• Simulation with ModelSim

• Synthesis setting -max_dsp= 0

23.10.2019 30© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 24: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Remarks - General

• Meetings: Wednesday 11:00 a.m., R 1116

• Check the dates and possible changes

• Work collaboratively

• Share the work and help each other

• Think first, act afterwards

• Trying menu options might lead to better results, but no better understanding

• Consider

• Public holidays, weekends, Limited number of licenses, workstations

• PC Laboratories 1216 and 1218 can be used

• R1216 is always reserved for you between 12:00 – 18:00, except Tuesday

• In general labs can used, but if other courses take place you have to make room

• the building will be locked after 20:00, thus leave the building before that time

• Do not lock computers when not used

• Supervisors provide support in R1216 each day at 11 a.m. and 15:30 p.m.

23.10.2019 31© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 25: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Presentations

Each presenter has 5 minutes, last minute will be indicated.

Recommended flow for reasoning:

1. Observations: What do/did you observe in the design?• Problems, drawbacks, potential enhancements …

2. Do your observations leave room for enhancements?• What do you expect to change?

• What does theory promise?

3. Present and discuss results of the implemented design• Conclude based on your results (e.g. approach was reasonable, unsuccessful)

4. Outlook on next steps/improvements• Is there room for further improvements? Why? What do you plan to do?

Remark: You can find a presentation template on StudIP and IMD module website

23.10.2019 32© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 26: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Final grades

Grades depend on:

• regular work on the given tasks

• approach and understanding of the design !!!

• metric

• qualified presentations of results, conclusions, and optimizations

Best metric ≠ best grade

Without medical certificate or prior written consent of us

No further participation in course and no grade in case of

• ANY meeting missed

• failure to submit intermediate result before ANY deadline

23.10.2019 33© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 27: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Terms and conditions

• Independent lab work

• Attend all meetings (scheduled in 2-week interval)

• Execute all tasks within the given time

• Document your activities and results

• Present your conclusions and achievements (time limit: 5 min!)

• The designs and corresponding data have to be uploaded to StudIP

TWO DAYS BEFORE (e.g., Monday 23:59) the presentation(type corresponding data (metric, frequency,pipeline depth) into the field ‘Antworttext’)

• The presentations have to be uploaded to StudIP

ONE DAY BEFORE (e.g., Tuesday 23:59) the presentation (use template)

StudIP Module ‘Advanced VLSI’ ‘Tasks’ section

‘Phase_X-Filter_Design’ / ‘Phase_X-Presentation’

23.10.2019 34© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 28: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

Academic Honesty

Zero tolerance for plagiarism

• See this link for legal facts and explanations

• Each student has to sign a declaration of academic honesty (see file

on StudIP) and return the signed document to us with first

intermediate results

• We will check your intermediate results using anti plagiarism

software

23.10.2019 35© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Page 29: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Design Deadline: November, 11th, 23:59

Presentation Deadline: November, 12th, 23:59

Next milestone-meeting: November, 13th

VHDL crash course : October, 30th

Requirements to reach next phase:

Valid design without errors

Attend all meetings beginning from VHDL crash course

Questions?

23.10.2019 © 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik 36

Aim:

Presentation of initial results for the transformation, design without

+ or * operator. (5 minutes each)

Page 30: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

How to calculate your Metric

Attenuation Coefficient ->

Attenuation from 3 different frequencies. (390Hz, 500Hz, 1Khz)

Printed by Testbench

23.10.2019 37© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

MetricFPGA =𝐹𝑟𝑒𝑞𝑢𝑒𝑛𝑐𝑦 𝑀𝐻𝑧 ∗ 𝐴𝑡𝑡𝑒𝑛𝑢𝑎𝑡𝑖𝑜𝑛_𝐶𝑜𝑒𝑓𝑓𝑖𝑐𝑖𝑒𝑛𝑡

(#𝐿𝑈𝑇𝑠+#𝐹𝐹𝑠)3

Page 31: Advanced VLSI Design (Module 24151) 12 Credits

Institut fürAngewandte

Mikroelektronikund Datentechnik

Institut fürAngewandte

Mikroelektronikund Datentechnik

How to calculate your Metric

23.10.2019 38© 2018 UNIVERSITÄT ROSTOCK | Fakultät für Informatik und Elektrotechnik

Frequency -> calculated from the Worst Negative Slack (WNS)

#LUT’s #FF’s -> read from project manager after synthesis