120
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com Fairchild buys TranSiC • Sumitomo/Samsung sapphire JV agreed Emcore buys Soliant • Solar Junction hits 43.5% CPV efficiency ISSN 1752-2935 (online) Making III-V contacts The road ahead for LEDs Making III-V contacts The road ahead for LEDs

Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

Fairchild buys TranSiC •Sumitomo/Samsung sapphire JV agreed Emcore buys Soliant •Solar Junction hits 43.5% CPV efficiency

ISSN 1752-2935 (online)

Making III-V contacts The road ahead for LEDs Making III-V contacts The road ahead for LEDs

Page 2: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED manufacturing. Leveraging the success of Veeco’s production-proven K465i,™ MaxBright offers seamless process transfer with expanded wafer capacity and advanced thermal control technology. Designed to accelerate the worldwide transition to LED lighting, MaxBright is the highest capacity, highest throughput, lowest cost of ownership MOCVD system available. Learn more at www.veeco.com/maxbright

Veeco: Driving the Future of LED Lighting

Visit us at Photonics West Booth #1101

Light Up the World.Introducing MaxBright MOCVD System

MaxBright’s compact 2- or 4-reactor architecture enables single or multi-layer growth for maximum process flexibility.

5762-1278_tdMaxBright_ST_D3j.indd 1 2/15/11 8:50 AM

Page 3: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

3

contentsNewsMarkets 6 Microelectronics 10Wide-bandgap electronics 16Materials and processing equipment 19LEDs 38Optoelectronics 50 Optical communications 52 Photovoltaics 58

Technology focus: PVs 74Probing vacuum-deposited CZTS kesterite anneal process

Technology focus: PVs 76Improving photovoltaic material quality with patterned sapphire

Technology focus: LEDs 78Self-organized process for nitride LED output boost

Market focus: UV LEDs 79UV LED market to grow at 30% to over $115m in 2016, says Yole

Technology focus: UV LEDs 80Lateral conduction, substrate-free deep-UV nitride LEDs

Technology focus: UV LEDs 82Liquid phase pushes deep UV LEDs to higher efficiency

Technology focus: LEDs 84NanoLEDs, a new breakthrough for the LED industry

Market focus: LEDs 88LED fab equipment spending triples in 2010, driven by China

Market focus: MOCVD 902010: a tremendous year for MOCVD, but will it continue?

Market focus: GaAs 94Trends in GaAs RF ICs : $3.7bn of PAs to be consumed in 2014

Technology focus: FETs 96Back-barrier to InAlN HEMT short-channel effects

Technology focus: FETs 98Polarization junctions raise nitride transistor breakdown voltage

Technology focus: FETs 100InGaAs tunnel FET with ON current increased by 61%

Technology focus: FETs 102Tantalum-based ohmic contacts for nitride transistors

Technology focus: III-Vs on silicon 106Making III-V contact with silicon substrates

Suppliers’ Directory 112

Event Calendar and Advertisers’ Index 118

Vol. 6 • Issue 3 • April/May 2011

p60SolFocus, Bechtel and Sol Orchardhave completed installation of a CPVsolar plant for a California agribusiness.

p76 SEM of undoped GaN depositedon truncated-cone-patterned sapphiresubstrate, which can boost short-circuit curent in nitride solar cells.

p85 Micrograph of high-densitynanowires grown on silicon wafer,which can both boost LED efficiencyand cut cost for solid-state lighting,say Leti and HelioDEL.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: GBT’s solar-powered street-lamps installed inNingxia (a centralprovince in China,close to Mongolia).The lamps’ OSLONSSL LEDs can

withstand the challenging near-desertclimate and provide stable performanceand uniform illumination, says LEDmaker Osram Semiconductors. p46

Page 4: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

In the run up to May’s annual CS MANTECH Compound SemiconductorManufacturing Technology conference in Indian Wells, Palm Springs, theindustry is in good underlying health. As we went to press with this issue,many firms were reporting high year-on-year growth (for details, seewww.semiconductor.com) — to be reported next issue. However, manyalso reported greater-than-seasonal drops in revenue quarter-to-quarter. In some cases, this can be attributed to company-specific trends such as

RFMD still being heavily dependent on Nokia which, during the smartphoneboom, has lost market share to Samsung and Apple (see page 9). In other cases, results are more generally market dependent, such as

LED makers Cree and SemiLEDs both reporting revenue below guidance(see pages 42 and 38, respectively). Both blame pricing pressure and (inthe case of Cree) lower sales of LED chips and components as inventoriesare worked through at customers such as LCD TV back-light unit (BLU)manufacturers. Back up the supply chain, Q1/2011 has also seen drops inrevenue for MOCVD reactor makers Aixtron and Veeco. Consequently, the lower-than-forecast Q1/2011 sales and profits of the

likes of Cree and SemiLEDs led to drops in the share prices of not onlythose firms but also otherwise rapidly growing firms like sapphiresubstrate maker Rubicon Technologies. Some financial analysts, focused on Cree and SemiLEDs (due to the

latter’s initial public offering of shares last December) have beenspeculating whether those firms’ results are representative of the LEDsector as a whole, and whether the boom in the LED industry is over. Its true that, driven by solid-state lighting initiatives, Chinese government

subsidies have inflated investment in LED fabrication plants and MOCVDreactors. According to SEMIs in its quarterly ‘Opto/LED Fab Forecast’, 61 new LED fabs are coming online over 2010–2012 (mainly in China),with 50% capacity expansion in 2011 driving 40% growth in fab equipmentspending (following a tripling last year) — see article on page 88. IMSResearch reckons that MOCVD reactor shipments grew by 250% from 228in 2009 to 798 in 2010 — see article on page 90. However, IMS forecastsno slowing of the MOCVD market in 2011, with annual shipments rising37% to possibly exceed 1000 reactors for the first time. Although some are warning of overcapacity in the LED sector, IMS reckons

that, as Chinese uptake and economies of scale drive LED prices down,solid-state lighting will emerge fully. While Cree’s revenues are falling, thepricing pressure is not necessarily an indicator of reduced demand butincreased (lower-cost) competition. Indeed, just on 2 May, The Korea Timesreported that LG Display is terminating its contract with Cree for LED chipsfor its liquid-crystal display (LCD) panels, partly through diversifying toother suppliers and partly through LG Group’s component affiliate LG Innotekimproving the yields of its own, in-house produced LED chips. As well as the prospects for solid-state lighting, other sectors showing

both technology and market developments include ultraviolet LEDs (as reported by Yole Développement in the article on page 79). Mark Telford, Editor [email protected]

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • Apri/May 2011 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement ManagerJon Craxford Tel:+44 (0)207 193 9749Cell:+44 (0)7989 558 168Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain: news (funding, personnel, facilities,

technology, applications and markets); feature articles (technology, markets,

regional profiles); conference reports; event calendar and event previews; suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2011 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Share prices and market shares

Page 5: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

AIXTRON AG !/! KAISERSTRASSE 98 !/! 52134 HERZOGENRATH !/! GERMANY !/! [email protected] !/! WWW.AIXTRON.COM

AIXTRON started in 1983 and is today a leading provider of deposition equipment to the semiconductor industry. With our advanced solutions customers worldwide build components for electronic as well as opto-electronic applications. As pace maker in our line of industry we are keeping always one step ahead.

HIGHER PRODUCTIVITY // With almost 30 years of experience AIXTRON stands for proven engineering power and dedicated cus tomer support: Our equipment serves a diverse range of customers to manufacture highest LED volumes at lowest cost.

BETTER PERFORMANCE // As the driving force in deposition equipment AIXTRON engineers power ful technology solutions: Our equipment is the best choice available to manufacture the brightest and most efficient LEDs.

SMARTER RESOURCES // AIXTRON’s intelligent equipment concept enables optimized use of resources: The results are extremely low consumption of consumables, minimized maintenance requirements and optimized utilization of human resources.

Page 6: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The light-emitting diode market isexpected to explode as unit ship-ments increase more than 40% in2011 and double between 2010and 2013, according to the report‘The Solid State Lighting Revolution:Market Analysis and Insight OnReducing Manufacturing Costs’from The Information Network. The rapid increase in the market

for LEDs used in applications suchas notebook backlights and auto-mobile headlights is also spurringheavy capital investments by LEDmakers, the market research firmnotes. LEDs are hence creating aniche market for conventional suppliers of semiconductor pro-cessing tools and a lucrative marketfor suppliers of metal-organicchemical vapor deposition (MOCVD)reactors. Shipments of high-brightness LEDs

(HB-LEDs) will grow from less than100 billion units in 2010 to nearly 135 billion in 2011, forecasts TheInformation Network. In addition,shipments of LEDs for backlightunits (BLU-LEDs) will grow from 20 billion to 30 billion units.Together, LED shipments will growfrom 120 billion to 165 billion units. In 2010, Nichia led the market

with a 15% share (down from 16%in 2009). Samsung LED rocketed tosecond place with a 10.5% share(up from 6.5% in 2009). Cree wasin fifth place with a 6% share in2010, followed by LumiLEDs at5.5% (in 2009, their market shareswere reversed). In 2010, Aixtron led the market in

MOCVD tool shipments with slightlymore than a 55% share, followed byVeeco with a 41% share of the total786 tools sold. In 2009, Aixtron hadan almost 70% share and Veeco

just a 25% share of 228 tools sold.Pushing for further growth in

MOCVD installations is China, whichintroduced a MOCVD stimulus pro-gram that is expected to result in$1.6bn in spending on MOCVDtools from 2010 to 2012, notes The Information Network. In 2010,China represented nearly 35% ofMOCVD installations.LEDs currently represent a $10bn

niche market compared to the$250bn semiconductor market,comments the market research firm.The consensus forecast of 786MOCVD tools represents a marketof nearly $2bn (based on an aver-age selling price of $2.5m per tool).MOCVD represents 8% of the typical cost breakdown for a packaged LED. Other front-endtools represent more than 3% ofthe cost, equating to an additional$500m market for lithography and metrology tools. The biggestsector (65%) represents back-endprocesses such as substrateremoval, chip separation, andpackaging.The Information Network concludes

that backlight sectors will exhibitthe fastest growth in the HB-LEDmarket, with an overall compoundannual growth rate (CAGR) of morethan 40%, led by the LCD TV sectorexhibiting a CAGR of 300.3%between 2007 and 2012. www.theinformationnet.com/led.html

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

6

news Optical componentmarket grows 35% to$5.6bn in 2010The global optical component (OC)market grew by 35% in 2010 to a10-year high of $5.6bn, accordingto ‘Market Alert: 4Q10 and 2010Global OC and Market Share: 4Q10OC Global Spreadsheet’ from telecoms analyst Ovum. Optical components have led the

recovery of the telecom market fromthe global recession of 2009 throughits dramatic gain in 2010. “The35% year-on-year growth experi-enced by the OC market in 2010was the highest since the telecombubble years, when the marketmore than doubled in one year,”notes Ovum analyst and reportauthor Daryl Inniss. “However, wedo not believe the market is experi-encing another bubble,” he adds. “Growth in the OC market is the

best indicator for the next wave oftelecom infrastructure expansion,as the 2010 increase follows theindustry-wide contraction of 2009,”Inniss predicts. “The OC market willcontinue to expand in 2011, but at aslower rate.” The OC market grew 5% sequen-

tially in Q4/2010 (the seventh con-secutive quarterly gain). This growthwas led by reconfigurable opticaladd-drop multiplexers (ROADMs) andfilters, long-distance transmissiondevices, and transmission discretes.ROADMs and filters comprised thefastest-growing segment, withannual revenue rising 46% from2009 to $0.9bn in 2010, includingQ4/2010 revenue of $260m rising10% sequentially (above the indus-try average of 5%). Ovum believesthe future outlook for this segmentis good, as ROADMs are at theheart of all transport networks. “There were many strong vendor

performances in Q4/2010,” saysInniss. “JDSU led the pack in termsof growth and increased its revenuesby over 75% compared to the previ-ous year and 17% sequentially. Thecompany also grew its market shareby 0.6% on the previous quarter.” www.datamonitor.com

LED shipments growing40% to 165bn in 2011 Boom fueling niche market forsemiconductor processing tool makers

2009 2010

Aixtron 70% 55%Veeco 25% 41% Other 5% 4%

MOVCD reactor makers’market shares, 2010 vs 2009.

Page 7: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

As demand for LEDs continues torise, TV applications will dominatethe LED market through 2013,accounting for nearly 50% of totalLED backlight demand, forecastsmarket research firm DisplaySearch’s‘Quarterly LED Supply/DemandMarket Forecast Report’. However,LED lighting will capture the lead by2014, as demand for LEDs in LCDTV backlights falls due to a decreasein the number of LED packages perset (a result of efficacy enhance-ments and cost reductions). “The market for LED backlights

continues to grow as manufacturersleverage the technology for large-display applications like notebooks,monitors, and TVs,” notes senioranalyst Leo Liu. “In addition, thereare a growing number of emergingapplications for LEDs, such as light-ing, signal and automotive.” Currently, LED backlights are used

in all small/medium LCDs, and LEDpenetration in mobile PCs is nearly100%. Penetration in LCD monitorsand LCD TVs continues to grow,while the number of LED packagesper set is falling. After more than doubling to 12.9%

in 2010, theaverage num-ber of chipsper set is ris-ing moreslowly, andshould peakat 15.1% in2012. This isdriven by theincreasingLED efficacy,even as thecost per chipdecreases.This virtuouscycle drivesLED penet-ration higherin LCD back-

lights, as well as illumination. The LED lighting penetration rate

in 2010 was 1.4%, and is forecastto reach 9.6% in 2014. Spotlightsand LED street lights are forecast tohave higher penetration in lightingdue to government incentive pro-grams like the 12th Five Year Policyin China, as well as growth in com-mercial applications. In addition,LED bulbs and fluorescent tubes aregrowing in Japan due to governmentincentive programs (Eco-Point) andenergy consciousness. Quarterly chip supply will nearly

double from the beginning of 2010to the end of 2011, as both existingand new suppliers ramp up MOCVDlines. In Q1/2011, Samsung and LGwere the top two LED suppliers interms of 500µm x 500µm chip size.But, led by Epistar in the number-3position, Taiwan will surpass Koreaas largest source of supply in 2011.The tight supply experienced in 2010has turned into an oversupply, notesDisplaySearch, as chip productionhas grown while LED TV penetrationdid not grow as fast as expected,reaching only 21% in 2010. www.displaysearch.com

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

7

Lighting to overtake LCD TVsin LED demand in 2014LED penetration in large-area backlights toreach 70% in 2011

Large-area market penetration by application (shipments).

Lamp consumptionfor general lighting inUS to grow at 27.6%to $1.64bn in 2015 Consumption of LED lamps used insolid-state lighting (SSL) generallighting applications in the USA was$485m in 2010, and will rise at anaverage annual growth rate of27.6% to $1.64bn in 2015, reckonsElectroniCast Consultants in a marketreview on ‘consumer-level bulbs’used in luminaires in stationary/fixedlocation (non-vehicle/non-portable)SSL applications.“PAR lamps are currently the

market leader, with over 40% mar-ket share; however, the MR- lamptype (especially the MR-16 lamps)used in recessed ceiling/down-lighting and track lighting is on afast pace to catch-up, pushed bythe commercial/industrial sector,”says Stephen Montgomery, principal analyst — LED Practice.“General service (especially the A-19 lamp) also is a stand-out inthe forecast, especially in potentialresidential applications, later in theforecast period.” Increased use of LED-based lamps

in SSL general lighting is stronglydriven by promised savings in main-tenance/labor, as well as ‘green tech’issues, and several other dynamics.Total cost-of-ownership (TCO) is akey marketing dynamic related toLED lamps, which typically have apremium price point versus otherlighting solutions.“Decorative lamps (such as candle-

shapes and globes) is a smallermarket segment; however, it is fore-cast to be a great opportunity forniche-players,” says Montgomery.“The linear (tube) lamp, which iseyeing the fluorescent tube marketsegment, is forecast with thefastest annual growth of over 70%(2010–2015),” he adds. “The street-lamp segment, which also coversparks/campus, tunnels, walkwaysand parking lots, is poised for 40.5%(value) growth per year, spear-headed by the government sector.” www.electronicast.com

Page 8: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Global handset sales will grow to1.5 billion units in 2011, accordingto Strategy Analytics’ new report‘Handset Sales Forecast by Type:Smartphone, Feature Phone &Basic Phone’, which forecasts globalhandset sales by type from 2003 to2015 and identifies which categorieswill grow at above-average ratesduring the next five years. The high-value smartphone seg-

ment will continue to experiencerobust growth over the next fiveyears, while feature phones andbasic phones will remain a scaleplay, comments the marketresearch firm. Strategy Analytics has previously

identified a new sub-category ofhandsets called superphones. This

segment continues to emerge as asuper-high-growth mar-ket, forecastto expandalmost600%between2010 and2015, thefirm adds.Android ven-dors such asSamsung,HTC andMotorola areleading thesuperphone

industry, Strategy Analytics notes. www.strategyanalytics.com

According to the Strategy AnalyticsGaAs and Compound SemiconductorTechnologies (GaAs) service report‘Japanese Quake Impact on theGaAs Industry’ (which provides apreliminary assessment of theimpact of the 11 March earthquakeand tsunami, as well as subsequentpower outages, on the GaAs industry in Japan and worldwide),there is no danger of immediatedisruption to the supply of galliumand arsenide raw materials. The supply of SI (semi-insulating)bulk substrates will also be sustained.GaAs device manufacturing facilitieswere also largely unaffected.“The primary factors that will

impact GaAs device supply out ofJapan relate to more generic issueswhich have an impact on the wholeelectronics industry,” commentsEric Higham, director, GaAs Service.“These include disruption to utilities,logistics and concern for the avail-

ability of materials used in chippackaging and assembly,” he adds. “There is the possibility, however,

that any significant ramp in demandfor GaAs epitaxial substrates overthe next 3–6 weeks may lead toend-users being placed on alloca-tion,” observes Asif Anwar, directorat Strategy Analytics. “StrategyAnalytics analysis shows that theJapanese epitaxial substrate suppli-ers were running at full productionrates, with little to no excess inven-tory in the supply chain.”In the GaAs RF/microelectronics

industry, Japan accounts for 50%of bulk substrate supply, 18–20%of global SI GaAs epitaxial sub-strate production, and up to a fifthof the global GaAs device market.Japanese firms involved in theGaAs industry include HitachiCable, Renesas and SumitomoChemical.www.strategyanalytics.com

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

8

No immediate disruption to GaAssupply chain from Japan quake ...but any big ramp in epi demand could lead to allocation

Handset salesincrease 20.8%year-on-year to382.9m in Q1 Nokia, Samsung andLG lose market shareto Apple, RIM andZTEThe global handset device marketwill grow by 20.8% year-on-year to382.9 million units in first-quarter2011 (a growth rate not seen infive years), according to the‘Q2/2011 Global Handset VendorSales, Market Share, and Forecast’database of IE Market ResearchCorp (IEMR). “Our handset sales data, based on

extensive consumer and operatorsurveys and selected point of saledata, shows a broad-based recov-ery in the global handset devicesspace,” says Nizar Assanie, VP(Research) at IEMR. “The winds of change are sweep-

ing the global mobile handsetindustry,” he adds. For Q1/2011,the top-3 global vendors (Nokia,Samsung and LG) will likelyannounce losses in their marketshare positions across many keyregions and countries. “In WesternEurope, for example, data is show-ing Nokia’s market share decliningby 595 basis points (year-on-year)in Q1/2011, which is a significantdrop for what was once a ubiqui-tous handset brand in this region,”says Assanie.IEMR’s sales data also shows

that players such as Apple,Research in Motion and ZTE aregaining traction for their devicesglobally, with 69.5%, 39.2% and128.8% growth in unit shipmentsfrom these three vendors, respectively. For full-year 2011, IEMR antici-

pates total global handset ship-ments to rise to 1.662bn (up fromits forecast made last October ofjust 1.43bn). www.iemarketresearch.com

Global handset sales to grow to 1.5 billion units in 2011

The high-valuesmartphonesegment willcontinue toexperiencerobust growthover the nextfive years, whilefeature phonesand basic phoneswill remain ascale play

Page 9: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

In first-quarter 2011 Apple over-took Nokia to become the world’slargest handset vendor in revenueterms, according to the latestreport from market research firmStrategy Analytics. In revenueterms, Apple is now the world’slargest handset vendor, smart-phone vendor and tablet vendor.“We estimate Apple’s wholesale

revenues for its iPhone handsetdivision stood at US$11.9bn in thefirst quarter of 2011,” says senioranalyst Alex Spektor. “Apple over-took Nokia for the firsttime, which recorded alower US$9.4bn ofwholesale handset rev-enues. With strong vol-umes and high wholesaleprices, the PC vendor hassuccessfully capturedrevenue leadership of thetotal handset market inless than four years,” he adds.

“Apple’s proprietary ecosystem ofhardware, software and services hasproven wildly popular and hugelyprofitable,” comments Neil Mawston,director at Strategy Analytics. “How-ever, rivals are chasing hard, particu-larly the Android vendor community,whose global installed base of smart-phones we estimate will exceed thatof Apple’s by the end of 2011,” henotes. “Nokia is also investing heavilywith Microsoft and Apple will be akey target for them next year.” www.strategyanalytics.com

Driven by surging smartphones inmature regions and popular multi-SIM models in emerging markets,global handset shipments grew 17%year-on-year from 298.4 millionunits in first-quarter 2010 to 350million units in first-quarter 2011,according to market research firmStrategy Analytics. This year will bethe first year when every quarter willexceed 300 million units, it forecasts. In particular, Apple captured fourth

place in global handset shipments,rising from sixth position a yearearlier and leapfrogging rival ZTE.Both had market share of 2.9% ayear ago, but now Apple’s is 5.3%and ZTE’s is 4.3%. “Apple was astar performer during the quarter,as it shipped a record 18.6 millionhandsets [up from 8.8 million],”says senior analyst Alex Spektor inthe report ‘Apple Overtakes ZTE for

4th Position in Global HandsetShipments in Q1 2011’ publishedby the Strategy Analytics WirelessDevice Strategies (WDS) service. “It was a mixed quarter for the

world’s major handset vendors,”says Strategy Analytics directorNeil Mawston. “Some brands out-performed, such as Apple and ZTE,while others underperformed, suchas LG and Nokia,” he adds. “LG lostground due to sluggish feature-phone volumes, while Nokia contin-ued to struggle in 3G smartphonesand the important US market.” Samsung shipped 68.9 million

handsets in Q1/2011, rising a rela-tively sluggish 7% from 64.3 mil-lion units a year earlier. Its marketshare has dipped from 22% to 20%over the past year, due to risingcompetition in 2G and 3G touch-phone markets.

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

9

Apple overtakes 4th place ZTE in handset shipments Total handset shipments grow 17% year-on-year to 350m in Q1

Shipments Q1/10 Q1/11

Nokia 107.8 108.5Samsung 64.3 68.9LG 27.1 24.5Apple 8.8 18.6ZTE 8.6 15.2Others 81.8 114.4Total 298.4 350.1

Growth 19.6% 17.3%

Share Q1/10 Q1/11

Nokia 36.1% 31.0%Samsung 21.5% 19.7%LG 9.1% 7.0%Apple 2.9% 5.3%ZTE 2.9% 4.3%Others 27.4% 32.7%

Handset vendor shipments(millions) and market share.

Apple Q1/10 Q1/11

Shipments (millions of units) 8.8 18.6Wholesale ASP (US$) $606 $638Wholesale revenue (US$bn) $5.3 $11.9

Nokia Q1/10 Q1/11

Shipments (millions of units) 107.8 108.5Wholesale ASP (US$) $83 $87Wholesale revenue (US$bn) $8.9 $9.4

Handset wholesale revenues in Q1/2011.

Apple largest vendor by revenue in Q1 ASP of $638 outweighs Nokia’s $87 Nokia, Samsung

face low-end threatfrom Indian OEMsIn India, Nokia is dominant butmost low-income mobile userswould prefer their next phone tobe made in India, so brands suchas Lava, Micromax and Spice willbenefit, according to StrategyAnalytics. International brandswithout Nokia’s brand equity (e.g.Samsung, LG and Sony Ericsson)may face difficulties. Indian brands have only been on

the market for a few years, andonly have modest market share,but 63% of respondents (mainlyin rural villages and secondarycities with monthly householdincome of $130) want lower costand greater value for money, andgreater ease of repair and avail-ability of parts. Affordable mobilephones with an appealing set offeatures will be key to success.

IN BRIEF

Page 10: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

10

RF front-end product maker andfoundry services provider TriQuintSemiconductor Inc of Hillsboro, OR,USA has received the ‘Supplier ofthe Year’ award for 2010 from wireless communication systemequipment maker ZTE Corp ofShenzhen, China. ZTE annually recognizes top

suppliers that exhibit superior quality,delivery, cost and service perform-ance. TriQuint has achieved topsupplier recognition for the fourthconsecutive year and is ZTE’slargest provider of power amplifiers

for mobile devices. TriQuint supplies radio frequency

solutions to ZTE including poweramplifiers, SAW filters, and multi-function integrated modulesfor all wireless communicationstandards including GSM/GPRS,EDGE, CDMA, WCDMA and TD-SCDMA.“We’ve developed a solid partner-

ship throughout the years, withclose alignment between top man-agement,” notes Zeng Zhaoxiang,vice general manager of ZTE Kang Xun. “Again in 2010, TriQuint

exhibited good quality, delivery,cost and service performance(QDCS) with outstanding technicalsupport and service,” he adds. “TriQuint has experienced tremen-

dous growth over the past threeyears, in part because of strongrelationships with key customerssuch as ZTE,” says Tim Dunn, VP Mobile Devices at TriQuint Semiconductor. “We look forward tocontinuing a mutually prosperousrelationship for years to come,” he adds. www.triquint.com

RF and mixed-signal semiconductormaker Anadigics Inc of Warren, NJ,USA has announced the resignationsof president & CEO Mario Rivas andsenior VP Greg White. The firmalso says that it remains on trackwith it’s first-quarter 2011 revenueguidance of $42–44m. Ron Michels (senior VP, chief tech-

nology & strategy officer) willassume the responsibilities of CEO,Tom Shields (executive VP & chieffinancial officer) will assume theadditional post of chief operatingofficer, and John Van Saders (VPAdvanced Technology) will serve assenior VP RF Products. “Mario has been instrumental in

Anadigics return to profitability dur-ing 2010 and we wish him well in hisfuture endeavors,” comments LewSolomon, chairman of the board.“The board is confident moving for-ward under the leadership of RonMichels, a proven Anadigics execu-tive respected by his peers and ourcustomers, and one of the keyexecutives driving our successfulturnaround,” he adds. “We remainfocused and in a strong competitiveposition given the company’s world-class organization, momentum withcustomers, targeted product fami-

lies, and productroadmap.” Michels joined

Anadigics in1987 and wassenior VP/gen-eral manager ofBroadband Prod-ucts during2005–2009.Previously, he

held engineering and managementpositions in Lockheed Electronics,New Jersey Public Broadcasting, andK & M Broadcasting. Michels earnedhis Bachelors degree in ElectricalEngineering from the New JerseyInstitute of Technology. He holdsseveral US Patents, has authoredpublications in the area of RF com-munications, and serves on theLehigh University Electrical & Com-puter Engineering Advisory Board. Shields joined Anadigics in 1999.

He was senior VP & chief financialofficer from July 1999, and hasbeen executive VP & CFO since January 2006. Previously, Shieldswas VP & controller of Fisher Scien-tific Company from 1997 to 1999.From 1994 to 1997, he was VP &controller for Harman ConsumerGroup. From 1986 to 1994, he

served in various positions withBaker & Taylor Inc. Shields receivedhis B.S. and M.B.A. degrees fromFairleigh Dickinson University. Hehas also been a member of thenational Board of Directors forTechAmerica (formerly AmericanElectronics Association) since 2005. Van Saders joined Anadigics in

1990 as a design engineer, servingin several management and execu-tive product development positions.From 2001 to 2006, he was VP,engineering & manufacturing forASIP, an optoelectronics startupthat later merged with T-Networks.But since 2007 he has served asAnadigics’ VP Advanced Develop-ment. Van Saders has a Mastersdegree in Electrical Engineering(Communication Theory) from NJITand a Bachelors degree fromStevens Institute of Technology inOptical Physics. He also has severalpatents in circuit design and opticalprocessing, and has authored anumber of technical publications. “We will work hard to make sure

we keep the confidence and trust ofour customers during this transitionand beyond,” comments president& CEO Ron Michels. www.anadigics.com

Anadigics’ CEO Rivas and senior VP White resign Michels becomes CEO, Shields COO and Van Saders senior VP

Mario Rivas.

China’s ZTE names TriQuint ‘Best Global Partner’ for fourth year running

Page 11: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

RF Micro Devices Inc of Greensboro,NC, USA has teamed with semicon-ductor manufacturer Atmel Corp ofSan Jose, CA, which providesmicrocontroller and touch solutions,to deliver ZigBee solutions for a broadrange of smart-energy applications.RFMD’s new RF6575 ZigBee (FEM)

front-end module has been combinedwith Atmel’s ATmega128RFA1 single-chip solution to create theATmega128RFA1 reference design.RFMD says that the ZigBee-basedsolution can provide public utilitiesand consumers more control overhow they monitor and save energy.It is targeted at portable battery-powered equipment, smart-energyadvanced metering infrastructure(AMI), high-performance ZigBee,and home-area network (HAN)applications in the 2.4–2.5GHz ISMband. RFMD and Atmel are targetingthe need for aggressive size reduc-tions in IEEE 802.15.4 designs witha reduced solution footprint andminimized component count.“RFMD and Atmel are leveraging

each other’s expertise to deliverhigh-performance, highly integratedsolutions that reduce design cycletimes, lower customer costs, andaccelerate product time-to-market,”says Bob Van Buskirk, president ofRFMD’s Multi-Market Products Group(MPG). “Large-scale smart-energyprojects are forecasted to grow rap-idly, with particularly strong demandanticipated in low-power wirelesstechnologies like ZigBee,” he adds.

“The RFMD front-end modulecombined with the AtmelATmega128RFA1 single-chip solutionoffers smart-energy providers better battery life with their lower-power consumption designs without comprising performance,”says Magnus Pedersen, Atmel’sdirector of wireless solutions. RFMD’s highly integrated RF6575

features a 2.4–2.5GHz +22dBmpower amplifier, Tx harmonic out-put filter, double-pole double-throw(DPDT) diversity switch, and a low-noise amplifier (LNA). It is housed ina 3.5mm x 3.5mm x 0.5mm pack-age a third the size of rival offer-ings, RFMD claims, cutting discretecomponent requirements whileminimizing footprint and assemblycosts. The transceiver interface is asingle-port bi-directional Rx/Tx.RFMD says its expanding portfolio

of ZigBee FEMs enables users toaccelerate new ZigBee products tomarket while reducing componentcount, size, cost, and power con-sumption. The RF6575 single-chipZigBee FEM is specifically optimizedfor smart-energy applicationsincluding smart meters, energyusage control, or ‘demand response’and HAN devices. Other RFMD Zig-Bee FEMs are designed for industrialapplications and wireless sensingand control applications requiringlow power consumption, high per-formance and proven reliability. www.atmel.com www.rfmd.com

RFMD and Atmel collaborate onZigBee for smart-energy applications

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

11

RFMD has launched the RF1604single-pole four-throw (SP4T)switch, designed for applicationsrequiring low insertion loss andhigh power handling capability withminimal DC power consumption.Linearity performance suits multi-mode GSM/EDGE/WCDMA/CDMAand LTE as well as cellular infra-structure applications.

Very high isolation (40dB at 1GHz)between RF ports provides greaterRF separation between transmitand receive paths, critical in full-duplex systems. Packaged in acompact 2.5mm x 2.5mm, 12-pin,leadless QFN package, the RF1604also includes integrated decodinglogic, allowing operation from twolow-voltage control lines (compati-

ble with low-voltage logic, VHIGH min

= 1.3V). No external DC blockingcapacitors are required. Features include 2kV HBM ESD

protection on all ports; low-fre-quency to >2.7GHz operation cov-ering all standard cellularfrequency bands; low insertionloss (0.4dB at 1GHz); and high lin-earity (IIP2 >120dBm).

RFMD launches broadband high-power SP4T switch

Skyworks firstsemiconductorfirm to receiveSamsung’s BestQuality Award Skyworks Solutions Inc of Woburn,MA, USA, which makes high-relia-bility analog and mixed-signal semi-conductors, has received the 2010Best Quality award from Samsungfor “excellence in overall productquality, supply-chain efficiency, anda proactive approach to preventingquality issues”. It is the first semi-conductor company to be awardedthis honor and was selected fromover 200 suppliers. Electronics firmSamsung, which makes Android-based mobile devices, uses multi-ple analog and RF solutions fromSkyworks’ product portfolio. “Skyworks’ focus on total customer

satisfaction and continuous improve-ment has been instrumental inhelping Samsung deliver qualityproducts for today’s demandingmobile device market,” commentsquality management at Samsung. “This award reflects our ongoing

efforts to ensure a quality mindsetexists throughout our systems andbusiness processes company-wide,”says Nien-Tsu Shen, VP of quality.“Quality is a competitive differen-tiator and we remain committed tothe never-ending quest for perfectquality and complete customer sat-isfaction.” www.skyworksinc.com

Page 12: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

12

Avago Technologies has launched aseries of fail-safe bypass low-noiseamplifiers (LNAs) for tower-mountedamplifiers (TMA) and base trans-ceiver stations (BTS) applications.The new ALM-11x36 modules can replace large discrete and surface-mount component countsin conventional designs, shorteningdesign cycle time and providingsavings in board space. Operating from a single 5V power

supply, the modules have low noiseand high linearity through use ofthe firm's proprietary 0.25μm GaAs enhancement-mode pHEMTprocess. Their bypass isolationeliminates the possibility of oscilla-tion issues, and the modules alsofeature low bypass insertion lossand high input and output returnloss. In addition, the ALM-11x36LNAs have a fail-safe bypass func-tion, which is especially critical forTMA applications to enable the LNAbi-directional bypass path during

the absence of DC power supply. All matching components are fully

integrated within the modules, andthe 50 ohm RF input and output pinsare already internally AC-coupled.This makes the modules easy touse, says Avago, as the only exter-nal parts required are DC supplybypass capacitors. The LNA modules perform across

a wide range of bands, with theALM-11036 covering 776–870MHz,the ALM-11136 covering870–915MHz, the ALM-11236 cov-ering 1710–1850MHz, and theALM-11336 covering1850–1980MHz. All share the samecompact 7.0mm by 10.0mm by1.5mm package and pin-out con-figuration, and hence suit commonplatform designs.The wireless infrastructure industry

must provide optimum coveragewith the best signal quality in acrowded spectrum, commentsAvago. Receiver sensitivity is the

most critical requirement in a BTSreceiver’s design, and LNA selectiongreatly affects the receiver’s per-formance. For front-end designarchitectures with a bypass path,low noise figure (NF) and bypassinsertion loss (IL) are the keydesign goals. NF and bypass IL are,respectively, 0.78dB and 0.82dB forthe ALM-11036, 0.76dB and 0.85dBfor the ALM-11136, 0.67dB and0.75dB for the ALM-11236, and0.72dB and 0.78dB for the ALM-11336. Another key design factor is

linearity — specified by input third-order intercept (IIP3) —which affects the receiver’s abilityto distinguish between wanted andspurious signals that are closelyspaced. IIP3 is 21.3dBm for theALM-11036, 22.0dBm for the ALM-11136, 17.3dBm for the ALM-11236, and 17.9dBm for theALM-11336. www.avagotech.com

Fail-safe bypass LNA modules for cellular infrastructure

Avago Technologies has launcheda front-end module (FEM) withrobust filtering for 802.11 b/g/nWiFi and Bluetooth radios in hand-sets and mobile routers for tabletsand other portable PC devices. The AFEM-S102 module inte-

grates a film bulk acoustic reso-nator (FBAR) coexistence filter,SP3T antenna switch and TX pathcoupler in a small 2.2mm x 2.2mmx 0.55mm package suiting space-constrained applications. The firmclaims that the 2.5GHz moduledelivers superior out-of-bandrejection, enabling concurrentoperation of WiFi and Bluetoothdatacommunication with cellularcommunication standards. Avago says that its front-end

modules integrate multiple high-performance technologies toreduce PCB board footprint andsimplify design for portable electronics applications. TheAFEM-S102 in particular exhibits

low insertion loss that combineswith high noise rejection to meetstringent coexistence requirementsand enable fewer interferenceissues between WiFi, Bluetoothand other radios. Effectively leveraging Avago’s 0.25µm GaAsenhancement-mode pHEMTprocess and its proprietary FBARfiltering technologies, the moduledelivers 2.6dB maximum insertionloss for the TX path and 35dBrejection at 2110–2170MHz. “As smartphones and other

portable electronics devices addmore radio types and bands, coex-istence requirements are gettingmore stringent,” says James Wilson,director of marketing for wirelessproducts. “The best-in-class rejec-tion and insertion loss of our pro-prietary FBAR technology enablesOEMs to efficiently address thesechallenging radio environments,”he adds. “The easy-to-use AFEM-S102 front-end module was

designed in conjunction with aleading handset designer for theirreference design, specifically tomeet the coexistence require-ments for WiFi and Bluetoothapplications.” Avago claims that its FBAR tech-

nology delivers steeper roll-off andlower insertion loss than ceramicor SAW (surface acoustic wave) filters and other competing tech-nologies, and does so in a morecompact form factor. Low insertionloss reduces power amplifier current and improves receiversensitivity and dynamic range,resulting in extended battery lifeand talk time and better signalquality for handsets. FBAR tech-nology makes ultra-small, high-Qfilters possible at a fraction of theirusual size, and allows integrationwith other radio components.The AFEM-S102 is priced at $1

each in 10,000 piece quantities. www.avagotechwireless.com

Avago’s highly integrated FEM enables WiFi & Bluetooth coexistence

Page 13: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Agilent Technologies Inc of SantaClara, CA, USA has shipped the latest release of Advanced DesignSystem (ADS), the flagship RF andmicrowave design and simulationplatform of Agilent EEsof EDA,which supplies electronic designautomation software formicrowave, RF, high-frequency,high-speed digital, RF system, electronic system-level, circuit, 3Delectromagnetic, physical designand device-modeling applications.ADS 2011 is claimed to be theindustry's most comprehensivemulti-technology design platform.Agilent also says that its work

with GaAs/GaN and RF SiGe/BiCMOS/CMOS foundries and relevant surface mount device(SMD) component vendors hasresulted in their support for ADS2011. During the past six months,the majority of existing ADSprocess design kits and librarieshave been upgraded and verifiedon early access releases, says thefirm. These upgraded kits andlibraries will be made publicly avail-able to foundry and componentvendor customers in the comingdays, enabling them to take advan-tage of new capabilities in ADS2011, it adds.

The new kits work seamlessly withADS 2011.01, as well as ADS 2009Update 1 and prior ADS releases.Users hence need only to downloadand install the desired kit, inde-pendent of which ADS release theyare using. Requests for specific kitsshould be directed to the appropri-ate component vendor or foundry.ADS 2011 provides engineers with

a multi-technology design environ-ment for designing individual RFand microwave integrated circuitswith different technologies (e.g.GaAs, SiGe, GaN, and siliconCMOS). Agilent says that it featureselectromagnetic technologies forfaster, more accurate simulations;a use model that makes electro-magnetic simulation easy for allengineers; layout improvementsfor easier physical design; anddozens of improvements designedto enhance the platform’s function-ality and usability. Design verifi-cation no longer needs to stop atthe boundary of a single integratedcircuit’s or module’s technology, the firm adds.To download ADS 2011, existing

ADS customers select the Down-loads & Trials tab at: www.agilent.com/find/eesof-ads-latest-downloads

M/A-COM Technology Solutions Incof Lowell, MA, USA (which manu-factures semiconductors, compo-nents, and subassemblies for RF,microwave and millimeter-waveapplications) has appointed RobertDennehy as VP of operations,reporting to chief operations officerBob Donahue. Dennehy will oversee M/A-COM

Tech’s global manufacturing opera-tions, and work with his team toestablish long-term goals andstrategic planning for the firm’sglobal growth strategy. “Robert’s proven leadership skills,

coupled with hisexperience withmultiple productlines, make himthe ideal candi-date for his newposition,” reck-ons CEO ChuckBland. Dennehybegan with thefirm as a test

engineer in 1993 and has mostrecently been serving as managingdirector of the Infrastructure Groupin Cork, Ireland. www.macomtech.com

M/A-COM appoints VP of operations

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

13

Agilent ships ADS 2011 for RF andmicrowave design and simulation AWR announces

China expansionwith third directoffice in AsiaHigh-frequency electronic designautomation (EDA) software toolprovider AWR Corp of El Segundo,CA, USA plans to open its thirddirect sales and support office inAsia with a Shanghai, China location. The announcementcoincides with the end of AWR’sfiscal year, in which it has seendouble-digit year-on-year growthin sales for the Asia-Pacific sub-region of Japan, China andKorea. For the first time, China joined

Japan in the top five markets forAWR software ranked by country.During the past fiscal year, AWR’s software installations haveexpanded dramatically in Chinaat multinational firms operatingin the region, indigenous Chinesetelecoms companies, govern-ment research institutions anduniversities. AWR’s first office in Asia was

established in 2006 in Tokyo,Japan, followed by a secondoffice established last year inSeoul, Korea. The firm's thirdsales and support office in Asia,in Shanghai, is expected to befully operational by the thirdquarter of this calendar year. “AWR is experiencing rapid

sales growth in Asia, and we areeager to continue investingresources to support the Asianmarket by expanding the techni-cal support team currently inplace in Japan, Korea and nowChina,” says executive & co-founder Ted Miracco. “AWR Chinaand the Asia-Pacific region are anincreasingly significantly part ofour worldwide revenues, and weexpect significant growth in ouruser base over the next severalyears,” he adds. www.awrcorp.com

IN BRIEF

Robert Dennehy.

Page 14: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

New York State’s Governor AndrewM. Cuomo announced that SiliconValley firm Group4 Labs Inc of Fremont, CA, USA is opening amanufacturing facility at the College of Nanoscale Science andEngineering (CNSE) of the Universityat Albany — State University ofNew York (SUNY). Founded in Menlo Park, CA in

2003, Group4 Labs develops andsupplies ‘extreme materials’ thataim to improve the performance ofgallium nitride (GaN) baseddevices. The firm’s technologyenables epitaxial GaN layers to beatomically attached (regardless oflattice mismatch) to a free-stand-ing, proprietary chemical-vapor-deposited (CVD) syntheticpolycrystalline diamond substrate.The wafer’s GaN exterior then presents an atomically smooth finishwith a gallium-facing surface that isepi-ready for further epitaxial dep-osition. Resultant devices exhibitimproved efficiency and hencereduced energy consumption, aswell as high temperature resilience

and thermal conductivity, for veryhigh-power, high-frequency elec-tronic, solid-state white lighting,military and photonics applications,including in radar systems, smartphones, tablet computers and cellular transmission towers. Investment of $1.5m in the new

plant is coming from the New YorkState Energy Research and Devel-opment Authority (NYSERDA),whose interest stems from thepotential to reduce energy usethrough more efficient chip designs(reducing the use of fossil fuels aswell as greenhouse gas emissions).“Under Governor Cuomo’s leader-ship, NYSERDA remains committedto nurturing innovative clean-energycompanies as part of our largereffort to create jobs and build anenvironmentally friendly economyin the state,” says NYSERDA’s president & CEO Francis J. Murray Jr.This deal is also expected to lever-age an additional $4m in privatefunding. The firm will lease space in CNSE’s

cleanroom and install its own

equipment there. Group4 Labs NewYork should start manufacturing bythis summer and create 10 jobs ini-tially, rising to a targeted 50 in theCapital Region ultimately by 2015. The firm previously announced a

manufacturing and test facility inSyracuse, NY, which is expected togrow to about 94 jobs by 2015.Group4 already provides its productto Lockheed Martin in Syracuse foruse in its radar equipment. “We likeNew York because of the talent thatis available, and the incubationresources at CNSE, and because of the fact that it is close to ourcustomers,” comments CEO & co-founder Felix Ejeckam. “Bringing business to our state

and creating jobs is essential togetting New York back on the rightpath,” Cuomo said. “As part of ourstate’s recovery, we must maximizeNew York’s reputation in innovativenanotechnology and we look for-ward to working with Group4 Labsto bring good jobs to the Albanyand Syracuse areas.” www.Group4Labs.com

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

14

Group4 to site manufacturing facility at UAlbany’s CNSE Silicon Valley GaN-on-diamond developer adds plant in NY State

The US National Academy of Engineering (NAE) has elected 68 new members and nine foreignassociates for 2011, bringing total US membership to 2290 andforeign associates to 202. Membership honors those who have

made outstanding contributions to“engineering research, practice, oreducation, including, where appro-priate, significant contributions to theengineering literature” and to the“pioneering of new and developingfields of technology, making majoradvancements in traditional fields ofengineering, or developing/imple-menting innovative approaches toengineering education.” New members include:

James S. Harris Jr (the Jamesand Ellenor Chesebrough professorof Electrical Engineering, Materials

Science and Applied Physics atStanford University) for contributionsto epitaxial growth of compoundsemiconductor materials and theirapplications.John A. Rogers (the Lee J. Flory-Founder Chair in Engineering in theDepartment of Materials Scienceand Engineering at the University ofIllinois, Urbana-Champaign) fornovel electronic and optoelectronicdevices and systems.Mark J. Kushner (the George I.Haddad Collegiate professor anddirector, Michigan Institute forPlasma Science and Engineering, atUniversity of Michigan, Ann Arbor)for contributions to low-temperatureplasmas for semiconductors, optics,and thin-film manufacturing.Jacqueline Gail (Berg) Gish(director of special projects,

Northrop Grumman Aerospace Systems in Redondo Beach, CA)for technical and programmaticcontributions to high-power diode-pumped solid-state lasers for defense applications.David D. Awschalom (the Peter J.Clarke professor, director of theCalifornia NanoSystems Institute,and director of the Center for Spintronics and Quantum Compu-tation, at University of California,Santa Barbara) for contributions tothe understanding of spin coherenceand spintronics.Daniel C. Edelstein (IBM Fellow andmanager, BEOL Technology Strategy,at IBM T.J. Watson Research Centerin Yorktown Heights, NY) for contri-butions to implementing copper/low-dielectric chip interconnects.www.nae.edu

New NAE members elected include Stanford epi expert

Page 15: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED
Page 16: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Fairchild Semiconductor of San Jose,CA, USA, which makes silicon chipsfor power and mobile designs, saysthat, to meet the need for increasingefficiencies and higher performancefor semiconductor applications, it isacquiring silicon carbide (SiC)power transistor maker TranSiC ABof Kista, Sweden. Fairchild says that the acquisition

provides it with bipolar SiC transistortechnology with demonstratedindustry-leading efficiencies andperformance over wide temperatureranges, as well as superior per-formance over MOSFET and JFETtechnology approaches. The firmhas also acquired a team of SiCengineers and scientists and multi-ple patents in SiC technology.“The combination of silicon carbide

technology with Fairchild’s existingcapabilities in MOSFETs, IGBTs andmulti-chip modules, along with ourglobal access to customers, posi-tions us to continue to be a leaderin innovative, high-performancepower transistor technology,” sayschairman, CEO & president MarkThompson.“The performance levels achieved

with SiC technology allow for much

higher efficiency in power conver-sion,” notes chief technology officerDan Kinzer. “It also offers a higherswitching speed, a feature thatenables smaller end-system formfactors,” he adds. “Silicon carbidetechnology is established in themarket with a strong lead overalternatives in the wide-bandgaparea for applications that requirevoltages greater than 600V anddemonstrates superior ruggednessand reliability.” Fairchild says that benefits over

alternative technologies include:lower on-state voltage drop for agiven chip size; higher current den-sity; higher-temperature operation;extremely low thermal resistance;ultrafast switching with only majoritycarrier conduction; easy drive solu-tions due to normally-off operationwith current gain in the range of100; and easy paralleling due tothe positive temperature coefficientof resistance. Additionally, the device resistance

is very near to the theoretical limitfor SiC. Turn-on and turn-off timesin the 25ns range switching 50Afrom 800V have been demonstrated.Parametric stability has been demon-

strated under long-term full ratedbias and current stress conditions. The firm says that these high-gain

SiC bipolar devices suit high-powerconversion applications in down-holedrilling, solar inverters, wind-poweredinverters, electric and hybrid electricvehicles, industrial drives, UPS andlight rail traction applications. Thesemarkets are projected by Yole Devel-oppement to approach $1bn by 2020.Fairchild claims that the SiC devices

are capable of industry-leading effi-ciency, cutting losses relative toestablished silicon approaches by upto half, or allowing an increase infrequency by up to 4x with similarlosses. Overall system cost andvalue can benefit from muchsmaller, lighter passive components,the firm says, adding that there isno equal for systems requiring thebest efficiency and power density. Fairchild is sampling initial 1200V

products up to 50A ratings in tar-geted applications. Future offeringsare in development to expand thevoltage and current range, and tocontinue to drive improved energysavings. www.transic.com www.fairchildsemi.com

Fairchild buys Sweden’s TranSiC Silicon chip maker adds silicon carbide power device technology

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

16

Fabless high-temperature semicon-ductor firm CISSOID of Mont-Saint-Guibert, Belgium has unveiledJUPITER, which it claims is the firsthigh-temperature silicon carbidehigh-voltage switch with seamlessgate control through a simple logic-level 0/5V. In particular, CHT-JUPITER is a

600V normally-off switch rated fora drain current of 1A at 225°C, andit is packaged in a hermeticallysealed TO-254 metal package,guaranteed for operation from–55°C up to +225°C.

CHT-JUPITER’s on-resistanceranges from 0.7Ω at 25°C to 1.25Ωat 225°C. Input capacitance is typi-cally 430pF. The gate leakage anddrain off-currents are 160nA and250μA, respectively, at 225°C. A key feature of the device is its

ability to be driven by a 0/5V logic-level signal, which dramaticallyreduces the complexity of thedriver stage. In particular, CHT-JUPITER simplifies the design ofmedium-power, high-voltage con-verters such as switched-modepower supplies (SMPS) and motor

drives that have to operate inextreme environments. CISSOID says that, with CHT-

JUPITER, electronics engineers candecrease the complexity of theirelectrical schematics, shorten theirbill-of-material, and improve thereliability, weight and size of theirsystems while reducing the needfor cooling in their applications. A data-sheet is available now.

CHT-JUPITER can be ordered forsampling and evaluation. Pricingstarts at €481/unit up to 200 units.www.cissoid.com

CISSOID launches high-voltage 225°C SiC power switchwith logic-level gate control

Page 17: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

17

ROHM Semiconductor ofSan Diego, CA (the USarm of system LSI, discrete components andmodule product makerROHM Co Ltd of Kyoto,Japan) has launched theSCS1xxAGC series of silicon carbide (SiC)Schottky barrier diodes(SBD). The firm says that the

new class of SiC diodesoffers low forward volt-age and fast recoverytime, leading toimproved power conver-sion efficiency in appli-cations such as powerfactor correction (PFC)/power supplies, solarpanel inverters, uninter-ruptible power supplies(UPS), air conditioners and others. The SCS1xxAGC series maintains

low forward voltage (VF) over awide operating temperature range,resulting in lower power dissipationunder actual operating conditions(e.g. the 10A-rated part has a VF of1.5V at 25ºC and 1.6V at 150ºC).Low VF reduces conduction loss,while the ultra-short reverse recov-ery time (15ns, typical) enableshigh-speed switching and mini-mizes switching loss.ROHM Semiconductor says that,

with the acquisition of single-crystalSiC wafer manufacturer SiCrystal AGof Erlangen, Germany last year, itpossesses total manufacturingcapability for SiC semiconductors,from ingot formation to powerdevice fabrication, allowing rapiddevelopment of products and complete control of raw materialsreliability and quality.“SiC is the ideal material for power

electronics, with its high break-down voltage, low power loss, high

operating temperature and superiorthermal conductivity,” says ROHM’ssenior technical product marketing

managerDavid Doan.“ROHM is notthe first ven-dor to offer SiCSBDs, butwe’re introduc-ing deviceswith some differentiating

features such as low VF and thehighest current rating at 600V (a true 600V/20A SBD, not dual2x10A),” he adds. “These diodes are but the first in

ROHM’s SiC product lineup,” Doannotes. “We also have 1200V SBDsand MOSFETs, currently in sam-pling at strategic partners, toaddress higher-power applicationssuch as UPS and to enable all-SiCpower devices.” www.rohm.com/us/silicon-carbide-diode.html

ROHM launches 600V/20ASiC Schottky barrier diodes SBDs combine lower VF and faster recoverytime than Si fast recovery diodes

Fox signs fifthlicense for its SiCpatents The Fox Group Inc of WarrentonVA, USA has entered into alicense agreement with a non-UScompany for rights to patents in its portfolio. This is the fifthnon-exclusive and royalty-bearinglicense agreement that Fox Grouphas signed for its patents relatedto silicon carbide (SiC), followingthe fourth (with a US corporation)just in February and the third(with the Wide Bandgap Materialsgroup of II-VI Inc’s compoundsemiconductor business, whichmanufactures single-crystal silicon carbide (SiC) substrates)in August 2008. Details of thelatest patent license were notdisclosed. Founded in 1999, Fox Group is

a privately held corporation withtechnology and patents relatedto silicon carbide and to high-purity, epitaxial crystal growthfor LEDs and lasers. “Fox Group’s key patents are for

silicon carbide with low defectdensity, and our successfullicensing activities confirm thevalue of our intellectual prop-erty,” says president & CEO Barney O’Meara. “Non-exclusivelicenses remain available, and welook forward to the balance ofthe industry taking licenses,” he adds. Silicon carbide is used in the

production of high-performancepower semiconductor devicesand optoelectronics such asLEDs, lasers, RF transistors,detectors, MOSFETs, HEMTs,JFETs, BJTs, and Schottky barrierand PIN diodes. It is expected toplay an increasingly importantrole in higher-efficiency powerconditioning systems for electricvehicles, photovoltaics, wind,and other renewable energysources. www.thefoxgroupinc.com

IN BRIEF

ROHM’s silicon carbide Schottky barrier diode.

We also have1200V SBDsand MOSFETs,currently insampling atstrategicpartners

Page 18: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

GLOBALFOUNDRIES of Milpitas, CA,USA has signed a strategic long-term partnership on sub-22nmCMOS scaling and gallium nitride-on-silicon (GaN-on-Si) technologywith nanoelectronics R&D centerIMEC of Leuven, Belgium, as wellas becoming a full IMEC core CMOSpartner. Launched in March 2009 through

a partnership between micro-processor maker AMD of Sunnyvale,CA and the Advanced TechnologyInvestment Company (ATIC) of Abu Dhabi, United Arab Emirates,GLOBALFOUNDRIES claims to bethe world’s first full-service semi-conductor foundry with a globalmanufacturing and technologyfootprint. Headquartered in Silicon Valley, the firm has manu-facturing operations in Singapore(through acquisition of siliconfoundry Chartered SemiconductorManufacturing in January 2010),Germany, and a new fab underconstruction in Saratoga County,NY, USA. These sites are supportedby a global network of R&D, designenablement, and customer supportin Singapore, China, Taiwan, Japan,

the USA, Germany, and the UK. IMEC’s sub-22nm core CMOS

program aims to develop processesthat can be used in high-volumemanufacturing of future logic andmemory ICs. The program encom-passes material and device studies,tool and process step exploration,integration options and advancedcharacterization towards processtechnology platforms for the nextgeneration of ICs, as well asexploring and developing theoptions for further generations.The firm will also collaborate on

extreme ultraviolet (EUV) lithogra-phy, device technology for logic andmemory, nano-interconnects and3D integration together with otherintegrated device manufacturers(IDMs), foundries, fabless andfablite companies, equipment andmaterial suppliers who are part ofthe IMEC research ecosystem.GLOBALFOUNDRIES is also joining

the IMEC GaN research program,which targets high-performance,cost-effective GaN devices on sili-con. This program brings togetherIDMs, foundries, compound semi-conductor companies, equipment

suppliers and substrate suppliers todevelop 8-inch GaN technology onsilicon.“Partnering with GLOBAL-

FOUNDRIES is very important forIMEC and our partners since itstrengthens our collaboration withfoundries and thus also the ecosys-tem we offer in our programs toIDMs and the fabless and fablitecommunity,” says IMEC’s president& CEO Luc Van den hove. “Weexpect this partnership to give afurther boost to our research programs over the coming years,”he adds. “GLOBALFOUNDRIES has long

embraced a collaborative approachto R&D innovation based on amodel of shared objectives andshared investments with partnersaround the world,” says the firm’sVP of alliances, David Bennett. “The mission and capabilities ofIMEC are highly complementary toour current collaborative R&Dapproach and will add anotherimportant dimension to our tech-nology pipeline.” www.globalfoundries.com www.imec.be

US defense contractor NorthropGrumman Corp has set a new standard for its gallium nitride-basedhigh-power transmit/receive (T/R)modules by reliably operating themfor over 180 days during continuoushigh-power testing. In a rigorous evaluation conducted

by its Advanced Concepts andTechnology Division, the T/R modules were tested by using high-stressing operational long-pulsewaveforms, which operated on themodules non-stop for more than six months. These waveforms weredesigned to simulate the electronicactivities of actual radar functions,

in a relevant environment allowingNorthrop Grumman engineers tounderstand how well they wouldperform in tactical operation.The tests prove that the next

generation of active electronicallyscanned arrays (AESA) is capableof reliable operation while produc-ing much greater radar sensitivity,at higher efficiency and lower cost,says the firm. With this newthreshold established, the T/Rmodules can serve as critical tech-nology elements for a wide range of future applications.“By successfully employing the

latest advances in high-power

semiconductor technology in afunctioning T/R module, we havedemonstrated the great perform-ance and reliability of our designapproach,” says Steve McCoy, VP ofthe Advanced Concepts business unitin the firm’s Electronic Systemssector. “This new level of maturityalso supports technology readinessfor the next generation of NorthropGrumman’s high-performance, low-cost AESA radars, and opportu-nities for cost reduction and performance upgrades to our current AESA product line,” McCoy adds. www.northropgrumman.com

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

18

GLOBALFOUNDRIES partners with IMEC Silicon foundry joins GaN and sub-22nm CMOS R&D programs

Northrop Grumman GaN-based modules set 180-daystandard for sustained high-power operation

Page 19: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

19

Keithley Instruments Inc of Cleve-land, OH, USA has launched theModel 2651A High Power SystemSourceMeter instrument, the latestaddition to its Series 2600A SystemSourceMeter family. Designed for characterizing high-

power electronics, the Model 2651Aprovides what is said to be the widestcurrent range available, critical forR&D, reliability and production testapplications such as testing high-brightness LEDs (HB-LEDs), powersemiconductors, DC–DC converters,batteries, and other high-powermaterials, components, modules,and subassemblies. Like each member of the Series

2600A family, the Model 2651Aoffers a flexible, four-quadrant volt-age and current source/load coupledwith precision voltage and currentmeters. It combines the functionalityof multiple instruments in a singlefull-rack enclosure: semiconductorcharacterization instrument, precisionpower supply, true current source,DMM (digital multimeter), arbitrarywaveform generator, V or I pulsegenerator, electronic load, and trig-ger controller, and is fully expand-able into a multi-channel, tightlysynchronized system via Keithley’sTSP-Link technology. Unlike com-petitive solutions, which typicallyhave limited power, measurementspeed and/or resolution, Keithleyclaims the Model 2651A can sourceor sink up to 2000W of pulsed power(±40V, ±50A) or 200W of DC power(±10V@±20A, ±20V@±10A,±40V@±5A). It can also make precise measurements of signals as low as 1pA and 100μV at speedsup to 1μs per reading. The Model 2651A provides a

choice of digitizing or integratingmeasurement modes for precisecharacterization of both transientand steady-state behavior. Twoindependent analog-to-digital (A/D)converters define each mode —one for current and the other forvoltage — which run simultaneouslyfor accurate source readback with-out sacrificing test throughput.

The digitizing measurement mode’s18-bit A/D converters allow the cap-ture of 1 million readings per secondfor continuous 1μs-per-point sam-pling, making this mode the mostappropriate choice for waveformcapture and measuring transientcharacteristics with high precision.Competing solutions must averagemultiple readings to produce ameasurement result and often donot allow the measurement of tran-sient behavior, Keithley claims. The integrating measurement

mode, based on 22-bit A/D con-verters, optimizes the instrument’soperation for applications thatdemand the highest possible meas-urement accuracy and resolution.This ensures precise measure-ments of the very low currents andvoltages common in next-genera-tion devices. All Series 2600Ainstruments provide integratingmeasurement mode operation. Connecting two Model 2651A units

in parallel via TSP-Link expands thesystem’s current range from 50A to100A. This is 2.5–5 times greaterthan the nearest competing solu-tion, the firm claims. The voltagerange can be expanded from 40Vto 80V when two units are con-nected in series. The embeddedTest Script Processor (TSP)included in all Series 2600A instru-ments simplifies testing by allowingusers to address multiple units as asingle instrument so that they actin concert. The built-in trigger con-troller in the Model 2651A can syn-

chronize the operation of all linkedchannels to within 500ns. Thesecapabilities provide what is claimedto be the broadest dynamic rangeavailable, suiting a broad variety ofhigh-current, high-power testapplications, including:power semiconductor, HB-LED,and optical device characterizationand testing; characterization of GaN, SiC, andother compound materials anddevices; semiconductor junction tempera-ture characterization; reliability testing; high-speed, high-precision digiti-zation; and electro-migration studies. To minimize device self-heating

during tests (a common problemwith high-power semiconductorsand materials), the Model 2651Aoffers high-speed pulsing capabilitiesthat allow users to source andmeasure pulses with high accuracy.Pulse widths from 100μs to DC andduty cycles from 1% to 100% areprogrammable. Competing solutionsare typically hampered by limitedflexibility for programming theinstrumentation’s duty cycle, Keithley claims.TSP Express (Keithley’s LXI-based

I–V test software utility) is embed-ded in the instrument, so there isno need for software installation orprogramming. From basic toadvanced tests, TSP Express deliversdevice data in three steps: connect,configure, and collect. It also sim-plifies connecting instruments toallow higher pulsing levels. Resultscan be viewed in either graphical ortabular format and then exported toa .csv file for use with spreadsheetapplications. Two other powerfulsoftware tools for creating testsequences are also provided: theTest Script Builder application sup-ports creating, modifying, debugging,running, and managing TSP scripts;an IVI-based LabVIEW driver simplifies integrating the 2651Ainto LabVIEW test sequences. www.keithley.com

Keithley launches high-power test instrument

Keithley’s new Model 2651A.

Page 20: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

For its fiscal third-quarter 2011 (toend-February), 5N Plus Inc of Mon-treal, Quebec, Canada has reported(in Canadian dollars) record salesof $20.6m, up 4.6% on $19.7mlast quarter and 7% on $19.3m ayear ago. Founded in 2000, 5N Plus focuses

on specialty high-purity metalssuch as tellurium, cadmium, selenium, germanium, indium andantimony and also produces relatedII-VI semiconducting compoundssuch as cadmium telluride (CdTe),cadmium sulphide (CdS) andindium antimonide (InSb) as pre-cursors for the growth of crystalsfor electronic applications, includingsolar photovoltaic, radiation detectorand infrared markets. 5N Plus ownsfour material subsidiaries: 5N PVGmbH (Eisenhuttenstadt, Germany),Firebird Technologies Inc (Trail, BC,Canada), 5N Plus Corp (DeForest,WI, USA) and Sylarus TechnologiesLLC (St George, UT, USA). Earnings before interest, taxes,

depreciation and amortization(EBITDA) were $5.98m (29.1% ofsales), down on $6.2m (31.6% ofsales) last quarter. EBITDA wasadversely affected by (i) SG&A(selling, general & administrative)expenses related to new facilities inWisconsin and Malaysia as well asthe acquisitions of MCP Group SAand Sylarus Technologies LLC(incurred without 5N Plus yet bene-fitting from the full revenue potentialof these four new initiatives); and(ii) a $536,426 increase in R&Dexpenses. During the quarter, cash and

cash equivalents fell further, from$54.7m to $42.8m, due mainly to a strategic increase in inventoriesof about $21m and capital expendi-tures totalling $15m related primarily to Firebird’s new facilityas well a 5N Plus’ new plant in Wisconsin. 5N Plus has also completed a

$50m two-year senior securedrevolving facility with National Bankof Canada, replacing a $17.5m

revolving credit facility with anotherCanadian bank (currently undrawn).It intends to use the new revolvingfacility for corporate purposes,including capital expenditure andpermitted acquisitions. On 10 January, the US$3m convert-

ible note previously provided by 5Nto Sylarus (aproducer ofgermaniumsubstratesfor solarcells) wasconvertedinto a66.67%majorityinterest that 5N Plus now owns. “We made great progress in the

execution of our growth plan,” sayspresident & CEO Jacques L’Écuyer.On 3 February, 5N announced thesigning of new long-term supplyagreements with cadmium telluride(CdTe) thin-film photovoltaic (PV)module maker First Solar Inc ofTempe, AZ, USA extending untilend-December 2015, and plans tobuild a new recycling facility inMalaysia. The increase in CdTe to beordered by First Solar will initially be30% and will reach 60% by 2013,compared to the minimum quantitiesprescribed in the original agreements.The new Malaysian plant should beoperational by mid-2012. “This has greatly contributed to

our record quarter in terms of salesand backlog and provides a firmbase for all of our organic growthinitiatives, which include — in addi-tion to our other solar and medical-imaging product initiatives — ourgermanium-related activities, forwhich investments are still under-way,” says L’Écuyer. “These initia-tives include the construction of ournew facility in Trail, British Columbiaand investments enabling us toacquire a majority ownership inSylarus, aimed at allowing us todevelop a germanium-wafer manu-facturing capability for photovoltaicapplications,” he adds.

Also, in mid-April, 5N completedthe acquisition of MCP Group SA ofTilly, Belgium (announced at the endof February) for $317m, and closedthe associated ‘bought deal’ equityfinancing of 13,590,000 commonshares at a price of $9.20 per share(for total gross proceeds of $125m).The MCP acquisition is a “transfor-mational transaction enabling us tobecome a global leader in the pro-duction and distribution of specialtymetals”, says L’Ecuyer. “This acqui-sition is both highly strategic, as itprovides a means for businessdiversification and further growth,and financially accretive. It is thuswell aligned with our vision ofbecoming a global leader in the

productionof specialtymetals forthe cleantechnologymarkets,”he adds.“Togetherwe nowform astrongercompanythat is well

positioned to grow and take advan-tage of opportunities in a numberof exciting new markets, includingsolar, light-emitting diodes and eco-friendly materials.” “Such investments are expected

to begin generating significant revenues in fiscal 2012 and shouldcontribute to a further strengthen-ing of our base business, the outlook for which remains verypromising,” L’Ecuyer continues. 5N Plus notes that, at the end of

the quarter, its backlog of ordersexpected to translate into salesover the next 12 months was arecord $71.2m, up 13.7% on$62.6m last quarter and 32.4% on $53.8m a year ago (despitechanges in currency exchangerates having an adverse impact ofabout $5.7m). www.5nplus.com

The increase in CdTe to beordered by First Solar willinitially be 30%and will reach60% by 2013

Initiatives includethe constructionof our new facilityin Trail, BC andinvestmentsenabling us toacquire a majorityownership inSylarus

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

20

5N Plus grows sales 7% year-on-year to a record $20.6m Order backlog grows 32% to record $71.2m, boosted by First Solar deal

Page 21: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

5N Plus has completed its acquisitionof MCP Group SA of Tilly, Belgium(announced on 28 February) as wellas its ‘bought deal’ public offeringof 13,590,000 common shares at aprice of $9.20 per share (yieldingtotal proceeds of $125m). Formed in September 2007 from

the merger of specialty metal firmsMCP Aramayo Ltd and Sidech SA,the private company MCP wasmajority-owned by co-CEOs LaurentRaskin and Frank Fache and Belgianindustrial group Floridienne SA. It isthe leading producer and distributorof bismuth and bismuth chemicals(with market share estimated to bemore than 50%) and a key playerin other specialty metals (includinggallium, indium, selenium and tellurium), used in products mainlyrelated to industrial and electronicapplications. MCP has about 400staff in nine production sites and 15 commercial offices acrossEurope, Asia and the USA.

“We look forward to working withMCP in order to expand 5N Plus’product offering and establish aworldwide manufacturing and dis-tribution platform,” says 5N Plus’president & CEO Jacques L’Écuyer. Fache will be appointed to 5N’s

board, which will consist of sixdirectors. Raskin should join theboard at the next annual meetingof shareholders. Fache and Raskinwill be appointed executive VPs,reporting directly to L’Écuyer. 5N Plus has acquired all of MCP’s

issued and outstanding shares for:(i) €105.8m in cash (CDN$142.8mas of 25 February); (ii) promissorynotes to MCP’s selling shareholderstotaling €46.9m (CDN$63.3m),payable over a 3-year period; and(iii) 11,377,797 common shares of5N issued to selling shareholders(subject to lock-up provisions lastingup to 18 months). The purchaseprice also includes a cash ‘holdback’of €14.97m (CDN$20.2m), payable

by 5N Plus within three years. Using the closing price of 5N’s

shares on the Toronto StockExchange on 25 February ($8) anda Euro to Canadian dollar exchangerate of 1.3494, the deal is valued at€235.2m (CDN$317.3m). 5N hasalso assumed MCP’s net debt(€65.6m as at end-December 2010,mostly short-term debt used to fundMCP’s working-capital requirements). Investors in the public offering

received shares in lieu of subscrip-tion receipts. The shares were soldto a syndicate of underwriters ledby National Bank Financial Inc andincluding GMP Securities LP, CIBCWorld Markets Inc, TD Securities Inc,Versant Partners Inc, CormarkSecurities Inc, HSBC Securities(Canada) Inc, M Partners Inc andStonecap Securities Inc. Net pro-ceeds were used to fund part of thecash part of the MCP deal (with thebalance paid from cash on hand). www.mcp-group.com

5N closes MCP acquisition and $125m public offering

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

21

Page 22: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

After late January’s trading updatefor second-half 2010 revenue of “at least £38.7m”, epiwafer foundryand substrate maker IQE plc ofCardiff, Wales, UK has reportedrevenue of a record £39.6m, up20% on first-half 2010’s £33m. This takes full-year 2010 revenue to

£72.7m, up 38% on 2009’s £52.7m,driven by higher volumes in all mainmarkets and increased market share. Although IQE Silicon electronics

business was less than 5% of totalrevenue, wireless sales grew by over32% (75% of total revenue). The emerging optoelectronics

business grew 46% organically (to20% of total revenue), albeit froma lower base. However, opto growthwas boosted to more than 56% bylate September’s acquisition ofantimony-based substrate makerGalaxy Compound SemiconductorsInc of Spokane, WA (the sole USprovider of infrared imaging mater-ials to high-value military and othermarkets). Complementing IQE’sWafer Technology subsidiary in Milton Keynes, UK, the acquisitionpositions IQE as the market leaderfor gallium antimonide and indiumantimonide substrates for use ininfrared sensing, it is reckoned. IQE says its optoelectronics sales

are already starting to reflect thebenefit of pre-production revenuesfrom consumer applications andconcentrated photovoltaics (CPV).In particular, sales of VCSELs (critical for finger navigation andoptical interconnects) grew byabout 120%, while sales of CPVmaterial grew by more than 80%.

“Our core business of high-speedconnectivity, including wireless-related products for all forms ofmobile device communications, hascontinued to show very stronggrowth, whilst new and emergingproducts for consumer, energy effi-ciency, industrial and defence appli-cations are generating increaseddemand across all our key markets,”says president & CEO Drew Nelson.“2010 has been an outstanding yearfor the Group, with record revenuesand profits achieved, delivering onkey strategic, operational andfinancial objectives well ahead ofthe board’s expectations,” he adds. Reflecting “strong management of

costs and further operating efficien-cies”, full-year gross margin has risenfrom 21.9% in 2009 (which wasboosted by one-off cost savings ina period of destocking) to 22.8%. Aided by high operational gearing,

pre-tax profit tripled (from £2.1mto £6.3m) and retained profit morethan tripled (from £2.1m to £7.5m). A placing of 65 million new shares

at the end of September raisedgross proceeds of £20.8m, whichwas used to repay borrowings,finance capital expenditure andfund the Galaxy acquisition. Capitalexpenditure rose from £1.7m in2009 to £5m, as capacity has beenadded to meet expected continuedgrowth in demand. In particular,IQE invested £3.4m in developmentexpenditure (up on £2.3m in 2009),relating to the qualification of newwireless and optoelectronic productsand the development of new solarand gallium nitride materials. Also,

IQE disposed of a property in Singapore for £1.5m, realizing aprofit of £0.4m. Overall, comparedwith net debt of £14.9m at the endof 2009, IQE had net cash of £7mat the end of 2010. “We continue to make excellent

progress in the development andcommercialization of key IP that weexpect will contribute to revenuesduring 2011 and help to increaseour competitive advantage andleading positions in a number ofhigh-growth markets,” says Nelson.In particular, last August IQE wasgranted two Japanese patents onnanocolumn technology for high-purity GaN substrates (for producingblue and green lasers and LEDs),developed by NanoGaN Ltd of Bath,UK (acquired in October 2009). “In terms of the four technology

‘megatrends’ of this decade [high-speed connectivity; sustainableclean energy generation and theefficient use of energy; the explo-sion of personal consumer devicesfor enhanced lifestyle, and theincreased sophistication and per-formance of security-related sys-tems] we are helping drive thesewith our key enabling technologies,”says Nelson. In particular, with anumber of emerging high-volumeand mass-market applications, IQE believes that its optoelectronicsbusiness has the potential toeclipse wireless growth on a sustained basis and eventually toevolve into a business with thescale and profitability of the wire-less operation. www.iqep.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

22

IQE’s full-year 2010 sales rise 38%, tripling profit Optoelectronics growth of 46% outpaces 32% growth for wireless

The European Photonics IndustryConsortium (EPIC) of Paris, Francehas elected IQE’s CEO Dr DrewNelson as its president. With 80 voting members and over

400 associate members, EPIC pro-motes sustainable development forEuropean organizations working in

photonics. Members have played aleading role in creating and operat-ing the European Technology Plat-form, Photonics-21 and Europeanprojects such as LIFT for fiber-laser development and Nexpresso,supporting commercial innovationby small and medium enterprises.

Nelson succeeds Jean-FrançoisCoutris of Sagem Défense et Sécu-rité (who has completed servingtwo terms as second president).He began his term on 5 April, pre-siding at EPIC’s annual generalassembly in Paris.http://epic-assoc.com

IQE’s CEO Nelson elected as new president of EPIC

Page 23: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED
Page 24: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

24

Epiwafer foundry and substratemaker IQE plc of Cardiff, Wales, UKhas appointed Dr Trevor Martin asdirector of global gallium nitride(GaN) strategy, responsible forenhancing and delivering IQE’s GaNstrategy across the group.IQE says that GaN is becoming an

increasingly important materialsystem across a diverse range ofmarkets encompassing defence,industrial and consumer appli-cations, from high-efficiency power switching and high-powerradio frequency to advanced opto-electronics in the form of lasers and LEDs. Opportunities for GaNmaterials will be driven by technol-ogy ‘megatrends’ such as efficientpower generation and transmis-sion, efficient energy usage such assolid-state lighting, electric vehi-cles, and improved wireless infra-structure.

Martin has morethan 25 years ofexperience incompound semi-conductor mat-erials and joinsIQE from QinetiQ, Malvern,UK where hewas responsiblefor its overall

epitaxial capabilities and the devel-opment of GaN technology.Martin received his PhD from the

University of Glasgow for work onmolecular beam epitaxy (MBE)growth on indium phosphide (InP)and has been involved in the devel-opment of a number of key semi-conductor technologies prior to hiswork in GaN, including the on-waferintegration of optical devices andthe development of antimony-baseddevices. He has also had extensive

involvement in large multinationalcollaborative programs, has servedon many academic steering andreview panels, has co-authoredmore than 150 papers, and is co-inventor on a number of patents.“IQE has established an enviable

reputation as a global leader inGaN-based materials and Trevor’s

appointmentcomplementsand furtherstrengthensour technologyleadership inthis importantmarket sector,”reckons busi-ness develop-ment director

Adrian Meldrum. IQE acquired GaN substrate firm NanoGaN Ltd of Bath, UK in October 2009. www.iqep.com

For first-quarter 2011, Riber S.A. ofBezons, France, which manufacturesmolecular beam epitaxy (MBE) systems as well as evaporationsources and effusion cells, hasreported revenue of €5.7m, up98% on €2.9m a year ago butdown on the exceptional €13.1mlast quarter (which contributed63% of full-year 2010’s revenue). In particular, growth is being

driven by systems sales, with thedelivery of two machines in Q1boosting systems revenue to€2.1m, almost double (up 92%) on€1.1m a year ago. Revenue forevaporation sources and cells grewnine-fold, from just €0.3m a yearago to €2.6m, reflecting Riber’songoing diversification to thestrongly growing markets forOLEDs (organic LEDs) and copperindium gallium diselenide (CIGS)thin-film photovoltaic panels. Services & accessories revenue was €1m, down ‘temporarily’ by

34% from the high level of €1.5m a year ago. Riber adds that thepositive evolution of revenue is alsoresulting from its policy of aimingto spread production evenlythroughout the year. At the end of the March quarter,

order backlog was €21.3m, upstrongly by 134% on €9.1m a yearago. This includes systems ordersworth €14m (more than double the€6.9m a year ago), comprising six research systems and four production systems (including anorder received in March for a newproduction machine). Out of these10 machines, only one system isnot scheduled to be delivered during 2011. In addition, backlogincludes major effusion cell ordersin the OLED sector, boosting totalorders for evaporation sources andcells 25-fold from just €0.2m a yearago to €5m. Services & accessoriesorders have grown by 15% from€2m to €2.3m.

Riber concludes that these trendsconfirm the relevance of its busi-ness strategy, based on:

continuingto strengthenits marketleadership inMBE systems; capitalizingon theinstalled baseand growingsales of epi-taxy equip-ment, spareparts andaccessories, aswell as corre-sponding serv-ices; and launching a

range of high-value-added equip-ment and services for high-growthapplications (thin-film solar panels,OLED lighting and flat screens, etc).www.riber.com

Riber’s Q1 revenue doubles year-on-year Diversification into OLEDs and CIGS PV boosts order backlog by 134%

IQE recruits director of global gallium nitride strategy

Dr Trevor Martin. GaN materialswill be drivenby technology‘megatrends’such asefficient powergeneration andtransmission

Evaporationsources andcells grewnine-fold...reflectingRiber’songoingdiversificationto the stronglygrowingmarkets forOLEDs andCIGS

Page 25: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED
Page 26: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

In Q4/2010 Aixtron received an orderfor a Close Coupled Showerhead (CCS)MOCVD reactor (in 3x2”-wafer configuration) from Xerox subsidiaryPARC of Palo Alto, CA, USA, whichcreates, prototypes, co-develops,licenses and delivers technologyand services for government andcommercial clients. The system has a full set of features

such as the in-situ multichannelpyrometer ARGUS, high-temperaturegrowth, and gap adjustment foroptimum (Al)GaN conditions over awide pressure range. After delivery in Q2/2011 and

commissioning by a local Aixtronsupport team, PARC’s electronicmaterials and devices researchdivision will use the reactor for theepitaxial growth of indium galliumaluminum nitride (InGaAlN) LEDs,laser diodes and electronic devices. “Our ongoing programs require a

state-of-the-art MOCVD system withadvanced features that enable ourteam to carry out R&D over a widerange of optoelectronic materialsand devices,” says Jennifer Ernst,

PARC's director of business devel-opment. “The CCS system is widelydeployed in industry and well suitedto our needs,” she adds. “We can expand our capacity and

capabilities to develop and delivernew device technologies. We will beable to develop new processes in acommercial reactor that are compat-ible with a prospective scale-up tofull production scale in due course,”Ernst continues. “Working closelywith the experienced Aixtron supportteam, our group expects to, forexample, quickly optimize conditionsfor growth of GaN alloys with a highpercentage of Al, as required fordeep-UV optical emitting devices.” PARC’s R&D optoelectronic mater-

ials and devices team has alreadydeveloped LEDs and laser diodes inthe visible and UV spectral regions.It has made contributions to thefundamental understanding of thematerials and devices, and demon-strated innovations in the designand realization of InGaAlN devicesfor optoelectronic applications. www.parc.com

PARC orders Aixtron CCS MOCVDsystem for InGaAlN lasers and LEDs

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

26

Aixtron’s latest CCS MOCVD plat-form system, the CRIUS II, hasbeen qualified for mass productionwithin the Chi Mei Group, outper-forming expected process stability,uniformity, and throughput. Chi Mei was the first customer in

Taiwan to receive the new system.The 55x2”-wafer configurationCRIUS II was installed and commissioned by the local Aixtronservice team at facilities near theSouthern Taiwan Science Park.Since then the team has beenworking closely with the firm tospeed qualification. A company representative noted

that it has been a seamless transi-tion from the CRIUS to CRIUS IIdue to its straightforward processtransfer capabilities — the unique

CCS reactor chamber technologysimply requires the application ofarea-scaling factors, says Aixtron.The qualified system will soon befully operational for Chi Mei's fam-ily of HB-LED products. Aixtron says the CRIUS II can be

tuned to optimize performance andcan further be converted from 2”to 4”, 6” or larger wafer size pro-duction, a key factor for GaN LEDmanufacturing. Since larger wafersizes play a crucial role in reducingLED manufacturing cost, theCRIUS II can boost productivity,says Aixtron. Compared to theCRIUS, the CRIUS II capacity hasbeen raised from 31x2” to 55x2”wafers. Alternatively, the CRIUSII reactor chamber can accommo-date as many as 13x4” wafers.

TU Eindhoven to useAixtron CCS MOCVDreactor for nanowirestructures Aixtron says that in fourth-quarter2010 it received an order fromexisting customer the University ofTechnology (TU) Eindhoven in TheNetherlands for a Close CoupledShowerhead (CCS) MOCVD reactorin 3x2”-wafer configuration.After delivery in third-quarter 2011,

the local Aixtron support team willinstall and commission the reactorin the cleanroom facility within theCOBRA Research Institute at TUEindhoven. It will then be used forthe growth of GaAs- and InP-basednanowire structures as well as fornitrides and silicon compounds. “The new reactor will be used for

fundamental research purposesand in particular to synthesize complex semiconducting nanowireheterostructures to be used as activeelements in solar cells, (bio)chemi-cal sensors, thermoelectrics and inquantum information devices,” saysprofessor Dr Erik Bakkers of TUEindhoven’s Photonics of Semicon-ductor Nanostructures Department.“For these applications, the elec-tronic (mobility) and optical quality(linewidth and intensity) of thewires must be excellent,” he adds. “This versatile MOCVD system will

be the workhorse of our group,providing Dutch research instituteswith nanowires and other relevantmaterials,” Bakkers continues. “The CCS system will enable us toachieve control of nanowire proper-ties and enable the manufacture ofnanowires from new combinationsof materials.”TU Eindhoven’s nanowire group

began operation in January 2010and has since been setting up anational nanowire growth facility.The COBRA Research Institute is theNational Centre of the Netherlandsfor Research on III-V semiconductorsand optoelectronic devices and systems. COBRA employs morethan 100 scientists and technicians. www.aixtron.com

CRIUS II qualified in Taiwan with Chi Mei

Page 27: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Igor Kazakov and co-workers at theLebedev Physical Insti-tute in Moscow, Russiarecently reportedresults of the MBEgrowth of high-qualityresonant tunnel diode(RTD) structures (‘InSitu Optical Diagnosticsof Growing Surfaces inthe Process ofNanoheterostructureFabrication’. Semicon-ductors, 2010, Vol. 44,No11, p1441). LayTec AG of Berlin,

Germany says that theteam used its EpiRASTT system for real-time monitoringof all stages of heterostructuregrowth of the ultra-thin GaAs andAlAs layers (see Figure). “The optical monitoring we used is anefficient monitoring tool at allstages of the growth,” the Lebedev

Physical Institute team concluded.“Reflectance anisotropy (RA) dataenables detection of the changes in the material composition at normal GaAs/AlAs interfaces withone-monolayer resolution.” www.laytec.de

LayTec AG of Berlin, Germany(which provides in-situ opticalmetrology systems for thin-filmprocesses) says that this year it isorganizing two in-situ seminars,each with a different topical focus: ‘In-situ monitoring of III-arsenideand III-phosphide epitaxial growth’,in conjunction with the Compound Semiconductor Week

2011 in Berlin, Germany on 22 May(3–6 pm); and ‘In-situ monitoring of III-nitrideepitaxial growth’, in conjunctionwith the 9th International Confer-ence on Nitride Semiconductors inGlasgow, UK on 10 July (2–4pm). To register e-mail: [email protected].

www.csw2011.orgwww.icns9.org

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

27

Taiwan LED makerEpistar receivesfurther Aixtron G5reactorsDeposition equipment makerAixtron SE of Herzogenrath, Germany has announced furthershipments of metal-organicchemical vapor deposition(MOCVD) systems, for delivery inthe first and second quarters of2011, to Taiwan’s biggest LEDchipmaker, Epistar Corp ofHsinchu Science-based IndustrialPark. The contract is for multipleAIX G5 HT reactors in a 14x4”-wafer configuration. Aixtron'slocal support team is installingand commissioning the reactors,which will be dedicated to thegrowth of high-brightness LEDs. Following Epistar’s qualification

of the AIX G5 HT in 2010, Aixtronsays that this latest repeat orderis a further endorsement of theG5’s very rapid time-to-productionand high system-to-systemreproducibility (both key factorsin a highly competitive marketand a considerable driver of cost reduction). “The new reactors will form the

backbone of our planned capac-ity expansion for our high-bright-ness LED production program,”says Epistar’s president Dr Ming-Jiunn Jou. “In particular, we havebeen impressed by the run-to-runand system-to-system repro-ducibility the G5 has shown. This makes the G5 the leadingMOCVD system in terms ofthroughput and yield, allowing usto quickly ramp up our capacitiesand expand our product portfolioeven further in the direction ofsolid-state lighting products,” hecomments, adding that the G5also provides an easy, scalableroute for the firm’s processrecipes. www.epistar.com.tw www.aixtron.com

IN BRIEF

New marketing manager DanielaSill joined LayTec in January inorder to strengthen the firm’smarketing team. Sill has a degree in business

economics (focused on marketing)from the University of Applied Sciences Pforzheim (Germany).LayTec adds that she brings many

years of experi-ence working as product,marketing andexhibition man-ager as well as a marketingconsultant. www.laytec.de

LayTec appoints marketing manager

EpiRAS TT used in MBE growth ofAlAs/GaAs resonant-tunnel diodes

LayTec hosting in-situ seminars atMay’s CS Week and July’s ICNS

Reflection anisotropy spectroscopy transient (RAS)resolving the RTD’s active region (2.3/4.5/2.0nmof AlAs/GaAs/AlAs) during MBE growth.

Page 28: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Applied Seals North America Inc ofNewark, CA, USA has opened anew applications and design center,boosting its capabilities in trouble-shooting customers’ problems witho-rings and other seals, prescribingthe best application-specific solutionsand designing seals for the 22nmtechnology node of semiconductormanufacturing. Advanced perfluoro-elastomer (or FFKM) seals are usedthroughout semiconductor manu-facturing equipment to create andmaintain ultraclean environmentsfor ICs manufacturing.For chip makers and wafer fabri-

cation equipment suppliers, theplant provides a dedicated appli-cations lab for diagnosing and solv-

ing sealing issues. Customers cansend their seals for analysis. “This addition to our Silicon Valley

operations allows us to work evenmore closely with semiconductorindustry members to educate themabout the wide variety of sealingsolutions and their differences,” sayspresident & general manager DaliaVernikovsky. “Diagnosing the cause ofa specific problem and then prescrib-ing the right seal is critical. Now wecan provide exact solutions faster.” The center is equipped to precisely

measure standard and custom-sizedseals and glands and to determinesurface roughness to within 50nm.Metrology data is then used to per-form finite element analysis (FEA)

to optimize the geometry and com-position of sealing components forindividual applications. The metrol-ogy equipment includes magnifyingoptical comparators, CCTV-coupledmicroscopes, calipers and gauges. The firm can also use the facility to

design sealing solutions for leading-edge processes, including immersionlithography and depositing materialslike hafnium, with engineers in Silicon Valley sharing insights withApplied Seals’ R&D, engineering andmanufacturing divisions in Taiwan. Eventually, the facility may produce

small volumes of prototype sealsprior to volume manufacturing atApplied Seals’ factory in Taiwan. www.appliedsealsna.com

Applied Seals opens applications and design center

To extend its reach into Asia’sgrowing semiconductor markets,capital equipment maker AltatechSemiconductor S.A. of Montbonnot,near Grenoble, France has signedQES Singapore Pte Ltd as its sales,installation and field service partnerin Singapore. Part of the QESGroup, QES Singapore has begunrepresenting Altatech’s AltaSightwafer inspection systems and liquid-precursor AltaCVD (chemicalvapor deposition) products withprospective and existing customerson the island. Established in 1991, QES Group

is one of the region’s leading manufacturers’ representatives,says Altatech, as well as a providerof engineering solutions. The 250-person firm is headquarteredin Malaysia, where it also operatesa manufacturing facility, and hasregional offices in Singapore, Thailand, the Philippines, Vietnam,Indonesia and China. QES Singapore’s staff includes 11

sales and service personnel. Two ofthe field service engineers are cur-rently being trained on the oper-ation and maintenance of the

AltaSight and AltaCVD product linesat Altatech’s headquarters. QESSingapore’s field personnel haveexperience with a wide variety ofsemiconductor-processing systemsas well as equipment for the massstorage, petrochemical and life sci-ences markets, says Altatech. “QES’s solid technical background

in semiconductor processing, combined with its track record ofexcellent customer service, makethis an ideal partnership,” believesAltatech’s president Jean-Luc Delcarri. “Leveraging its establishedrelationships, QES has alreadybegun working to educate localmicroelectronics companies on theperformance and benefits of ourtechnologies,” he adds. “Our marketing work had already

begun on Altatech’s exciting product line,” says QES Singapore’sgeneral manager S.C. Pang. “Weare working together to addressthe needs of Altatech’s customersin the semiconductor industry whilealso exploring opportunities inother applications.” www.qesnet.com www.altatech-sc.com

Altatech extends global network withdistributor QES Singapore

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

28

P+E wins Taiwanpurifier orders Power+Energy Inc of Ivyland, PA,USA, which provides palladium-membrane-based hydrogen purifiers for LED makers, GaN andSiC power and high-frequencydevices, photovoltaic manufac-turers and polysilicon suppliers,has won orders from customersin Taiwan for four more PE9000MZpurifiers (for delivery and instal-lation this quarter). The firm hasalso recently shipped systems tothe USA, Germany and China. “We are very pleased to continue

to grow our customer base in Tai-wan,” says president Noel Leeson.“Success of the PE9000MZ prod-uct demonstrates that its per-formance and cost are a goodmatch to the needs of the marketand that our partnership withWahLee Industrial Corp is provingsuccessful in winning users overto Power+Energy’s products.” In March 2010, P+E announced

a partnership for WahLee toexclusively sell and service it’spurifiers in Taiwan and China.www.powerandenergy.com

IN BRIEF

Page 29: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The California Energy Commission(CEC) has awarded $500,000 toprocess equipment maker AppliedMaterials Inc of Santa Clara, CA fora research project to develop animproved and more cost-effectiveway to manufacture LEDs. Created by the California Legisla-

ture in 1974, the CEC is the state’sprimary energy policy and planningagency. It has five major responsi-bilities: forecasting future energyneeds and keeping historicalenergy data; licensing thermalpower plants 50MW or larger; pro-moting energy efficiency throughappliance and building standards;developing energy technologiesand supporting renewable energy;and planning for and directing stateresponse to energy emergency.Funding comes from the CEC’s

Public Interest Energy Research(PIER) program (which supportspublic-interest R&D that helps toimprove the quality of life in Califor-nia by bringing environmentallysafe, reliable, and affordableenergy services and products to the marketplace).

Total project cost is $8,718,911,of which Applied is providing$4,225,000. It also received a$3,993,911 American Recovery andReinvestment Act (ARRA) award inJanuary 2010 from the US Depart-ment of Energy for the project‘Advanced Epi Tools for GalliumNitride LED Devices’ to develop amulti-chamber hybrid system formetal-organic chemical vapor deposi-tion and hydride vapor phase epitaxy. The CEC-funded project aims to

cut the costs of LEDs via improve-ments in manufacturing equipmentand processes, particularly MOCVD. Improving the manufacturing

process should cut operating costs,increase LED efficiency, and reducemanufacturing waste since moreLEDs will meet target specifications.Improving manufacturing efficiencymeans that higher-quality LEDswould be fabricated at lower cost,helping to broaden adoption of LEDsin the marketplace. The project is due for completion by

July 2012. The firm expects it to cre-ate 1600 jobs in California by 2015. www.appliedmaterials.com

California awards Applied $500,000to improve LED manufacturing

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

29

Nugeneration Technologies LLC(NuGenTec) of Emeryville, CA, USA(a producer of high-performancematerials and chemicals) says thatits Gumlast L8010 — which is100%-pure perfluoroelastomer(FFKM) — has been successfullytested in contamination-criticalchemical vapor deposition (CVD)process. HP Labs tested the L8010in a roughing pump isolation valveduring CVD of germanium and sili-con germanium (SiGe) thin films. Using proprietary pseudo-living

polymerization, multiple grades ofGumlast have been formulated tooptimize the performance of themolded parts in many different

applications. Gumlast L8010 ismade in ISO-certified productionlines with very high line yield, thefirm says, adding that GumlastL8010 is priced at or near 50% ofmost other FFKMs on the market. Due to the critical role of sealing

components in semiconductor pro-cessing, NuGenTec says this bench-marking status is noteworthy for aUS-manufactured product with asignificantly lower price point.“Deposition of high-quality germa-nium nanowire requires seal purityand performance beyond ordinary,”says Fred Pourmirzaie, director ofthe FloDynamix LLC division. www.nugentec.com

NuGenTec’s seals tested incontamination-critical CVD process

Page 30: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Plasma process equipment makerPlasma-Therm LLC of St Petersburg,FL, USA says that Soraa Inc of Fremont, CA, USA has recently purchased three of its systems toprovide plasma deposition and etchsteps in its wafer fabricationprocess. Founded by pioneering nitride

researchers from the University ofCalifornia at Santa Barbara (professors Shuji Nakamura, Steve DenBaars and Jim Speck),Soraa is developing a new class ofgreen and blue laser diodes and LEDsfor application in general lightingand projection display markets. Led by CEO Eric Kim, the firm israpidly expanding its US-basedmanufacturing capacity in prepara-tion for volume production.

Soraa purchased a 790+ RIE(reactive ion etch) system, a VERSALINE PECVD (plasma-enhanced chemical vapor deposition)system and a VERSALINE ICP(inductively coupled plasma) system.By providing dielectric depositionand metal, dielectric, and activematerial patterning, they collectivelydeliver key front-end processingtechnologies that are critical to thedevelopment and production ofphotonic devices. Plasma-Thermsays that demand for LEDs andlasers continues to increase as theyenter mainstream applicationsincluding consumer lighting, displays, biotechnology, defenseand industrial markets. “As engineers apply their skills

and knowledge to different semi-

conductor applications, they oftenkeep the knowledge and experi-ence they have from working withdifferent equipment sets,” saysexecutive VP of sales & marketingEd Ostan. “Positive experienceswith Plasma-Therm processing sys-tems have repeatedly led to neworders and continued relation-ships,” he adds. “The value previ-ously experienced withPlasma-Therm equipment wasinstrumental in this manufacturer’sdecision to purchase Plasma-Thermequipment. Our emphasis on build-ing strong business relationships aswell as the proven reliability andsupport of our systems is a key factor in repeat orders.” www.soraa.com www.plasmatherm.com

UK-based etch, deposition andgrowth system maker OxfordInstruments Plasma Technology(OIPT) says that a major expansionand improvement program at thefirm is delivering key benefits to itscustomers, with investment in anumber of key areas of the busi-ness resulting in faster and morestreamlined manufacturing, betterresearch facilities and more com-prehensive customer support.“Our workforce has grown by over

30% in the past 12 months, enablingus to react quickly to our significantlyincreased order book and to providefaster product and process develop-ment for our customers,” commentsmanaging director Andy Matthews.“We have responded to thedemands of our industry to ensurewe are as competitive as possible,and to retain our reputation forproducing world-class products andcreating long-term relationshipswith our customers based on trustand respect,” he adds. The firm has incorporated parallel

build and test bays in Manufacturing

for production of its etch, depositionand growth equipment, enabling itto respond to customer require-ments with more flexibility as well asreducing manufacturing lead times.It says that this has resulted inmore efficient manufacturingprocesses for repeat products, forexample in supplying equipment tothe fast-growing high-brightnesslight-emitting diode (HB-LED) pro-duction market. OIPT reckons thatthese changes have increased itsproduction capacity by about 50%. In addition, new R&D and appli-

cations laboratories have been builtwith expanded capabilities, and thefirm has appointed extra processengineers. The applications labora-tories house the company’s rangeof etch, deposition and growthequipment, including PlasmaPro,FlexAL ALD and Nanofab nanoscalegrowth tools manufactured at thefirm's facility in Bristol, UK, wherecustomer samples are run and newprocesses developed. Dedicated engineering and soft-

ware development suites as well asa specialist training departmenthave also been created in order tomaximize the capacity to delivertools and support to customers. “This major investment in both

staff and facilities supports our abil-ity to meet the needs of our diversecustomer base,” says general man-ager Dan Ayres. “Alongside ourglobal team and supply base, we’reable to continually improve ourquality and delivery performancewhilst innovating our product andprocess offering,” he adds. www.oxinst.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

30

Soraa adds Plasma-Therm deposition and etch systems

OIPT expansion boosts production capacity by 50% Staffing grows 30% over last 12 months

(Left to right) Ayres and Matthews inthe expanded production facility.

Page 31: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

UK-based etch, deposition andgrowth system maker OxfordInstruments Plasma Technology(OIPT) has been awarded TheQueen’s Award for Enterprise:International Trade for more thandoubling its overseas earnings oversix years of sustained growth, andexporting more than 90% of itsproduction. Oxford Instruments Plasma Tech-

nology (part of the Oxford Instru-ments Group) started trading in1981 and is the world's largest sup-plier of thin-film plasma etch anddeposition equipment to the R&Dmarket, and is also in the top twoin several key production appli-cations. This is the 12th Queen’sAward that the Oxford InstrumentsGroup has won. The firm says that its performance

was based on a product rangeaddressing a wide spread of marketscombined with an aggressive

strategy of developing new markets,primarily in Asia. This resulted intotal exports of £139m in six yearsand the creation of 29 more full-timepermanent UK jobs during theperiod applicable to the Awardselection criteria. This growth hascontinued as demand for equipmenthasincreased,and thefirm hasrecentlyunder-gone anexpansionand refurbishment programme,investing more than £2.5m ($4m)in its factory near Bristol, UK. Thishas expanded production capacityby more than 50%, and allowedOxford instruments Plasma Tech-nology to keep up with the signifi-cant growth in business seen in thelast 12 months.

The firm has also substantiallyenlarged its Applications Laboratoryby extending the R&D area, andinstalling an additional Class 1000cleanroom area where another sixtools for process development andrunning customer samples will beinstalled. This increase in facilitysize has boosted staffing to over330 worldwide, with many additionsin the front line including processexpertise, service and support. “Our company’s continued growth

and success is attributable to ourstrong workforce, continuous R&D,and our belief in listening to ourcustomers and developing the tools and systems that they want,”comments managing director Andy Matthews. The award recog-nizes the skill, commitment anddedication of everyone at OxfordInstruments Plasma Technologyover a sustained period, he adds. www.oxford-instruments.com

Performance wasbased on a productrange addressing a wide spread ofmarkets

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

31

Oxford Instruments receives UK Queen’s Award forEnterprise: International Trade

Page 32: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

EV Group (EVG) of St Florian, Aus-tria, a supplier of wafer bondingand lithography equipment for theMEMS (micro-electro-mechanicalsystem), nanotechnology andsemiconductor markets, hasreceived the 2010 EuropeanNanoimprint Technology ProductInnovation Award from marketresearch firm Frost & Sullivan, recognizing its accomplishments inaddressing industrial needs withnanoimprinting solutions.Frost & Sullivan implemented a

comprehensive research study thatranked multiple nanoimprint litho-graphy (NIL) providers across several categories includingadvancement of technology, indus-trial implementation, solution port-folio, fulfilling future market needs,and impact on the end-user. EVGsays that it received among thehighest marks in every categoryand ranked significantly higher thanthe nearest competitor overall.“With market leadership in wafer

bonding, 3D interconnect andMEMS manufacturing tools, EVGroup has vast expertise in differentareas that synergize with itsnanoimprinting solutions,”

comments Frost & Sullivanresearch analyst Kenneth Chua.“The company is known to provideproven solutions fulfilling the needsof the semiconductor industry.Its NIL equipment continues thislegacy and brings about low-cost,high-throughput and reliable solu-tions to its end-users,” he adds. According to Frost & Sullivan,

much of the competition in NIL hasbeen focused on making NIL viablefor either mainstream semiconduc-tor fabrication or patterning forfuture data storage media (bit-pat-terned media in hard disk drives).In contrast, EVG has leveraged itsexpertise to focus on key high-growth markets, including opticsand microfluidics. Moreover, as EVG continues to

enhance the capabilities and per-formance of its NIL technology, itperiodically evaluates its potentialfor other markets—and is preparedto realign its development strate-gies in the event that NIL becomesbetter suited to the needs of datastorage and mainstream semicon-ductor manufacturers. The firmsays that this is evident in its latestdevelopment, which is the ability to

pattern features as small as12.5nm in diameter using ultra-violet-assisted nanoimprinting,compatible with its EVG620,EVG6200 and EVG770 NIL systems.“With a decade of experience in

nanoimprint lithography, this awardis testament to the quality andEVG’s outstanding achievements inNIL technology innovation, as wellas to the company’s overall successin aligning its development effortswith market demand,” states Dr Thomas Glinsner, EV Group’shead of product management. Nanoimprinting is used to produce

patterns on a substrate by mechan-ical means. EVG’s ultraviolet-assisted NIL (UV-NIL), as well as its hot embossing processes, lever-ages its proprietary soft-stamptechnology, whereby a masterimprinting stamp is used to gener-ate soft stamps. This methodincreases the lifetime of the masterstamp due to reduced mechanicalcontact and enables an overallreduction in cost of ownership. www.awards.frost.com www.evgroup.com/en/products/lithography/nil_systems

MEI LLC of Albany, OR, USA saysthat it has completed delivery of arecord order for 17 semiconductorwet processing systems for a majorsemiconductor manufacturer.The primary applications for thewet bench process systems arecompound semiconductor etch,wafer cleaning, stripping and platingprocesses. MEI says that it was selected as

the equipment vendor of choice forduplicating the semiconductormanufacturer’s processes of recordinto a new fabrication facility inTexas. The compound semiconductor

manufacturer requested the custom,

semi-automated and manual waferprocessing tools last Septemberand needed rapid delivery. Both thecompound semiconductor firm and

MEI are experiencing rapid growth,the supplier notes. “The contract is especially chal-

lenging and rewarding because ofthe strict performance require-ments that the vendor had, com-bined with the rapid productionschedule,” says CEO Dan Cappello.“With our Revolution rotary wetbench and Achiever wet processingplatforms, we were able to meettheir throughput and quality needswith custom wet benches,” headds. “With our new productionfacility, we were able to ramp upand meet their schedule withoutdifficulty.” www.meillc.com

MEI delivers order for 17 wet processing systems

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

32

EV Group wins Frost & Sullivan’s EuropeanNanoimprint Technology Product Innovation Award

Wet benches in production at MEI’smanufacturing facilities.

Page 33: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

33

The MV Products division of Mass-Vac Inc of North Billerica, MA, USA is introducing a line ofvacuum foreline traps for manufac-turing processes that create largevolumes of solid by-products.Specifically, MV Vacuum Inlet

Traps are suited to metal-organicchemical vapor deposition (MOCVD),hydride vapor phase epitaxy (HVPE),plasma-enhanced chemical vapordeposition (PECVD) and low-pressurechemical vapor deposition (LPCVD)processes used in manufacturingsolar cells, high-brightness light-emitting diodes (HB-LEDs), and Li-ion batteries, which generatehigh volumes of particulates.Offered in several models toaccommodate different manufac-turing process applications and volume requirements, they can

incorporate a wide range of filtersfor trapping solids, organic solvents

and other contaminants and forprotecting vacuum pump systemsfrom oil back-streaming. Featuring user-selectable filter

elements, MV Vacuum Inlet Trapsinclude: the MV Multi-Trap, which has aknock-down stage plus two stagesof filter elements and is capable of up to 2500 cubic inches(40,968cm3) of solids accumula-tion, and the Posi-Trap for applications upto 50 cubic feet (1,415,842cm3)per minute (CFM). Both models are offered in several

sizes, with stainless-steel, coppergauze, molecular sieve, activatedcharcoal, pleated polypropylene (2, 5 and 20µm pore sizes) andSodasorb filters.www.massvac.com

MV Products launches vacuum foreline traps for high-brightness LED and solar cell manufacturing

When precision machining and polishing matterssapphire, ceramics and glass

Complex shapes

Large machining and inspection capability

www.insaco.com Phone 215.536.3500

Earning your trust for over 65 years.Unbiased

MV Products’ vacuum foreline traps.

Page 34: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Sumitomo Chemical Co Ltd ofTokyo, Japan and Samsung LED CoLtd of Suwon, South Korea haveagreed to form a 50:50 joint ven-ture in South Korea for R&D, manu-facturing and sale of sapphiresubstrates for LEDs. Samsung LEDsays in a regulatory filing that it willinvest 40bn won ($36m), so totalinvestment amounts to $72m. Sumitomo Chemical provides

materials such as high-purity alu-mina, which is used as a raw mat-erial in sapphire substrates andliquid-crystal polymer used in mat-erials for LED packages. Last year,its subsidiary Dongwoo Fine-Chemof Seoul, South Korea starteddeveloping sapphire substrates,and is currently upgrading its pro-duction facilities to start commer-cial production as soon as possible.Samsung LED is a joint ventureformed in mid-2009 between Sam-sung Electronics Co and its affiliateSamsung Electro-Mechanics Co.The firm plans to invest in strategic

expansion of its core business ofLED manufacturing. The joint venture is in response to

the demand for sapphire substratesand related materials, which isdriven by growth in the market forLEDs in LCD TV backlights, interiorlighting, and automobiles. The planis to set up a production plant thisyear and to start mass productionof substrates from early 2012. The joint venture partners reckon

that, as both firms aim to expandbusiness in the LED sector, they canstrengthen their competitivenessby generating synergies in technol-ogy development, marketing andother areas. Specifically, SamsungLED wants to benefit from verticalintegration of the supply chain ofsuch key components, and to avoidimporting the material. Currently,about five firms control 90% of theglobal supply of sapphire ingots,and Samsung LED wants to secureits supply as global demand rises. www.sumitomo-chem.co.jp/english

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

34

LDK Solar Co Ltd of Hi-Tech IndustrialPark, Xinyu City in Jiangxi Province,China, which is a vertically integratedmanufacturer of photovoltaic (PV)products and a manufacturer ofsolar wafers, has invested about$40m to establish a manufacturingplant in Nanchang City, JiangxiProvince with the capacity to supply2 million 2”-equivalent sapphirewafers per year, with the aim ofcapturing the growing opportunitiesin the LED industry. LDK currently makes polysilicon,

mono- and multicrystalline ingots,wafers, modules and cells, and alsoengages in project developmentactivities in selected segments ofthe PV market. The firm also has aUS office in Sunnyvale, CA.

“This new investment in manufac-turing sapphire wafers has a greatsynergy with LDK Solar’s crystal-lization and wafer engineering andmanufacturing expertise, and willenhance LDK Solar’s product offer-ings,” believes chairman & CEOXiaofeng Peng. “We also would like toexpress our sincere appreciation toNanchang City and Jiangxi Provincefor the support they have shown.” Other solar silicon wafer firms

recently expanding into supplyingsapphire substrates for the boom-ing LED industry include China’sJiangsu Jixing New Material andJiujiang Sapphire Tech, Taiwan’sSan Chih Semiconductor, andSouth Korea’s OCI Company Ltd. www.ldksolar.com

Chinese silicon wafer maker LDKSolar enters sapphire wafer business$40m invested in 2 million wafer-per-year plant

Entegris adds 150mmThick Wafer Shipper At March’s SEMICON China 2011show in Shanghai, Entegris Inc ofBillerica, MA, USA demonstrated itsnew Ultrapak 150mm Thick WaferShipper and Aeronex gas purificationsystems for LED manufacturing.The Ultrapak 150mm Thick Wafer

Shipper is designed for shipping the1.3mm-thick wafers commonlyused in LED chip manufacturing.The polypropylene shipper secureswafers to limit rotation, reduce particle contamination and preventdamage or breakage. “Through collaboration with leading

LED manufacturers, Entegris identi-fied key modifications for its widelyused semiconductor shipper thatideally suit the unique needs of theLED industry,” says William Shaner,VP & general manager for theMicroenvironments Division. “Wecombined their input with morethan 30 years of Entegris’ wafershipping experience to design themost protective shipper for thesecritical substrates.” Modifications include improving

wafer spacing and pitch to fit wafersthat are thicker than standard siliconwafers. The design also has a newsecondary packaging system tosupport and protect heavier loads.The shipper expands the currentline of LED wafer handling products,which includes a multi-wafer shipperfor thin wafers (Ultrapak 100mmThin Wafer Shipper, launched atJanuary’s SEMICON Korea 2011),single-wafer shippers, and multiplewafer carriers for in-fab processing.At SEMICON China, Entegris also

exhibited its Aeronex line of gaspurification solutions for HB-LEDmaking. The firm says the systemsprovide reliable gas purification formaximum uptime, enabling manu-facturers to produce HB-LEDs withthe highest photoluminescencepeaks and luminous efficiencies.The multi-bed design allows contin-uous supply of purified gas and isavailable in several flow ranges fornitrogen, hydrogen and ammonia.www.entegris.com

Sumitomo Chemical & Samsung LEDto form $72m sapphire substrate JV

Page 35: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

35

BluGlass Ltd of Silverwater, Australiaand SPP Process Technology SystemsLtd (SPTS) of Newport, Wales, UK,the plasma etch, deposition andthermal processing equipment sub-sidiary of Sumitomo Precision Prod-ucts Co Ltd (SPP), say that theirjoint venture (formed last August,when SPTS invested AUS$5.2m inBluGlass) has begun the establish-ment of a remote-plasma chemicalvapor deposition (RPCVD) researchand demonstration site in the UK.The facility will include the retrofitof a field-proven SPTS CVD production platform to incorporateBluGlass’ RPCVD technology. Spun off from the III-nitride

department of Macquarie Universityof Sydney, Australia in 2005, Blu-Glass has developed a low-temper-ature process using RPCVD to growmaterials including gallium nitride(GaN) and indium gallium nitride(InGaN) on glass substrates,potentially offering cost, through-put and efficiency advantages forthe production of LEDs. Focused on commercializing the

RPCVD technology, the JV beganoperation last October and has seenthe establishment of a JV team inSilverwater and now in the UK.BluGlass says that progress is

being made on all fronts, with somemilestones progressing faster thanothers. The technology has seensome significant improvementsbut, due to repair and maintenanceissues with the fifth-generationRPCVD tool (leading to machinedowntime), there has been somedelay in achieving single-crystalmaterial to the standard set by thefirm. Despite this, progress hasbeen made on this and otherimprovements, such as greatergrowth rates compared to previousgenerations. The JV is still workingtowards the achievement of high-quality single-crystal material.

“SPTS believes in the RPCVD technology, firmly supporting thecommercial potential of our jointventure with BluGlass,” says SPTSpresident William Johnson. “Havingengaged with our LED customers,we are encouraged by their valida-tion of the RPCVD value propositionand level of interest in the out-comes of the joint venture,” headds. “Accordingly, SPTS has fur-ther strengthened our commitmentto the JV with the allocation ofadditional resources, budget andthe advanced commencement ofactivity and research here in theUK,” Johnson continues. SPTS hasstarted on the design of its RPCVDresearch and demonstration siteand machine in the UK. “We have been extremely fortu-

nate to find such an expert and wellconnected partner to bring ourtechnology to the market,” com-ments BluGlass CEO Giles Bourneabout SPTS. “The progression ofour technology since the com-mencement of the JV has beenvery encouraging,” he adds.The JV is geared towards the

delivery of single-crystal material, ademonstration facility in the UKwith access to global customers,and surpassing the benchmark inmaterial quality set by metal-organic chemical vapor deposition(MOCVD) in order to deliver RPCVDto the mainstream LED manufac-turing market.BluGlass says that feedback from

customers indicates that the theo-retical performance advantages ofRPCVD are now recognized as acore value proposition for LEDmanufacturing. The RPCVD processis increasingly anticipated to beused in conjunction with existingtechnology, broadening its potentialmarket opportunity. www.spp-pts.com www.bluglass.com.au

BluGlass and SPTS JV establishingUK RPCVD research and demo site SPTS CVD production tool to be retrofittedwith BluGlass’ RPCVD technology

Page 36: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Photonics and light measurementequipment distributor Pro-LiteTechnology Ltd of Cranfield, UK hasacquired a majority stake inSphereOptics GmbH of Uhldingen,Germany, helping to grow its lightmeasurement business in the Ger-man, German-speaking and East-ern European markets.Formed in 2002, Pro-Lite is a sup-

plier of specialist equipment andservices with a technical focus on:instruments for measuring lightand the optical properties of mater-ials; lasers and related equipment;opto-mechanics and positioningequipment; and optics & opticalmaterials. Pro-Lite distributes lightmeasurement products made byLabsphere, Radiant Imaging,Ocean Optics and Konica Minolta inthe UK and Ireland.Formed in 2003, SphereOptics

serves the German, German-speaking and Eastern Europeanmarkets with light measurement,near-infrared (NIR) spectroscopicand hyperspectral instrumentationas well as diffuse reflectance materials and optical standards.SphereOptics distributes the products made by Labsphere, Radiant Imaging, ASD and HeadwallPhotonics. SphereOptics GmbH was originally the German sister ofUS firm SphereOptics LLC, whichwas acquired by fellow US firm Labsphere in 2010 (resulting inSphereOptics GmbH distributingthe integrating sphere and lightmeasurement products of the com-bined Labsphere/SphereOptics). Pro-Lite and SphereOptics share a

common focus in serving the light-ing, LED, display and automotivemarkets with photometric, spectro-radiometric and goniophotometrictest equipment for luminaires, solidstate lighting, lamps, displays andLEDs. The combined firm’s aim is tobecome the premiere companyproviding light measurement and

optical instrumentation to the Euro-pean market.To support clients in the LED and

solid-state lighting markets, in2010 Pro-Lite doubled the size ofits premises at the Cranfield Uni-versity Innovation Centre (near Milton Keynes, UK) and investedmore than £100,000 ($160,000,€115,000) in a photometric test &demonstration laboratory. Thedark-room facility is equipped with25cm and 1m Labsphere integ-rating sphere spectroradiometersystems, a luminaire gonio-photometer system and imagingphotometer from Radiant Imaging,a range of luminance and illumi-nance photometers and colorimetersfrom Konica Minolta, and severalirradiance spectroradiometers fromOcean Optics. Pro-Lite also provides a rental

service for the hire of photometersthat are used to measure the'brightness' and colour of displaysand all manner of light sources(lamps, luminaires, LEDs etc). Thephotometric measurement andequipment hire services will now beavailable to customers of Sphere-Optics in Germany, as well as inGerman-speaking and EasternEuropean countries.“There are strong synergies

between our two companies whichwe will exploit to better serve ourcustomers working with LEDs, displays and lighting,” says Pro-Litedirector Robert Yeo. SphereOptics’ Christina Boehme will

continue to serve as general managerand minority shareholder underPro-Lite’s ownership. “We servesimilar markets with the same lightmeasurement products from Lab-sphere and Radiant Imaging,” notesBoehme. “Pro-Lite’s technical lead-ership will support the growth of theSphereOptics business in Germanyand Eastern Europe,” she adds.www.pro-lite.co.uk

Light measurement firm Pro-Litetakes majority stake in Germany’sSphereOptics

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

36

InnoLas expands tosupply laser systemsfor 300MW of thin-film PV production Laser processing system makerInnoLas Systems GmbH ofKrailling, Germany has wonorders for process equipment forthin-film photovoltaic (PV) mod-ule manufacturing with a totalannual production volume outputof 300MW. Several customersworldwide have ordered IMPALAseries machines focusing on CIS(copper indium selenium) andCIGS (copper indium gallium diselenide) processing. The IMPALA is a large-format

laser processing system (with apanel size of up to 1600mm).Multi-laser operation and parallelprocessing ensure especiallyhigh-throughput using severaltool heads. InnoLas says thatinnovative handling concepts andthe option to apply through-the-glass processing minimize dam-age and soiling during thestructuring process. To ensure that its production

capacity remains sustainable withits continuously growing ordervolume, InnoLas has opened afurther production facility closeto its headquarters, adding400m2 for office space and1500m2 for manufacturing (dou-bles its existing production area,since the main building alreadyhouses 1500m2 for production aswell as a further 1000m2 foroffices and laboratories). “In view of full order books and

expansive business develop-ments, this step was merely alogical consequence,” says salesmanager Alejandro Becker. “Itguarantees that we can continueto process customer enquiriesand implement further techno-logical developments fast, flexi-bly and reliably,” he adds. http://innolas-systems.com

IN BRIEF

Page 37: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

WWW.TECDIA.COM

Sales Offices

USA 2700 Augustine Drive, Suite 110, Santa Clara, CA 95054, U.S.A TEL. +1-408-748-0100 E-MAIL. [email protected]

TAIWAN 9F-2, No. 207, Fu Shing Rd, Tao Yuan City 330, Taiwan TEL. +886-3-337-0550 E-MAIL. [email protected]

KOREA Room 517, Samho Park Tower, Ingye-Dong Suwon, Gyunggi-Do, Korea, 442-070 TEL. +82-31-308-6500 E-MAIL. [email protected]

CHINA Tower A #910 City Center of Shanghai, 100 ZunYi Road, Shanghai P.R.C.200051 TEL. +86-21-6237-2208 E-MAIL. [email protected]

JAPAN 46th F, Sunshine60, Higashi Ikebukuro 3-1-1, Toshima-ku, Tokyo, Japan 170-6046 TEL. +81-3-3988-3500 E-MAIL. [email protected]

For more information please contact our nearest sales office or visit our website.

For SiC, Sapphire WafersTD-3YGP

For Semiconductor Wafers(GaAs, etc.), Glass Wafers

TD-420For Semiconductor Wafers(InP, etc.)

TD-3PMostly for silicon, GaAs, or glass wafers

TD-4LFor Semiconductor Wafers(Si, etc.)

TD-4PBMostly for silicon, GaAs, or glass wafers

TD-8DFor Semiconductor Wafers(Semi-Custom)

TD-2PFor Developmental Use(Semi-Custom)

TD-8P

TECDIA's Scribing Tool Selection

.... CUSTOMIZED SHANKS UPON REQUEST!

Flawless, The Ultimate Sharpness, Tecdia ScribersFlawless, The Ultimate Sharpness, Tecdia Scribers

Page 38: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

For its fiscal second-quarter 2011(to end February), LED chip andcomponent maker SemiLEDs Corpof Boise, ID, USA (which has chipfabrication facilities in Hsinchu Science Park, Taiwan) has reportedrevenue of $9.96m, up 30% on$7.68m a year ago but down 23%on $13m last quarter (and down onguidance of $10.5–12.5m). After rising from 41% a year ago

to 51% last quarter, gross marginhas fallen to 23%, impacted bypreviously announced pricing pres-sure, lower capacity utilization,change in product mix, and a shortage in metal-organic chemicalcompound. SemiLEDs said in January that a particular customer(focused on the cell-phone cameraflash market) had gone to a high-performance competitorbased solely on price, and thatSemiLEDs had chosen not to pursuethe business as it would havedepressed margins further if thecontract had been taken up. On a non-GAAP basis (excluding

foreign exchange losses), net losswas $0.74m, compared to netincome of $3.9m last quarter and$1.93m a year ago. Nevertheless,cash flow from operations rosefrom $1.6m last quarter to $1.7m.

Also, the initial public offering inDecember generated net proceedsof $95.5m (before deductingexpenses of $3.4m), boosting cash and cash equivalents duringthe quarter from just $9.9m to$102.6m. SemiLEDs is using proceeds for expanding productioncapacity in Taiwan (doubling byAugust), R&D expenses related toLED chip production based on 6” wafers, and general corporatepurposes (including working capitaland capital expenditures). “While we believe the long-term

market opportunity of LEDs has notchanged, the quarter did not meetour expectations relative to revenue,EPS or gross margin due to theaggressive, competitive pricingenvironment and our decision topreserve our market share,” sayschairman & CEO Trung Doan.“Efforts to improve our gross margininclude taking actions to improveour yield, transition to 4” wafers in our Taiwan facility, as well asramping volume production of ournew high-brightness LED chip, I-Do[launched in late March], whichdelivers up to 135 lumens per watt,enabling us to provide our cus-tomers with a very cost-effectivelighting solution,” he adds.

For its fiscal third-quarter 2011 (to end May), SemiLEDs expectsrevenue to fall further to $6–7m,but gross margin should rebound to 25–30%. Founded in 2005, SemiLEDs’ pro-

prietary blue, green and ultraviolet(UV) ‘metal vertical photon’(MvpLED) chip design features avertical LED structure on apatented copper alloy base (afterremoval of the sapphire substrate)that provides what is claimed to bethe best thermal resistance on themarket — allowing better heatremoval than for LEDs that retainthe sapphire substrate — as well aselectrical and optical advantagessuch as greater luminous efficacyand longer lumen maintenance. The firm fabricates LED chips for

sale mainly to chip-packaging cus-tomers in China, Taiwan and otherparts of Asia such as Korea, or todistributors who sell to packagers.It also packages some of its chipsinto LED components for sale todistributors and end-customers inselected markets (mainly for general lighting applications,including street lights and commer-cial, industrial and residential lighting). www.semileds.com

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

38

SemiLEDs revenue drops due to pricing pressure Yield improvement, 4” transition and 135lm/W ramp to boost margin

SemiLEDs has launched a newchip in its ultra-high-brightnessLED product portfolio. Entering high-volume production

in April, I-Do is the second genera-tion of SemiLEDs’ I-Core AK seriesof LEDs, which are designed toprovide further improvements inbrightness, reliability and overallefficiency. The firm says that the I-Do LED

features a new design withimproved reliability, optimized cur-rent distribution and lower forwardvoltages at high current operationof 700mA and 1A. It adds that the

I-Do is not only more reliable butalso significantly brighter, as thedesign produces improved internalquantum efficiency. In a white lightpackage, a 45 mil I-Do chip candeliver up to 135lm at 350mA witha correlated color temperature(CCT) of 5000–7000K, more thana 10% improvement over the previous generation. With increased efficiency, reliability

and what is claimed to be the lowestthermal resistance in the market,SemiLEDs says that the I-Do chipsuits small-footprint, high-per-formance applications.

SemiLEDs launches 135 lumen per Watt LED chip I-Do

Photo of SemiLEDs’ new I-Do chip.

Page 39: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

www.evatecnet.com

LEDs • P O W E R D E V I C E S • T E L E C O M S • P H O T O V O LTA I C S • M E M S • E VAT E C - T H E T H I N F I L M P O W E R H O U S E

LIGHTING THE WAY IN LED

THIN FILM DEPOSITION

AND ETCH

Visit us at

Booth 405

CS Mantech

May 16- 19

If you are looking for damage free, high throughput thin fi lm

processes in LED manufacturing, Evatec’s ideas light the way. From

patterning of sapphire to deposition of ITO, contact and barrier

layers, AR coatings, and Distributed Bragg Refl ectors (DBRs)

we deliver custom batch and cluster solutions with enhanced

evaporation, sputter, PECVD and ICP etch.

Click here to nd out more about Optoelectronics

Page 40: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Philips Lumileds of San Jose, CA, USAsays that its latest illumination-gradeLED reduces the engineering effortrequired for new solutions anddelivers Freedom From Binning.The LUXEON A shares the LUXEONRebel ES platform and footprint andincorporates a 2mm2 thin-film flip-chip and Lumiramic phosphortechnology to deliver what is claimedto be the highest-quality light atcorrelated color temperatures (CCTs)of 2700K and 3000K with high effi-cacy (100 lumens/Watt at 350mA)and light output (175lm). Lumileds says that its unique chip

and phosphor technology allowscolor targeting that ensures allLUXEON A emitters fall within a single 3-step MacAdam ellipse onthe black-body curve. The quality,uniformity and consistency of thelight from LED to LED relieves lumi-naire manufacturers and lightingdesigners of many of their long-held concerns about the suitabilityof LED technology for illuminationapplications, adds the firm.Lumileds says that ‘Freedom From

Binning’ gives confidence in thequality of light, and Lumileds’ hottesting pays dividends for engi-neers designing LED solutions. TheLED industry has long tested andspecified products at an LED chipjunction temperature of 25°C. It iswell known that, in applications likedown-lights and retrofit lamps, LEDjunction temperatures are morelikely to be near 85°C, so luminairemanufacturers have had to makemany complex calculations todetermine actual light output, effi-cacy and color point of their prod-ucts. In contrast, all LUXEON ALEDs are hot-tested and specifiedat a junction temperature of 85°Cthat represents real-world operat-ing conditions. Providing the actualoperating condition informationmeans that there’s no ambiguity,performance hyperbole or wastedengineering effort, simplifying theprocess, says Lumileds.

“Our LUXEON LEDs are deliveringperformance that does not requiresacrifices in the quality of light forhomes, restaurants, shops, andother applications in pursuit ofenergy efficiency and reducedoperating costs,” says Steve Barlow,

Philips Lumileds’ senior VP sales &marketing.LUXEON A is available for sam-

pling and design-in activities now,and will enter high-volume produc-tion in early May.www.philipslumileds.com

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

40

Lumileds adds hot-tested LUXEON A illumination-gradeLED with Freedom From Binning

Freedom From Binning delivers the entire LUXEON A distribution within asingle 3 MacAdam Ellipse space at each of the targeted color temperatures(2700K and 3000K).

Royal Philips Electronics hasreported first-quarter 2011 salesof €5.26bn ($7.48bn), up 6% ona year ago. Of that, the lightingdivision contributed €1.9bn. In particular, sales of packaged

LEDs have grown strongly,accounting for 7% of the division’srevenue of €7.6bn over the past12 months — equivalent to about€530m, up about 50% on theprior year, and indicating the rapidrate of growth of the industry, aswell as the success of the PhilipsLumileds subsidiary (which isranked among the world’s top sixLED makers).

In addition, Philips’ LED-basedlamps and luminaires contributedabout €600m in revenue over thepast 12 months, so total sales ofLED-related products were €1.1bn.Since then, first-quarter 2011sales of all LED-related productswere up 27% on a year ago andalmost three-fold on 2009. Philips reckons that the global

illumination market was€50–55bn in 2010 and will rise ata compound annual growth rate of8% over the next five years to€80bn by 2015, due mainly tosolid-state lighting (growing tomore than half of the market).

Philips Lighting boosted by LED business

3045K

2725K

blackbodylocus

2700KANSI

3000KANSI

0.440

0.430

0.420

0.410

0.400

0.390

0.380

0.3700.400 0.410 0.420 0.430 0.440 0.450 0.460 0.470 0.480 0.490 0.500

x

y

Page 41: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

41

Philips Lumileds of San Jose, CA, USAsays that it has significantly improvedits SnapLED, which it claims is theautomotive industry’s most widelyused LED for signaling applications. With a continued focus on reliability

and performance, engineers haveraised junction temperature maxi-mums, narrowed color binning tolevels imperceptible by the humaneye, dual-binned for drive currentto simplify the design process andmake it easier to meet critical regulation requirements, andimplemented a new Multi-Environ-ment Over Stress Test (MEOST)regimen to ensure that SnapLED isthe most reliable automotive LED. “A ‘build out of the box’ approach

to turn, tail, and stop lighting appli-cations on vehicles is a major stepforward for the auto industry andsignificantly reduces engineeringand development costs,” claimsScott Kern, strategic & productmarketing. “We remove the needfor re-testing and screening, whichcan save our customers more than5% of operational costs, reduceequipment and manpower needs,speed production, and improve fin-ished goods yields,” he reckons.

SnapLED’s maximum junctiontemperature has been increased to135°C (the industry’s highest for amid-power LED, it is claimed),widening the design envelope andgiving engineers more flexibility intheir design efforts as they createnew lighting solutions. Flux bin sizes (which were already

quite small) have been reduced byas much as 67% so that flux varia-tions within a bin are imperceptibleto the human eye. Simultaneously,the forward voltage bin widths havebeen reduced by as much as 50%,making the use of low-cost, resis-tor-based driver circuits easier toimplement. SnapLED is also

designed to operate over a dynamiccurrent range of 30:1, allowing forsimplified, yet reliable, designs forboth stop- and tail-mode operation. LEDs in stop-tail signaling lamps

tend to operate at the extremes ofcurrent limits, and most have diffi-culty meeting the performance anduniformity requirements withoutexpensive electrical designs. WithSnapLED’s dual binning, flux ratiosbetween different current levels anduniformity across the entire dynamicrange are highly controlled. Thisprecision makes it easier to imple-ment a solution with confidence —without the need for re-testing LEDsprior to assembly — as the uniformityand flux performance are alreadynarrowly defined, says Lumileds. The new MEOST regime exceeds

the testing required by existingstandards for automotive signalingLED sources. It exceeds AEC-Q101,including simulations for extremeoperational conditions as well astests that far exceed datasheetguidelines and maximums.SnapLED LEDs should function reliably for the life of the vehicle,concludes Lumileds. www.philipslumileds.com

Lumileds improves SnapLED array for automotive lighting

Lumileds’ SnapLED array for a rearcombination lamp.

In March, Philips and its subsidiaryLumileds filed a complaint in fed-eral court in Santa Ana, CA, USAaccusing South Korean LED makerSeoul Semiconductor of infringingfive patents relating to LED tech-nology and asserting that one ofSeoul’s ‘fundamental’ semiconduc-tor structure patents is invalid. The Philips patents are:

US Patent 5,779,924, ‘Orderedinterface texturing for a light emit-ting device’; US Patent 6,274,924, ‘Surfacemountable LED package’;US Patent 6,547,249, ‘Monolithicseries/parallel LED arrays formedon highly resistive substrates’;US Patent 6,590,235, ‘High stabil-ity optical encapsulation and pack-

aging for light-emitting diodes inthe green, blue and near UV range’; US Patent 6,717,353, ‘Phosphorconverted light emitting device’.The accused products include

Seoul’s Acriche, Top View, HighFlux, Side View and Z-Power LEDs.Philips also seeks a declaratory

judgment that its own products donot infringe Seoul’s US Patent5,075,742 (‘Semiconductor structurefor optoelectronic components withinclusions’, directed to a structurewith plural layers in semiconductormaterial), and that this patent isinvalid. One of the layers includesstacked sub-layers, with each sub-layer having three-dimensional‘inclusions’ — improvedelectron–hole areas — and a narrow

bandgap. According to the patent,this structure overcomes certainproblems in III-V production. According to the complaint,

Philips has a reasonable apprehen-sion of being sued for infringementof the ’742 Patent because ofpress releases and public state-ments by Seoul calling the patent“fundamental to indium galliumnitride-based light emitting devicetechnology,” and characterizing arecent court decision as holdingthat it would be “impossible forLEDs that use InGaN in their activelayers” to avoid infringement ofthe ’742 Patent.www.greenpatentblog.com/wp-content/uploads/2011/04/Philips-Seoul-Complaint.pdf

Philips accuses Seoul Semiconductor of patent infringement

Page 42: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

42

For its fiscal third-quarter 2011(ended 27 March), Cree Inc ofDurham, NC, USA (which makesLED chips, lamps and lighting fix-tures as well as gallium nitride andsilicon carbide power-switching andRF/wireless microelectronic devicesand SiC substrates) has reportedrevenue of $219.2m. This is down6% on $234.1m a year ago and15% on $257m last quarter (and10–17% below the original guidanceof $245–265m). “Q3 results were in-line with our

revised lower targets for the quar-ter,” says chairman & CEO ChuckSwoboda. The drop is attributedmainly to lower sales of LED chipsand LED components. “The resultsreflect both our continued successin LED lighting and the challengesof managing the LED chip and components business through abusiness cycle with short lead-timesand low order visibility,” he adds.Cree said in late March that it hastaken longer to work through cus-tomer inventories than previouslyanticipated and pricing was lowerthan forecast, and that the LEDchip business was also weaker thantargeted due to more aggressivepricing and weaker demand. On a non-GAAP basis, gross margin

has fallen further, from 48.1% a yearago and 47.7% last quarter to 42.4%(below both its revised forecast of

43% and its original forecast of46%). This is attributed mainly tothe increased pricing pressure inthe LED chip product line. Likewise,operating margin has also fallenfurther, from 27.9% a year ago and26.5% last quarter to 14.9%. Non-GAAP net income of $30.1m

is down 41% on $51.3m a year agoand half last quarter’s $60.7m.Cash flow from operations hasfallen from $72.9m a year ago and$57.2m last quarter to $41.2m. So,despite capital expenditure beingpared further (from $66m a yearago and $64.7m last quarter to$62.8m), free cash flow hasdeclined further, from $6.9m a yearago and –$7.5m last quarter to–$21.7m. This contributed to cashand investments falling by $37.7mduring the quarter, from $1110.8mto $1073.1m (although this is stillup on $1066.4m a year ago). In early March, Cree announced a

two-year extension of its strategicagreement with Zumtobel LightingGmbH (signed in 2008). Since theend of the quarter (in early April)Cree signed a comprehensive,worldwide patent cross-licenseagreement with Germany’s OsramGmbH (designed to accelerategrowth of the LED lighting market). In late February, Cree launched its

XLamp MT-G LED (claimed to be thefirst LED to deliver the performance

required for high-output halogenretrofit applications such as35–50W MR16 replacement bulbs).Meanwhile, in early April, Creelaunched the XLamp XM-L EasyWhiteLED (a lower-cost solution for 25Wreplacement lamps through combining the firm’s color mixingtechnology in a single high-output,small-footprint package). Also, during the quarter, Cree set what isclaimed to be a new industrybenchmark for color renderingindex (CRI) with the commercialrelease of its LBR-30 LED lamp. “We continue to be a leader in LED

lighting and remain confident weare on the right track as we lookforward to further disrupting themarket and leading the LED lightingrevolution in the years ahead,”believes Swoboda. Swoboda said in late March that

the LED components business“appears to be turning the corner...Despite the challenges we faced inQ3, distributor sell-through hasimproved and we target solidgrowth”. For fiscal fourth-quarter2011 (ending 26 June), Creeexpects revenue to rebound to$225–245m, but gross margin tofall further to 40%. Non-GAAPoperating expenses should rise by$0.5m to $61m. Non-GAAP netincome is targeted to be $28–35m. www.cree.com

Cree’s profit halved as revenue falls 15% June quarter to see rebound as LED component business recovers

Cree has announced commercialavailability of the LBR-30 LEDlamp, which is aimed at replacingincandescent lamps commonlyused in tracks, commercial andresidential recessed downlights. Due to its high color rendering

index (CRI) of 94, the warm-whiteLBR-30 is optimized for appli-cations where high color accuracyis essential, including restaurants,retail stores, groceries and muse-ums. Powered by Cree TrueWhite

technology, it delivers 600 lumens,equivalent to a 60W incandescentBR30, while using only 12W ofinput power. The lamp is designedto last 50,000 hours in open fix-tures, which can provide additionalsavings from reduced re-lampingand maintenance costs. “The LBR-30 lamp’s superior

blend of incandescent-like colorand efficacy delivers a true no-compromise solution for both general and accent lighting,” says

David Turner, Cree director of mar-keting, LED Lighting. “This high-performance, retrofit lamp quicklyinstalls into track or recessed fixtures and is available in flood orwide flood distributions, givingcustomers the flexibility to choosethe most appropriate solution fortheir lighting needs.” The Cree LBR-30 is sold through

Cree LED Lighting sales channelsand is currently shipping in volume. www.CreeLEDLighting.com

LBR-30 LED lamp targeting tracks and recessed downlight fixtures

Page 43: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC,USA has announced the first light-ing-class LED component that com-bines the high light output andsmall footprint of its XLamp XM-LLED package with the firm’s uniqueEasyWhite color mixing technology. Cree says that its new XM-L

EasyWhite LEDs reduce the costand complexity associated with binning and color mixing, as well asusing multiple discrete LEDs. Thiscan enable users to reduce theprice and improve the performanceof LED solutions for compact direc-tional lighting, such as 20–25Whalogen MR, PAR and B10-style(candelabra) replacement lamps. In these applications, previous

single-LED solutions were not ableto achieve enough light output tomatch the incumbent incandescentproducts, says Cree. Traditionalmulti-LED configurations compli-cate the overall system design andhave the added disadvantage of notemulating the look of a light bulb’sfilament. The XM-L EasyWhite LEDenables a single-LED component todeliver the performance and design

simplicity needed for 25W replace-ment lamps, the firm claims. At just 4W of power, XM-L

EasyWhite LEDs produce up to 340 lumens at an operating tem-perature of 85ºC in warm white(3000K) in a single component.Like the firm’s XLamp MT-G LEDs,XM-L EasyWhite LEDs are charac-terized at 85ºC, allowing users toaccurately select them at a specificcolor temperature. They are alsoavailable with what is claimed to bethe industry’s tightest LED-to-LEDcolor consistency, replicating theuniformity of incandescent lightbulbs. “XM-L EasyWhite LEDs give

customers the best of both worlds:the color consistency of an

EasyWhite array in the compactsize of a discrete component,” saysMike Watson, Cree’s senior director,marketing and product applications,LED Components. “In 2009, Creewas the first LED manufacturer toeliminate binning for LED compo-nents with our innovative Easy-White color mixing technology,” he adds. “XM-L EasyWhite LEDs,along with the recently introducedXLamp MT-G EasyWhite LEDs,accelerate the adoption of LEDlighting into applications tradition-ally addressed by energy-wastinghalogen sources.” XM-L EasyWhite LEDs are avail-

able in 2-step MacAdams Ellipsecolor points. They are also availablein either a 6V or a high-voltage 12Vconfiguration, enabling the use ofefficient, small and low-cost drivers.They also have the same low-profile dome and 5mm x 5mm footprint as the current XM-L LEDfamily. XM-L EasyWhite LEDs are available

in both standard CRI and high-CRI(minimum 85 and 90) versions atmultiple color temperatures. www.cree.com

Cree’s XM-L EasyWhite LED lowers cost for 25W replacement lamps

New Xlamp XM-L EasyWhite LED.

Cree of Durham, NC, USA haslaunched the LMH6, a high-lumenLED module designed to furthersimplify lighting design, reduceoverall cost, and accelerate time-to-market for customers inEurope and Asia. Featuring Cree TrueWhite Tech-

nology, the LMH6 module targetscommercial applications wherehigh efficacy, brightness and lightquality are critical, such as restau-rants, retail, airports, schools andhospitality lighting. “The LMH6 module is designed

with the needs of the 230V European and Asian lighting markets in mind,” says ScottSchwab, product line manager,

Cree LED modules. “We areenabling our customers to addressthe 150mm and 200mm downlightmarket with beautiful, energy-efficient lighting offering the high-est quality and efficacy available inthe industry,” he claims. Adding to its existing LMR2 and

LMR4 LED modules, the LMH6 isthe first high-lumen product inCree’s fully integrated LED modulefamily. Designed to last at least50,000 hours, the LMH6 delivers2000 lumens at 74 lumens per wattor 2900 lumens at 78 lumens perwatt. It is available in correlatedcolor temperatures (CCTs) of3000K and 4000K, both with acolor rendering index (CRI) of 90.

Fully integrated Digital Address-able Lighting Interface (DALI) dimming allows greater flexibilityand control compared to traditionaldimming systems, Cree claims.Integrated driver electronics,optics and primary thermal man-agement result in a drop-in readysolution and locking tabs that cansimplify the design of additionaltrim kits, the firm adds. The 230V LMH6 module complies

with multiple international standards and is RoHS compliant.Sample evaluation kits are avail-able now, and production quanti-ties are available with standardlead times. www.cree.com/modules

Cree launches high-lumen LED module for 230V Europe and Asia

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

43

Page 44: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

44

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC, USAand LED and lighting manufacturerOsram GmbH of Munich, Germanyhave signed a comprehensive,worldwide patent cross-licenseagreement, underscoring “eachfirm’s commitment to speeding theadoption of LED lighting whilerespecting the value and impor-tance of each other’s intellectualproperty.” The agreement comprises the

mutual licensing of patents fromboth parties (including Osram OptoSemiconductors GmbH of Regens-burg, Germany as well as the former LED Light Fixtures Inc ofMorrisville, NC, USA, acquired byCree in March 2008). The agree-ment addresses all stages of thevalue chain, including inorganicblue LED chip technology, whiteLEDs and phosphors, packaging,LED luminaires and lamps, and elec-tronic LED lighting control systems.

“Over the last two decades, wehave developed innovative, energy-efficient LED lighting technologyand have protected this technologyunder international intellectualproperty laws,” says Cree’s chairman & CEO Chuck Swoboda.“This agreement can enable ourcustomers to accelerate the LEDlighting revolution,” he adds. Cree recently announced a similar

broad cross-license agreement withPhilips and has existing patentagreements with Japanese LEDmakers Nichia and Toyoda Goseiregarding LED technology. Osram says that the agreement

with Cree continues its own policyof concluding patent cross-licenseagreements with other large LEDmakers. In recent years, Osramhas entered into similar agree-ments with other firms in the LEDindustry including Nichia, Philipsand Toyoda Gosei. Osram says thatsuch agreements accelerate the

spread of LED technology in allapplication fields, and help compa-nies in the development, productionand marketing of new products bymitigating the risk of inadvertentlyinfringing other firms’ patent rights.Users of Osram’s and Cree’s LEDproducts hence benefit by beingstrongly guarded against adverseeffects caused by potential patentdisputes about these products. Osram says that, with 8000

patents and patent applications, itholds a strong position regardingintellectual property in LED technol-ogy. “For all companies involved,the respectful management ofintellectual property is essential forthe smooth development of LEDtechnology,” comments Osram’schief operating officer Martin Goet-zeler. “The agreement with Cree isan important step in this process,”he adds. www.cree.com www.osram.com

Cree and Osram sign LED patent cross-license agreementDeal covers blue LED chips, white LEDs and phosphors, packaging,luminaires and lamps, and lighting control systems

Cree has announced full availabilityof what it claims is the industry’sfirst lighting-class LED array thathelps to reduce overall system costby combining superior efficacy,ease-of-design and ease-of-manu-facture. The XLamp CXA2011 LEDarray is designed to deliver thesmooth light output and consistentcolor that is needed for applicationssuch as omnidirectional bulbs ordirectional downlights. “The CXA2011 LED has been

incredibly easy to work with,enabling us to create very high-performance products,” says JasonLee, president of lighting firmGama Illuminer of Zhongshan City,Guangdong, China. “It gave us aplug-and-play solution with theright combination of brightness,efficacy and color consistency withreal-world 85ºC specifications.

Creating a design with CXA2011was simple, and manufacturingwill be underway in record time.” Easy-to-use screw-mounts sim-

plify the manufacturing and designprocess by eliminating the need forcomplex design-specific engineering

and reflow soldering. “Combiningfast and flexible system design withease-of-manufacturing, theCXA2011 LED array helps cus-tomers quickly create a broadrange of LED-based designs,” saysMike Watson, Cree’s senior directorof marketing, LED components.“Lower system cost and quickertime-to-market are keys to acceler-ating the LED lighting revolution.” The CXA2011 LED array delivers

up to 4000 lumens at an operatingtemperature of 85ºC (1A, 5000K)in a single component. It is avail-able in 2-step and 4-step EasyWhiteoptions, delivering what is claimedto be the industry’s tightest LED-to-LED color consistency (reducingsystem complexity and makinglight source selection as easy asspecifying a color temperature).http://cxa.cree.com/cxa20.html

Cree claims brightest, most efficient lighting-class LED array

Cree’s new XLamp CXA2011 LED.

Page 45: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

45

Osram OptoSemiconductorssays that, whenthe advertisinglight-boxes inSingapore’sChangi Airportwere to switchaway fromfluorescent T8tubes underREVOLITE’senergy-savingprogram, its Golden DRAGON Plus LEDs were chosen tobe the light source by LED back-light application specialistREVOLITE. It was found that over the last five years, afterreplacing the old tubes and installing REVOLITE’s Dioback-lit lightbox system with these LEDs, maintenancecosts were reduced by as much as 90%. These environ-mentally friendly light-boxes also brought about 73%energy savings. The Changi Airport is a busy hub, with over 98 airlines

flying to 205 cities around the world. It is currently handling5000 arrivals and departures every week and servicingover 37 million passengers a year. The low maintenancerequirement of the LED light-boxes is a must for Changi tominimize disruption caused to the Airport’s operations.“REVOLITE has installed and maintained over 200 LED

advertising light-boxes in the Airport for over 5 years todate. With Osram’s LED technology and our experiences inLED back-light applications, we are able to provide back-light LED modules which can fit into any size configuration,allowing customization of the lighting requirement in avariety of light-box sizes. Furthermore, the thickness ofthe light-box can be reduced from 30cm to 12cm, which isa lot more aesthetic. We are extremely excited as thegreat leaps in LED technology in recent years has allowedus to provide an ROI as quick as 1–2 years for our clientstoday,” said Calvin Lim, director of REVOLITE.“These advertising light-boxes in Changi Airport, equipped

with Osram’s Golden DRAGON Plus LEDs, prove that theLEDs have exceptionally good quality, high reliability andsuperior lighting performance. In addition, the LED’s uni-form brightness and colour meet the requirements of thedemanding advertising industry,” said Kai-Chong Cheng,marketing director of Osram Opto Semiconductors Asia Ltd. The retail digital signage market is growing rapidly as

public spaces are dotted with advertising and communica-tion billboards. According to iSuppli, this market will growat a CAGR of 26.8% by 2013. With low energy consump-tion and low maintenance, the trend is for LEDs to replacetraditional light sources. As such, a much higher growthrate for LED retail signage market is expected.www.osram-os.com

REVOLITE’s back-light with GoldenDRAGON Plus LEDs in an energy savingadvertising light-box solution.

Advertising lighting with 90%maintenance cost reduction

Page 46: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

On behalf of Osram Opto Semicon-ductors GmbH of Regensburg, Ger-many, LED automotive directorPeter Knittl has accepted an awardfor innovation at the 17th annualAutomotive News PACE Awardsheld at a ceremony at the Max M.Fisher Music Center in Detroit, MI. The PACE (Premier Automotive

Suppliers’ Contribution to Excel-lence) Award is presented by Auto-motive News, Ernst & Young LLPand Transportation Research Cen-ter Inc (TRC Inc). Osram’s OSTARHeadlamp LED won an award in theProduct category, which honorsinnovation in new products, compo-nents or systems that have signifi-cant market impact and act as‘game changers’ in the automotiveindustry. The OSTAR Headlamp LED uses

the auto industry’s 1st ThinGaNlight-emitting diodes with phosphorlayer transfer. It was developedwith a unique integrated shutterfunction to control the output of thelight, eliminating the need formechanical shutters and projectinga clearly defined legal beam patternonto the road without the loss oflight commonly associated withmechanical shutters.Osram Opto claims that the

OSTAR LED platform of productsprovides more styling freedom,reduces energy use, is more reliableand generates more light than conventional headlamps. It is avail-

able in five chip configurations —1x1, 1x2, 1x3, 1x4 and 1x5 — withprecisely packaged chip spacing toachieve a consistent and uniformbeam pattern critical to the opticaldesign. Typical light valuesachieved for each LED chip are160lm at 700mA. Depending on thevariant and operating current, val-ues between 125lm and 1100lmare achievable. An innovative conversion process provides aseamless white color impression atmaximum brightness levels. The firm says that, with its scala-

ble brightness, the OSTAR head-lamp suits all headlamp functions.In the top-of-the-line Audi A8sedan, OSTAR LEDs have advancedheadlamp lighting beyond simplelow and high beams, it is claimed.They provide all of the forwardlighting functions, including LEDheadlamp for nighttime driving,low-beam light sources for daytimerunning lights (DRL), and speciallighting functions such as motor-way beams, cornering lights andall-weather lights. “LEDs alreadymeet all the requirements of modern automobile lighting and are setting new standards in manyrespects, and the implementationof our latest OSTAR Headlamp LEDforward lighting technology intothis vehicle is a prime example,”comments Knittl. www.automotivenews.com/pace www.osram-os.com

Osram’s OSTAR Headlamp LED receives PACE Awardfor Automotive Innovation in forward headlamp control

PACE Award for innovation forOsram’s OSTAR Headlamp LED incontrolling forward headlampfunctions (such as in the Audi A8sedan, bottom).

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

46

Mouser Electronics Inc of Mansfield,TX, USA, a design engineeringresource and global distributor forsemiconductors and electroniccomponents, has announced theEuropean expansion of its agree-ment with Osram Opto Semicon-ductors GmbH of Regensburg,Germany.Agreed at the Embedded World

Show in Nürnberg, Germany at the

end of February, the extended dis-tribution partnership strengthensMouser’s European line card byproviding its customers withOsram Opto’s portfolio of LEDs fordiverse applications. Mouser saysthat the expansion fits its strategicemphasis on supplying innovativesolutions to design engineers andsmall production buyers.“We have enjoyed great success

with Mouser as our catalog distrib-utor in America, Canada and Mexico,” says Stephan Kreusel,director Distribution Europe andEmerging Markets for Osram Opto.“We support Mouser’s strategy ofbeing the one-stop source fordesign engineers and small pro-duction buyers. It fits our owncompany’s mission of lighting thefuture,” he adds.

Mouser expands Osram Opto distribution into Europe

Light-emitting diodesalready meet all therequirements of modernautomobile lighting andare setting new standardsin many respects

Page 47: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

As part of China’s ‘10 cities 10,000lights’ project, three districts in Beijinghave been equipped with LED streetluminaires made by HBTechnologyCAST Group using OSLON SSL LEDsfrom Osram Opto Semiconductors. LED modules for street luminaires

with an output of 120W or 180Wnow replace the conventional 250Wor 400W sodium vapour lamps,saving up to 50% in energy. This isof great importance for the highenergy consumption in Beijing, saysOsram Opto. In addition to energyefficiency, light quality also playeda major role in the decision for conversion. Since Dong Cheng,Chao Yang and Da Xing are urbandistricts bustling with life, good illumination was required toincrease safety for both drivers and pedestrians.Osram Opto claims that, among

1W-class LEDs on the market, itsOSLON SSL LEDs are the smallest(just 3mm x 3mm) and have thegreatest luminous efficacy, workingreliably and efficiently at high flux rates.

OSLON SSL LEDs have a beamangle of 80º (specifically 120º x80º for this application), suitingstreet lighting. “The LEDs meet allof our technical requirements, andwe have no problems integratingthem into the external lenses,” saysHBTechnology CAST Group’s man-aging director Bing Hu. “The resultis homogeneous and powerful illu-mination of the streets without lightpollution,” he adds. “The long lifes-pan of OSLON SSL LEDs also resultsin lower maintenance requirements,which might otherwise haveobstructed the flow of traffic and

generated additional costs.” Osram Opto says that, due espe-

cially to their capability for handlinghigh current loads, OSLON SSLLEDs can be used to realize particu-larly energy- and cost-saving light-ing solutions. At an operatingcurrent of 350mA, the light sourceachieves a typical brightness of110lm in ultra-white (5700 and6500K). At a color temperature of3000K it achieves a typical effi-ciency of 82lm/W and a brightnessof 92lm. Also, brightness is asmuch as 156lm (warm white) at anoperating current of 700mA. “Thisallows us to realize lighting appli-cations requiring a lot of light byusing fewer LEDs,” says Kai-chongCheng, marketing director atOsram Opto Semiconductors inAsia. “If, as planned, Beijing con-verts all of its street lighting to LED,the savings potential is enormous.” China is the leading market in LED

street lighting, representing about50% of applications worldwide. Thepilot project in the capital is to befollowed by other cities.

LED street lighting in Beijing.

OSLON SSL LEDs light streets in three Beijing districts

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

47

Osram Opto Semiconductors saysits OSLON SSL LEDs have beeninstalled in solar-powered streetlamps in the extreme weatherconditions of Ningxia, a centralprovince in China close to Mongolia.LED street lighting is rapidly replac-ing traditional lighting fixtures sinceit can be applied in various condi-tions, says the firm. In particular,OSLON SSL LED lamps withstandthe challenging near-desert climateand provide stable performanceand uniform illumination, fulfillingthe requirements set out by thecity and its planners, it adds. The 174 new street lamps line the

2.6km of Da Xue Road in ShizhuishanCity. The city is close to the GobiDesert, with an average of 28 daysof fine weather per month and greattemperature difference betweenday and night, so the local govern-

ment decided to use solar energyto power the new street lamps.“By using solar energy alone, the

street lamps need to be of verylow power consumption,” says Xin-jun Dang, managing directorof street-lamp installer GBT Technologies (Shenzhen) Ltd.“With Osram’s OSLON SSL LED aslight source, our solar-poweredstreet lamps are estimated to savemore than RMB300,000 per yearin energy cost alone and reduceCO2 emission by more than 53 tonsannually,” he adds. “We arepleased to work with Osram, whichprovides an excellent applicationsupport to us. As the weather conditions are rather extreme,Osram’s LED simulation reportsalso help us make improvementsto the designs before the fixturesare installed.”

The 1 W LEDs, measuring just3mm x 3mm, deliver what is said tobe outstanding efficiency at allcurrents. Combined with the thermal management and stablequality of the LEDs, the street lampscan perform well even when thetemperature goes down to –20°C. To further save energy and to

provide comfortable illumination tothe road, light sensors areinstalled to control the luminance.On a normal day, the lamps willwork in full light for 5 hours andwill be turned down to half light(half power) until dawn. On otheroccasions when light conditionsvary, the luminance can beadjusted accordingly. Each lampwill hence consume only 80W,which is only one-fifth of a high-pressure sodium (HPS) lamp.www.osram-os.com

Solar-powered LED street lamps for near-desert conditions

Page 48: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

With the aim ofexpanding andintensifying eco-nomic ties betweenBavaria and Russia(its largest tradingpartner in EasternEurope), as part of‘German week’ inSt Petersburg adelegation fromthe Bavarian Min-istry of EconomicAffairs (focused onsustainable powergeneration andsupply) included atour of Optogan’sLED lamp produc-tion plant (whichwas opened last November by theRussian Federation’s deputy PrimeMinister Sergey Ivanov, and visitedin March by Russia’s PresidentDmitry Medvedev). Optogan’s chip technology was

created by Vladislav Bougrov andMaxim Odnoblyudov, who werePhD students of Nobel prize winnerand Russian Academy of Sciencemember Zhores Alferov at the IoffePhysico-TechnicalInstitute in St Petersburg inthe 1990s beforeworking at Finland’s HelsinkiUniversity ofTechnology, thenin late 2004(together withAlexey Kovsh)founding Opto-gan Oy in Espoo,Helsinki todevelop GaN-based LEDs. In addition to Optogan having an

R&D facility in Helsinki, sincefounding Optogan GmbH in Ger-many in 2005 it has establishedepitaxy and chip R&D plus a pilotline in Dortmund and, last year, itsFab1 chip fabrication plant in Land-shut. After founding CJSC Optogan

in Russia in 2009, last May itacquired the plant in St Petersburg,where it has established LED pack-aging as well as luminaire assemblyproduction lines. During the Bavarian delegation's

tour, Optogan Group’s foundersdescribed recent developments inLED lighting and outlined theirdevelopment aims for the Russianand global market. “Optogan is a prime example of a

Bavarian–Russian high-tech com-pany,” commented Dr JohannSchleicher, permanent secretary ofthe Bavarian Ministry of EconomicAffairs, Infrastructure, Transportand Technology. “The manufacturingplants in Germany’s Bavarian Landshut and St Petersburg in Russiaare, through Optogan’s presence,economically and technologicallyconnected,” he added. “Our offices complement each

other,” notes Optogan GmbH’s CEOHans Peter Ehweiner. “Due to aRussian modernization offensive,the primary energy consumptionshould be reduced by 40% by theend of 2020. With the introductionof LED technology, Optogan is ableto make a decisive contribution,” he concluded. www.optogan.com

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

48

Bavarian Ministry of Economic Affairsvisits Optogan in St Petersburg Marktech adds

650nm PointSource LED Marktech Optoelectronics ofLatham, NY, USA, whose capabil-ities span wafer growth throughfinished packaging and customsolutions, has added a 650nm-wavelength emitter to its high-accuracy Point Source LED series. The firm says that an unob-

structed, narrow beam of lightoutput and newly improved nar-row spectral bandwidth are keyfeatures to the Point Sourceproduct line, which suits criticalsensing applications includingencoders, linear or edge-posi-tioning sensors and medicalsensing equipment. The unobstructed, radiated

beam pattern is made possible bymasking the die and relocating thetopside electrode. By eliminatingthe ‘dark spot’ typically associ-ated with conventional LEDs, thePoint Source LED series yields farmore accurate results in sensingapplications, Marktech claims.For applications using thin fiber-

optic cable, the unobstructed dieand narrow beam allow the fiberto be positioned in close proximityto the chip for improved accuracy.Also, a ‘near-parallel’ beam of lightcan be achieved with an internalball lensing option mounted tothe top of the LED die.In addition to standard product

offerings, Marktech has the in-house ability to customize die andcomponent packaging dependingon the customer’s requirements.Die aperture windows are avail-able up to 200 microns. Standardpackaging options include TO-18and TO-46 metal can types aswell as miniature surface-mountceramic versions. Additionally, anumber of lensing options areavailable depending on the beamoutput desired. www.marktechopto.com

IN BRIEF

Optogan founder Maxim Obnoblyudov and Dr JohannSchleicher, permanent secretary of the BavarianMinistry of Economic Affairs, Infrastructure, Transportand Technology during the factory tour.

During theBavariandelegation'stour, OptoganGroup’sfoundersdescribedrecentdevelopmentsin LED lighting

Page 49: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED
Page 50: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Tokyo-based electronics firm SonyCorp has given an update on thestatus of its manufacturing opera-tions affected by the East Japanearthquake, tsunami and relatedpower outages of 11 March.As of 14 March, operations at ten

Sony Group sites and facilities hadbeen suspended. As announced on22 March, manufacturing operationsat three of these sites had sinceresumed or partially resumed. Now, manufacturing operations at

five further sites have also resumedor partially resumed. The latterinclude the fabrication plant of SonyShiroishi Semiconductor Inc inMiyagi Prefecture (close to Sendai)which makes lasers including bluelaser diodes for both Sony’s own

Blu-ray Disc and PlayStation3 prod-ucts as well as external customers. As also announced on 22 March,

operations at five manufacturingsites that were not directly damagedby the earthquake and tsunamihave been adjusted in response toplanned power outages and theavailability of necessary raw mater-ials and components. While the sit-uation varies according to product,Sony is responding to fluctuationsin material or component supply byadjusting production levels at thesesites, as well as at certain otherdomestic and overseas manufac-turing sites from time to time. Sony says that it will continue to

monitor the availability of raw materials and components, and

endeavor to maintain supplies ofany products affected by theadjustments by relying on existinginventory to the extent available. Italso plans to take further measuresas necessary, including reallocatingavailable materials and compo-nents among Sony Group compa-nies, using alternative componentsand expanding procurement chan-nels in order to restore full produc-tion capacity as early as possible.Sony says that it is continuing to

evaluate the full impact of theearthquake, tsunami and relatedpower outages (including theimpact of these production adjust-ments) on its businesses and consolidated financial results.www.sony.net

Sony Shiroishi laser fab partially resumes operation 8 out of 10 Sony plants now re-started after Japan earthquake

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

50

QD Laser Inc, which was founded in2006 based on more than 10 yearsof research on quantum-dot-basedoptoelectronic devices by FujitsuLaboratories Ltd and the Universityof Tokyo, has announced the com-mercial release of the QLD1061, asingle-mode distributed feedback(DFB) laser module emitting at awavelength of 1064nm. The firm says that semiconductor

lasers emitting in the near-infrared(NIR) wavelength band around1064nm have recently attractedmuch attention for many appli-cations including fiber lasers formaterial processing, LIDAR, fre-quency conversion for generatingexotic wavelengths, gas sensingetc. To expand the application area,single-mode lasers with stableoperation have been needed.QD Laser says the QLD1061 offers

strong fiber output power (>30mW),narrow spectral linewidth (<10MHz)with stable single-mode oscillation.The DFB laser chip is packaged in astandard 14-pin butterfly laser

module with an optical isolator. Themodule has an output pigtail usinga polarization-maintaining fiber. The design of the laser chip is based

on DFB laser technology normallyused in telecom applications, wherequite high wavelength stability isrequired. Reliable packaging,including a built-in optical isolator,also contributes to the stability. Incontinuous-wave (CW) operation, theQLD1061 provides high side-modesuppression ratio (SMSR), evenwhen changing the output powerover a wide range of operatingtemperatures. In pulsed operation,a stable, short pulse train between

50ps and 100ns is generated withlow amplitude noise and low timingjitter maintaining high SMSR.These characteristics (claimed to beunique) differentiate the QLD1061from conventional wavelength-stabilized lasers such as a fiber-grating-based external-cavity lasers. QD Laser says that it has already

received a lot of positive responsesfrom evaluations of prototypes byglobal companies. The firm adds thatthe QLD1061 suits a variety of appli-cations in CW or pulsed operation(e.g. an injection seeder of a fiberlaser and a wavelength sweeper forsensing), and that it can contributeto an improvement in performanceand market expansion of manysystems using NIR-wavelength-range semiconductor lasers.QD Laser exhibited the QLD1061 at

April’s Photonix Japan 2011 in Tokyoand LaserEXPO in Yokohama, Japan,and will exhibit it at LASER World of PHOTONICS (23–26 May) inMunich, Germany (booth B1-310). www.qdlaser.com

QD Laser commercializes stable, single-mode 1064nmDFB laser module in butterfly package

QLD1061 14-pin laser module.

Page 51: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Optical module and componentmaker Opnext Inc of Fremont, NJ,USA says that for its fiscal fourth-quarter 2011 (to end March) itexpects revenue of about $95.3m,up 24% on $76.8m a year ago butdown 1.8% on $97.1m last quarter. It is also down on original guid-

ance of $97–102m, but this isattributed to a 10-day interruptionin module manufacturing opera-tions in Japan following the earth-quake and tsunami on 11 March. The module assembly facility in

Totsuka suffered minor disruptionbut, while shipments of subassem-blies to contract manufacturers andshipments of finished goods to cus-tomers from inventory eachresumed on 18 March, productionwas not reinstated until 21 March. The chip production facility in Tot-

suka suffered minor damage whichhas been repaired, and the firm isin the process of recalibrating andverifying proper operation of the

equipment prior to restarting fullproduction, which was expected bythe end of April. Opnext’s industrial and commercial

production facility in Komoro wasundamaged and production thereresumed shortly after the earth-quake. The facility is also beingused to process pre-existing wafersfrom the Totsuka chip fab pendingthe full restoration of operationsthere. Opnext says that it is also continu-

ing to work with suppliers affectedby the earthquake and tsunami(and related follow-on events) toaddress component availabilityand, in certain instances, it isexploring alternative sources ofsupply. In addition, it continues tobe impacted by the planned poweroutages in the Totsuka area and itis in the process of installingbackup power capability to permitcontinuous operation. www.opnext.com

Opnext’s revenue down 1.8% after 10-day module assembly stoppage

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

51

ProPhotonix Ltd of Salem, NH, USA,a designer and maker of LED sys-tems and laser modules (as wellas a distributor of laser diodes forOpnext, QSI, Sanyo and Sony), isto distribute two new high-powerlasers launched at January’s Photonics West event by Opnext. The HL40023MG, a 500mW

404nm-wavelength violet laser,suits applications like biomedicalillumination and optical lithography.Biomedical and direct imagingsystem makers often use large,power-hungry solid-state laserdiodes. The HL40023MG offers acost-competitive option for using a high-intensity diode in a small5.6mm package. With a typicaloperating current of just 390mA,it also saves on power, helping tolower overall operating costs.The HL45023TG is the first in

a family of planned blue lasers.

It has been designed to meet thedemands of embedded mobilepico-projector applications, but itis also suited to fluorescence andsensing applications. With a pro-prietary design, the HL45023TGprovides 60mW of optical outputpower at a wavelength of 445nmwhile consuming 30% less powerthan blue lasers currently available.A maximum operating temperatureof 70ºC and small 3.8mm packagesuit the growing market demandin embedded and mobile deviceapplications.“The great relationship between

our companies, coupled with themarket presence of ProPhotonix,will uncover many new opportuni-ties in a variety of applications,”says Bob Murphy, Opnext’s business development director forNorth America Sales. www.prophotonix.com

ProPhotonix adds blue and violet lasers

Page 52: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

52

Advanced Photonix Inc of Ann Arbor,MI, USA (which designs and makessilicon, InP- and GaAs-based photo-detectors, subsystems, and tera-hertz instrumentation for telecom,homeland security, military, med-ical and industrial markets) haspromoted chief financial officerRobin Risser to chief operating officerand member of the board of directors. “Our rapidly expanding sales

pipeline in our fast growth areas ofhigh-speed optical receivers andTerahertz is driving our need toimplement organizational changesto best respond to these opportuni-ties,” says president & CEO Richard(Rick) Kurtz. “With over 18 years in

the optical field and industry knowl-edge, combined with his financialand strategic expertise, Rob bringsvaluable experience and backgroundto the COO position,” he adds. “We need to build on our strong

internal management talent pool inconjunction with new externalmanagement expertise. Rob willalso continue to serve as our CFOwhile we conduct a search for hisreplacement,” Kurtz continues.“Having worked with Rob for thepast six years I know he will beinstrumental in helping API grow to the next level in the shortestpossible time frame.” www.advancedphotonix.com

Advanced Photonix promotes CFO tochief operating officer

3S Photonics Groupof Nozay, France,which makes laserchips, optical dis-crete modules andpassive compo-nents for telecomnetworks, hasnominated Jean-Michel Bonard as itsmanaging director for France, andcreated three new posts on its board. After acquiring optical telecom

component firm Avensys Inc of Mon-treal, Canada in February 2010, 3Ssays this announcement illustratesits aim to capitalize on existing syn-ergies between its various entitiesand to launch a policy of diversifica-tion, geographic expansion andstrategic partnerships that will rein-force its international position. Theaim is to reach consolidated annualrevenue of €50–55m by this summer.3S is reorganizing to meet its goals

for the coming months and years,explains president & CEO AlexandreKrivine. “We are pursuing ourefforts to further improve profitabil-ity within our various subsidiaries,maximize the company’s perform-

ance and support our teamsthrough sales development.” To strengthen collaboration

between all entities and benefitfrom their respective expertise, thenew structure also involves threenew strategic positions on thegroup’s board: business development directorJean-Pierre Hirtz; chief financial officer GeoffroyMorel; and VP of sales Fotis Konstantinidis. Bonard joined 3S in July 2009 as

deputy general manager in chargeof company operations, while alsotaking on responsibility for thefinance department. He began hiscareer as an export manager forspace equipment provider KatunCorp. In 2001, he joined GE CapitalFinance as regional manager forthe South. At the end of 2007, hecreated JMB Conseils, a financialconsulting firm for telecom and ITcompanies. Bonard graduated fromESIAE/EMI in Paris, and he alsoholds an MBA from the SchillerInternational University in Florida. www.3Sphotonics.com

3S names MD of French subsidiaryand creates three new board members 3S grows revenue

40% in fiscal first-half 2011 For its fiscal first-half 2011 (toend-December 2010), 3S Photonics of Nozay, France,which makes laser chips, opticaldiscrete modules and passivecomponents for telecom net-works, has reported revenue of€25m, up 40% on a year agoconsidering the post-divestitureproduct portfolio. In February 2010, 3S acquired

optical telecom component firmAvensys Inc of Montreal, Quebec,Canada (which — through itsAvensys Solutions division —also provides instrumentationand integrated solutions forprocess and environmental mon-itoring systems). Nevertheless,with results far outweighingexpectations, operating income(which was negative in fiscalfirst-half 2010) reached €1.05m. “We are facing the second half

of the year in a very comfortableposition,” comments president &CEO Alexandre Krivine. “Thisresult can, of course, be partiallyexplained by favorable marketconditions but it also demon-strates the success of our diversification strategy into theterrestrial pump market and ofour cost-reduction efforts.” Krivine adds that 3S now faces

two key challenges: increasingoutput through technologicalinnovation to support the growthof the firm, and continuing tooffer the same level of qualityand reliability. “These are twoimportant assets that haveenabled the 3S Photonics groupto become one of the leaders inthis market,” he reckons. For its fiscal full-year 2011

(to end June), 3S expects con-solidated revenue of €50–55m(doubling from €25.22m in fiscal 2010). www.3Sphotonics.com

IN BRIEF

Page 53: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

GigOptix says that its LX8401 40GDPSK Mach–Zehnder modulator(MZM) has passed pre-qualificationassessment, with over 1000hrs ofTelcordia’s GR-468 demandinghigh-temperature operating lifetime(HTOL) testing without degradationin operating performance. This is“an important milestone in ourcommercialization of our TFPS[Thin Film Polymer on Silicon] tech-nology,” notes Dr Raluca Dinu, VP &general manager of GigOptix Bothell. The HTOL test assesses reliability

by stressing the MZM at 85ºC whileit is modulating a 1550nm laser andbeing driven by a high-speed electrical RF signal. The test simu-lates an accelerated 25 year lifetime.The performance parameters meas-ured included insertion loss, drivevoltage, extinction ratio, and band-width. The result builds on previousreliability assessments by GigOptixof its TFPS optical chips, operatedover 7000hrs at 85ºC to date.

“We are now in the process of running full GR-468 qualification on these devices, including dampheat, mechanical shock and tem-perature cycling testing to be com-pleted in the third quarter of 2011,”says Dinu. “We expect the LX8401and subsequent modulatorsaddressing both the 40G and 100Gmarkets to be a major vehicle forfuture revenue growth as we capi-talize on the rapid growth of theseoptical networking markets.” GigOptix’s claims TFPS technology

enables low drive voltage in thesmallest form factor available,reducing power consumption byover 20% versus competing modu-lator technologies, while enablingsmaller 300-pin optical transponders. The product is being industrialized

by contract manufacturing partnerSanmina-SCI, which has providedprocess development, manufac-turing and supply chain services for the LX8401.

GigOptix 40G DPSK modulator passesTelcordia high-temp lifetime testing

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

53

GigOptix has entered into a settle-ment agreement with the trusteesof the DBSI Liquidating Trust andthe DBSI Estate Litigation Trust,arising from potential claims relatedto the bankruptcy of DBSI Inc inNovember 2008. Affiliates of DBSIwere investors in a predecessor ofGigOptix, which resulted in thembecoming stockholders of GigOptix.DBSI was the beneficial owner ofthe investment held by its affiliates.DBSI Liquidating Trust now holdsits GigOptix shares and warrantsto purchase 660,473 shares (witha weighted average exercise priceof $32.35 per share with exerciseperiods expiring between end-2011and 23 April 2017).The affiliate DBSI Estate Litigation

Trust was mulling claims againstentities including GigOptix andaffiliated parties. GigOptix disputedthe existence of any claims.

The settlement now resolves thedisputed claims and eliminatespotential litigation. The trusteeshave agreed to cancel and returnthe warrants. GigOptix will issueto the DBSI Liquidating Trust twowarrants (each for 500,000 shares)not exercisable for six monthsfrom the date of issuance: onewith a term of three years and anexercise price of $2.60 per share;one with a term of four years and anexercise price of $3.00 per share.The warrants may be exercised ona ‘cashless’ exercise basis. Thetrustees also agreed to releasetheir claims against GigOptix, itssubsidiaries, directors and staff. “We were eager to avoid the legal

expense, waste of managementtime and bandwidth, and the riskthat is always associated with litigation,” says GigOptix’s chairman & CEO Dr Avi Katz.

Dispute settled with stockholder DBSI

GigOptix wins$750,000 order for100G DWDMmodulator driversGigOptix Inc of Palo Alto, CA, USA,which designs modulator drivers,laser drivers and transimpedanceamplifier (TIA) ICs based on III-Vmaterials as well as polymerelectro-optic modulators for40–100Gb/s fiber-optic commu-nications, says it has booked a$750,000 purchase order for its100G quad-driver from a tier 1telecom customer for its 100GDWDM networking systems. Theorder will be delivered in the sec-ond and third quarters of 2011. The GX62450 100G DP-QPSK

(dual-polarization quadraturephase-shift keying) driver isdesigned to be plug-in compati-ble with industry-available 100Gmultiplexer and Mach–Zehndermodulators. GigOptix says thatthe GX62450’s GPPO-connector-ized form factor ensures optimalRF coupling to the 100G opticalmodulator inputs and also occu-pies less board-level real-estatethan comparable surface-mounted device solutions. “100G is quickly becoming an

industry reality, driven byincreased demand for more band-width due to smartphone usage,IPTV and cloud services, as well asother video and data-intensiveapplications,” says VP of marketingPadraig O’Mathuna. “Next-gener-ation wired and wireless networkswill require high-speed opticallinks to enable the content deliv-ery demanded by consumers,” headds. “In fact, Cisco is predictinga compounded annual growthrate for IP traffic of 34% through2014. We see robust demand forour 100G products in 2011 andexpect the 100G market to beone of the major growth enginesfor our company going forward.” www.gigoptix.com

IN BRIEF

Page 54: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

54

Indium phosphide-based optical chipand component maker CyOptics Incof Lehigh Valley, PA, USA haslaunched 40 and 100Gbps polari-zation-multiplexed quadraturephase-shift-keying (PM-QPSK)integrated coherent receivers for40G and 100G coherent DWDMtransmission systems. The opticalreceivers expand the firm’s growingportfolio of components leveragingmonolithic and hybrid photonicintegrated circuits (PICs).The RX-PMQPSK-40 and -100

coherent receivers integrate twomatched 90° optical hybrids, fourhigh-speed balanced detector pairs,and four differential linear TIAs withmanual and automatic gain control.

The compact surface-mount package(40mm long and less than 7mmhigh) also integrates the polarizationsplitters for signal and local oscillator. The receivers use CyOptics’ port-

folio of high-speed InP-based bal-anced photo-detectors (PDs) andsilica planar lightguide circuit (PLC)device technology for the 90° hybridmixers. They also leverage thefirm’s automated precision-roboticintegration platform for mountingthe balanced PDs directly onto thePLC chip to provide a highly integ-rated optical sub-assembly withhigh performance in a very smallfootprint. The receivers adhere tothe Optical Internetworking Forum(OIF) Implementation Agreement.

“Our new 40G and 100G coherentReceivers address the needs forvery compact component solutionsof transponder and line-card manu-facturers alike,” says Stefan Rochus,VP of marketing & business devel-opment. “CyOptics is uniquely posi-tioned to combine all of the criticalInP and PLC device technologies,together with our automated high-precision manufacturing platform,to deliver a high-performance andlow-cost coherent receiver solu-tion,” he claims. CyOptics is ramping production for

both the 40G and 100G receiversnow, for general availability in June. www.cyoptics.com www.oiforum.com

OPEL Solar International Inc ofToronto, Canada says that its USaffiliate OPEL Defense IntegratedSystems (ODIS) of Shelton, CT hasdemonstrated laser operation for thefirst time in a new integrated deviceas part of its Planar OptoelectronicTechnology (POET) process. POET creates high-performance

devices by fusing optical and elec-tronic functions together on a sin-gle chip. Specifically, POET is asemiconductor manufacturing tech-nology that enables the monolithicfabrication of integrated circuitscontaining both electronic and opti-cal elements. The firm claims thatby offering components with muchlower cost, together with increasedspeed, density and reliability, POETcould allow it to fundamentally alterthe landscape for a broad range ofapplications, such as tablet com-puters and smartphones. Based on a proprietary III-V mat-

erials structure, the pulsed vertical-

cavity surface-emitting laser (VCSEL)operates at 980nm with a 12µm-diameter vertical cavity surface andan output power of 1.7mW. In tan-dem with ODIS’ existing integrateddetector — a heterostructure field-effect transistor (HFET) device —the laser enables inter-circuit opti-cal connections between electronicdevices for on-chip applications. “This has proven, for the first time,

an end-to-end technology for on-chip integration of photonic circuitscan manipulate light signals on thesame semiconductor framework aselectronic signals,” reckons OPEL’sCEO Leon M. Pierhal. “This technol-ogy has the potential to overcomethe constraints of copper inter-connects in silicon-based chips, andit further validates the years ofdevelopment invested in ODIS, asreflected in the potential marketapplications for POET technology,as well as its overall importance toour stakeholders,” he adds. “This is

the baseline laser that will serve asthe foundation device from whichgreater enhancements are projectedand in the process of development,”Pierhal notes. ODIS has also proven other opto-

electronic devices, including HFETs,optical thyristors, oscillators, andsuper-radiant light-emittingdevices, all able to be monolithicallyfabricated via the POET process.These devices are currently beingvalidated for scale-up by a third-party fabrication facility. The POET platform is also the basis

for other ODIS projects, under vari-ous governmental agency grants,to provide next-generation opto-electronic devices. These includeoptical code division multiple access(OCDMA) devices for avionics sys-tems, combined RF/optical phasedarrays, optoelectronic directionalcouplers, and ultra-low-power random access memory (RAM). www.opelinc.com

ODIS demonstrates laser using POETmonolithic optoelectronic fabrication VCSEL targets on-chip optical connections between electronic devices

CyOptics launches compact PM-QPSK receivers for 40 and 100Gbps coherent systems

Page 55: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED
Page 56: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Seven companies and five universi-ties in Israel have formed the Tera Santa Consortium, whose goalis to develop the world’s first Terabitorthogonal frequency division multiplexing (OFDM)-based opticalnetwork. With financial support from the

Israeli Ministry of Industry, Trade &Labor’s Office of the Chief Scientist(OCS) through its MAGNET program,the founding consortium membersinclude communications systemmaker ECI Telecom, the Israeli subsidiary of fiber-optic communi-cations component and subsystemmaker Finisar Corp of Sunnyvale,CA, USA, network switch providerOrckit-Corrigent of Tel-Aviv, Elisra-Elbit (which provides infor-mation warfare solutions based onreal-time coherent signal processing),fabless semiconductor firm MultiPhy(which provides DSP-based CMOSICs for high-speed communications),Optiway (which provides opticalmulti-service solutions for in-build-ings wireless communications),optoelectronic module maker Civcomof Petach Tikva, the Technion IsraelInstitute of Technology, Ben-GurionUniversity, the Hebrew University inJerusalem, Bar-Ilan University, andTel-Aviv University. Fueled by ever-growing demand

for bandwidth, a low-cost, compactand high-performance Terabittransponder solution will answerthis market need with optimizedbandwidth utilization and increasedcapacity for multi-service appli-cations, says the consortium. The focus of its activity is on the

development of OFDM-based opticaltransmission technology and toresearch, develop and prototype aTerabit optical networking platform.This technology will be used todevelop techniques to handlecoherent optical links and will

explore the operational and per-formance impact that coherenttechnology’s new degrees of freedomwill have on optical networking. The research should yield the build-ing blocks for commercial Terabitoptical networks for metro andlong-haul market segments. Research topics will include:

high-speed design using high-orderquadrature amplitude modulation(QAM) constellations; overcoming optical impairmentsover long distances; maximizing system spectral effi-ciency; novel digital signal processing(DSP) architectures and algorithmicdesign; cost-effective and compact analogand optical components design; and design of photonic integrated cir-cuits (PICs) as component-levelplatforms for the sub-system layer. The consortium has already initi-

ated activities and will continue forthe next 3–5years. It willwork closelywith and con-tribute to theinternationalindustry stan-dard organiza-tions involvedwith thiseffort, such asthe IEEE, ITUand OIF. “By applying

coherent tech-nology, weenable a newlevel of cogni-tive intelligencein the opticalnetwork —from digitaland opticalcomponents,

through transmission sub-systems,and all the way to a fully functioningnetwork,” says consortium chair-man Shai Stein (ECI Telecom’schief technology officer). Cognitive

coherentsystemsare intelli-gent sys-tems thatincludeuniqueDSP-basedphasemodula-tion tech-niques,allowingusage ofinherentmonitor-ing andself-rateadapta-tion capa-

bilities as part of the system designand construction. “By combiningthe expertise of both industry andacademia, the Tera Santa Consor-tium brings the ideal blend of skillsand cross-functionality for a holisticapproach,” Stein reckons. “We have created a consortium

structure in which we amplify andleverage the cooperative efforts ofmajor companies and an ensembleof top researchers in our field, sup-ported by generous governmentfunding,” says Technion’s professorMoshe Nazarathy (co-founder ofHarmonic Inc). “This transcendswhat any single company alonemay accomplish,” he believes. “Italso promotes an unprecedenteddegree of inter-academia-industrycooperation... we have come upwith an out-of-the-box concreteapproach towards realizing ourvision for a Terabit system.” www.finisar.com

The consortiumhas alreadyinitiatedactivities andwill continuefor the next3–5 years. Itwill workclosely withand contributeto theinternationalindustrystandardorganizationsinvolved withthis effort, suchas the IEEE,ITU and OIF

Cognitive coherentsystems areintelligent systemsthat includeunique DSP-basedphase modulationtechniques,allowing usage ofinherentmonitoring andself-rate adaptationcapabilities as partof the systemdesign andconstruction

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

56

Tera Santa Consortium formed to developfirst Terabit OFDM-based optical networkIsraeli 3–5 year project targets coherent technology at low-cost,compact Terabit transponder

Page 57: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

State-of-the-art Umicore Germanium WafersNow also available in 4” and 6” from world’s newestGermanium wafer fab in Quapaw, OK, USA

Umicore is the world leader in dislocation free germanium wafers. These wafers are the fi rst building block of triple junction high effi ciency III-V solar cells for CPV Systems (Concentrated Photovoltaic Systems).

Under concentration III-V cells using Umicore’s germanium wafers have demonstrated 41.6 % cell effi ciency, making this technology cost competitive with conventional terrestrial PV cells.

Any inquiries?Please contact Umicore Electro-Optic Materials at +32 14 24 53 67or [email protected] www.substrates.umicore.com

Page 58: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Emcore Corp of Albuquerque, NM,USA, which makes components andsubsystems for the fiber-optic andsolar power markets, has acquiredcertain assets of Soliant Energy Incof Monrovia, CA. Soliant’s concen-trated photovoltaic (CPV) systemsfor commercial rooftop applicationscombine CPV modules with patent-pending TipTilt Tracking, offeringwhat is said to be a high-energy-density, light-weight, low-profile,and low-cost solution.The Soliant assets acquired by

Emcore include the equipment,inventory, software, licenses, intel-lectual property, and tooling for therooftop solar energy product line.The acquisition was completed aspart of an Assignment for the Benefit of Creditors process.Emcore did not assume any liabili-ties of Soliant.

Emcore plans to integrate the former Soliant R&D and pilot-production line into its existingfacilities in Alhambra, CA. Thecommercial manufacturing oper-ation is expected to transfer toEmcore’s low-cost manufacturingjoint venture Suncore in Huainan,China. Key members of the formerSoliant team will join Emcore tofacilitate the integration, productdevelopment, and business devel-opment and customer support.“The addition of Soliant’s rooftop

CPV product line [to Emcore’s ter-restrial CPV portfolio] gives Emcoreimmediate access to the reportedlymulti-billion dollar rooftop PV market, and expands the reach ofEmcore’s existing ground-mountsystems,” says CEO Dr Hong Hou.“We are very impressed with thecapability of the Soliant team.

With the establishment of our CPVdesign and customer service centerin Southern California, we will beable to develop business opportuni-ties and serve our customer base inthe most active region for solarinstallations,” he adds. “This integration allows us to

leverage Emcore’s highly efficientsolar cell supply and its low-costmanufacturing infrastructure,” saysDr Rick Russell, former VP of engi-neering & operations for Soliant andnew VP of engineering for EmcoreRooftop CPV Systems. “Soliantenjoyed a very successful workingrelationship with Emcore throughthe development of our system,” he notes. “This combined team willallow us to accelerate delivery ofthe most cost-effective and highestreliable rooftop system to market.” www.emcore.com

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

58

Subsidiaries of Soitec Solar Devel-opment LLC, a firm managed bySoitec of Bernin, France, whichmakes engineered substratesincluding silicon-on-insulator (SOI)wafers (as well as III-V epiwafersthrough its Picogiga Internationaldivision), has signed three contractsto supply a combined capacity of30MW of solar energy to Californianpublic utility San Diego Gas & Electric(SDG&E, a subsidiary of energyservices holding company SempraEnergy that supplies 3.5 millionconsumers in San Diego and southern Orange counties). The electricity will be generated at

three solar power plant sites in San Diego County that will useSoitec Concentrix technology. Theconcentrator photovoltaic (CPV)modules will be manufactured in anew Soitec factory to be built in theSan Diego area. “Including these three new con-

tracts, SDG&E has signed ninerenewable contracts over the pastyear for more than 1100MW of

green energy, with nearly 520MWfrom solar power, including a contractfor up to 150MW that also usesConcentrix technology [via TenaskaSolar Ventures (a division of energyfirm Tenaska of Omaha, Nebraska)],”says James P. Avery, SDG&E’s sen-ior VP of power supply. “Combinedwith another local solar contract wesigned last year, these latest solarprojects will increase the totalamount of solar power generated inSan Diego County by about 60%.” These three projects will produce

enough solar energy to serve morethan 11,200 households a year andfurther contribute to SDG&E reach-ing its RPS (Renewable PortfolioStandard) goals. The projects willdeploy Concentrix ground-mounteddual-axis tracking CPV solar powersystems, which use lenses to con-centrate sunlight onto very small,highly efficient solar cells. “Our CPV systems are perfectly

suited for projects close to well-populated areas, as the high systemefficiency of CPV minimizes the

amount of land needed for a givenamount of electricity production,”says Soitec’s CEO & chairmanAndré-Jacques Auberton-Hervé.“We are committed to the US marketand look forward to increasing ourpresence in the San Diego communitywith all of our San Diego operations.” Soitec will implement capacity

investments and pursue options forrelated financing to construct itsSan Diego area factory. At fullcapacity, Soitec’s San Diego areaoperations will generate up to 450direct jobs and more than 1000indirect jobs. The factory location is expected to be announced thissummer, with completion within 18 months of starting construction.Soitec’s delivery of the CPV systemsfor these three new solar energyfacilities in San Diego County willbegin in 2013 and finish in 2014.The three power purchase contracts

require approval from the CaliforniaPublic Utilities Commission.www.sdge.com www.soitec.com

Soitec wins contracts from SDG&E for up to 30MW

Emcore acquires assets of CPV system maker Soliant Terrestrial CPV portfolio gains commercial rooftop product line

Page 59: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The US Department of Energy’sNational Renewable Energy Labora-tory (NREL) in Golden, CO, USA ispartnering with international indus-trial technology and solar researchorganizations to test how solar cellsfrom three different manufacturers— in the USA, Japan and Germany— perform under different averagelighting conditions characteristic ofthe study’s two test sites in Aurora,CO, USA and Yokohama, Japan. Concentrator photovoltaic (CPV)

solar systems (which use lenses tomultiply the sun's intensity, reducethe area of solar cells needed, andimprove the efficiency of conven-tional photovoltaics) have beeninstalled at the test sites partly tomeasure how well the same cellsperform in the high-altitude sun-shine of Colorado in comparisonwith those in cloudier, lower-alti-tude Japan.NREL teamed with Japan’s

National Institute of AdvancedIndustrial Science and Technology(AIST) — the largest researchorganization in industrial scienceand technology in Japan — toinstall 25kW of CPV systems at theSolar Technology Acceleration Center(SolarTAC) in Aurora. SolarTACoriginated in 2008 when six publicand private sector entities — origi-nal founding member Xcel Energy,Abengoa Solar, the City of Aurora,the Colorado Renewable EnergyCollaboratory, Midwest ResearchInstitute (MRI), and SunEdison —joined forces to establish the USA'slargest test facility for solar tech-nologies. Managed and operated by MRI,

SolarTAC provides a venue thatsupports proprietary research, test-ing, demonstration and validationby member companies (as well ascollaborative activities betweenmembers) of a broad range of solartechnologies at the early commercial

or near-commercial stage of devel-opment, at utility scale and underactual field conditions. SolarTACmember NREL plans to host multipleprojects there. CPV systems made by Japanese

manufacturer Daido Steel areinstalled at both the Aurora andYokohama sites and are designedto compare solar cells made bySpectrolab of the USA, Sharp Corpof Japan, and Azur Space of Germany. Daido’s CPV design uses a dome-

shaped Fresnel lens and concentra-tor solar cells with efficienciesapproaching 40%, resulting inmodule efficiencies of about 30%.By contrast, most existing PV panelson rooftops have an efficiency of20% or less. The output of the CPV systems will be compared withconventional silicon PV modules. The study will also test high-

efficiency versions of the galliumindium phosphide/gallium arsenide(GaInP/GaAs) solar cells originallyinvented and developed at NREL,which are now used for spaceexploration applications such as theMars rovers. The high efficiencies of these cells, coupled with systemdesigns that greatly reduce thearea that needs to be covered bysolar cells, have attracted growinginterest in recent years, says NREL.In the modules being tested, solarcells cover 1000th of the spacecovered by similar conventionalsolar modules.The project is funded primarily

by AIST as a part of the ‘R&D onInnovative Solar Cells’ project,which in turn is funded by Japan’sNew Energy and Industrial TechnologyDevelopment Organization (NEDO,established by the Japanese government in 1980 to develop oil-alternative energy technologies). www.solartac.org www.nrel.gov

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

59

CPV system makerIsofoton’s Q1/2011revenue up 74%year-on-year Isofoton S.A. of Malaga, Spainhas reported revenue of morethan €32m in first-quarter 2011,up 74% on a year ago. Spun off from the Polytechnic

University of Madrid in 1981, Isofoton designs, manufacturesand supplies solar energy solu-tions focusing on photovoltaic,thermal, and high-concentrationphotovoltaics using GaAs-basedcells. The firm has been develop-ing concentrating photovoltaic(CPV) systems since 2001. Isofoton says that its strong

performance and substantialimprovement in profit marginhave also been underpinned bythe ongoing cost cutting that wasimplemented by the new man-agement team, coupled withenhanced production efficiency(less waste) and improved unitpower. After rolling out a new manufac-

turing line that will double pro-duction capacity, the firm expectsto grow revenue further in sec-ond-quarter 2011, as it sees arecovery in the German, Italianand US markets, along with othermarkets. It also aims to doublefull-year revenue from 2010 to2011. Over the next three yearsthe firm aims to increase its pro-duction capacity fivefold. Isofoton says that its new sales

policy, which calls for expansioninto new markets, is serving toboth further diversify its cus-tomer portfolio and ensure stablesales. As part of the policy, thefirm is opening or strengtheningsales offices in Germany, Italy,France, the USA, South Korea,China and the Middle East. Isofo-ton has a presence in more than60 countries in total. www.isofoton.com

IN BRIEFNREL and AIST to compare CPV cellsfrom USA, Japan and Germany Test sites in sunny, high-altitude Coloradoand cloudier, lower-altitude Yokohama

Page 60: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

60

Concentrator photovoltaic (CPV)system maker SolFocus Inc ofMountain View, CA, USA, engi-neering, construction and projectmanagement firm Bechtel PowerCorp and Sol Orchard LLC (whichspecializes in ground-mounted,solar projects for land owners todevelop underutilized land into revenue-generating or operating-expense-off-setting use) say that a1MW CPV plant has been completedfor Nichols Farms in Hanford in California’s San Joaquin Valley. The installation will produce 2,244

million kilowatt hours (kWh) in thefirst year (about 70% of the pro-cessing facility’s electricity demand).Sited on 6 acres adjacent to thepistachio processing facility andconsisting of 119 SF-1100S CPVsystems (each generating 8.8kW of power), the plant was designedand built by Bechtel, and is nowconnected to the regional electricalgrid serviced by SoCal Edison. “As an integrated grower, proces-

sor, and marketer of pistachios, I appreciate the value of harnessingnatural resources in an efficient,sustainable manner,” says ownerChuck Nichols. “The high energyyield combined with the superiorenvironmental footprint of the SolFocus systems made it an idealchoice for our facility, whichprocesses pistachios for farmersthroughout the Central Valley ofCalifornia.” California’s central valley region

has significant solar resources andagricultural businesses that canbenefit from solar technology. TheNichols Farms project is deliveringlower operating costs, and the impactof future increasing energy costshas been minimized, says SolFocus.“Helping to advance high-effi-

ciency, large-scale solar energy is astrategic interest for Bechtel,” saysIan Copeland, president of Bechtel’sRenewable Power division. “The SolFocus system provides acombination of high-efficiency CPVwith rapid installation capabilitiesthat can deliver cost-competitive

power very quickly,” he adds. “This CPV agribusiness project

with Nichols Farms is the first of itskind in North America,” reckonsSolFocus’ CEO Mark Crowley. “Itdemonstrates how SolFocus tech-nology can easily accommodate awide variety of energy demandsthat farms and other agriculturalsites need,” he adds. “The CPVindustry has announced severallarge projects that will have a sig-nificant impact in upcoming years.However, it is this type of distrib-uted generation solar plant whichcan immediately impact sustain-ability across a broad range ofapplications.” “This new and growing industry is

investing in our Central Valley,”comments Michael Picker, SpecialAdvisor to the Governor for Renew-able Energy Facilities. “By increas-ing energy and cost efficiencythrough the deployment of SolFocusCPV solar technology, Nichols Farmsdemonstrates the next generationof solar technology and sustainableagriculture,” adds AssemblymanDavid Valadao.Sol Orchard introduced the

concept to Nichols Farms, anddeveloped the project from conceptto completion. “This is the thirdproject we have developed utilizingSolFocus technology,” says president Jeff Brothers. “The high

energy yield and reliable productsallow us to move forward on proj-ects quickly and with good financialresults,” he comments. “BringingBechtel in as the contractor gave usassurance that, when the switchwas flipped, we’d have a robustpower plant capable of deliveringon all of its expectations.”SolFocus’ CPV technology uses a

system of patented reflective optics(curved mirrors) to concentratesunlight 650 times onto small gallium arsenide-based solar cells(on germanium substrates) thathave high solar energy conversionefficiency. The SF-1100S systemdeployed at the farm features dual-axis tracking for consistent energydelivery, offers environmental benefits including no water usagefor energy production, a small landfootprint with dual-use potential,and no permanent shadowing or wildlife corridor disruption. SolFocus claims that its CPV technology provides the shortestenergy payback and lowest greenhouse gas (GHG) intensity ofany solar technology. In solar-richregions it also yields significantlymore energy than other technolo-gies, with an extremely light envi-ronmental footprint, the firm adds. www.bechtel.com www.solorchard.com www.solfocus.com

SolFocus completes CPV plant for California agribusiness

SolFocus’ CPV arrays powering Nichols Farm.

Page 61: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

61

Solar Junction of San Jose, CA,USA, a manufacturer of III-V multi-junction solar cells based onlattice-matched 1eV materials forconcentrated photovoltaics (CPV),has set a record of 43.5% energyconversion efficiency for a commer-cial-ready production cell. The 5.5mm x 5.5mm production

cell tops the existing 42.3% record(achieved last October by SpireCorp) by 1.2% and is much higherthan the average efficiency gainachieved by previous record holders.Solar Junction’s cell has a peak efficiency of 43.5% at more than400 suns but still maintained anefficiency as high as 43% out to1000 suns, as measured by theMeasurement and CharacterizationLaboratory of the US Departmentof Energy’s National RenewableEnergy Laboratory (NREL). Over the past four years, record

CPV cell efficiencies have averagedimprovements of 0.4% annually. Bycontrast, over the past nine monthsSolar Junction has been on a steepefficiency trajectory. This 43.5%achievement comes after the firmreported just in January achievingan NREL-verified 40.9% efficiency,followed in mid-February by41.4%. These achievements were,in part, supported under the DOE’sPV Incubator Program, managedthrough NREL. “In the time I’ve been on Solar

Junction’s board, the company has hit all of its aggressive effi-ciency milestones on target,” saysDr Forest Baskett, general partnerat New Enterprise Associates. “Thatability to deliver and execute sets itapart from the pack and positions itfor swift market gains,” he reckons. “There’s no question that we’ve

been on a nine-month tear,” saysSolar Junction’s CEO Jim Weldon.“We’ve delivered on milestone aftermilestone and attribute this to oursuperior performing technologyplatform, driven by our dedicated,

hard-charging technical team, sup-ported by our integrated in-housemanufacturing line that has enabled,and will continue to enable, multi-ple iterations of product improve-ment on an accelerated timeline,”he adds. Founded in 2007 with investors

including New Enterprise Associates,Draper Fisher Jurvetson andAdvanced Technology Ventures,Solar Junction says that its cell,which incorporates proprietaryadjustable spectrum lattice-matched A-SLAM technology,enables it to more optimally partitionthe solar spectrum for maximumefficiency and greater reliability.“With A-SLAM, we have a highlyextensible technology that is actuallydelivering a clear and continuedpath to higher efficiencies in boththe short and long term,” says Weldon. “That bodes well for CPV,”he adds. The firm says thatincreases in CPV cell efficiencies are a key driver for improving CPVeconomics, with each cell efficiencygain leveraged and multiplied invalue by the components thataccount for the remaining 80% oftotal system costs. In February, Solar Junction made

the short-list of finalists selected forpost-selection due diligence withinthe DOE Loan Guarantee Program(LGP). The firm plans to scale in-house manufacturing to 250MWcapacity and ship commercial cellswithin the year. www.sj-solar.com

Solar Junction hits record 43.5%efficiency for CPV production cell Rise from 40.9% in January tops Spire’s 42.3%

Wafer of Solar Junction CPV cells.

COO’s role expandsto president forcommercial ramp SolFocus’ chief operating officerBob Legendre has been promotedto president & COO, reporting toCEO Mark Crowley and overseeinga broad range of activities includ-ing manufacturing, supply chain,engineering, deployment, fieldsupport, and product quality. “Bob has done an excellent job

of building a supply chain andmanufacturing capability thatputs SolFocus in a leadershipposition in the CPV marketplace,”reckons Crowley. SolFocus hasdeployed systems around theglobe, now operating in Arizona,Colorado, California, Hawaii,Italy, Portugal, Greece, Spain,Australia, South Africa, andMalaysia. Systems are certifiedto the IEC 62108 standard, andare backed by Munich Re per-formance insurance. “As ourbusiness has matured, the bene-fits of consolidating all product-related activities under Bob’sleadership will result in seamlesscustomer service,” says Crowley. As COO, Legendre led SolFocus’

engineering organization to drivecost out of the product andimprove product quality. He alsofinalized product realization andproduct certification for deploy-ment in EMEA, Australia andNorth America.SolFocus says that Legendre

has 25 years of global experiencein all aspects of operations man-agement including supply chain,operations, captive and con-tracted offshore manufacturing,NPI (new product introduction)operations, research and engi-neering development. Prior toSolFocus, Legendre was executiveVP of PowerWave. He also hadsenior roles with InFocus andWestern Digital (managing operations in South East Asia). www.solfocus.com

IN BRIEF

Page 62: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

OPEL Solar International hassigned a definitive agreement withAquarion Water Company ofBridgeport, CT, USA to install atracker-based CPV solar system atits D.W. Loiselle Water TreatmentPlant at Trap Falls Reservoir inShelton, CT. The plant will use both the

TF-800 single-axis tracker and the SF-45 dual-axis tracker. Theproject is forecasted to produceabout 43MWh of energy per year(about 22% more energy thanwould be expected from the samepanels in a fixed installation, it isreckoned). The plant will be used to offset

the energy required to operate theAquarion water treatment facility.The site will also provide a demon-stration site for Aquarion to con-firm the effectiveness ofimplementing this type of systemat other sites. As part of theagreement, OPEL will build andoperate the plant, which will also demonstrate to other OPELcustomers the benefits of solartrackers in climates such as in New England.

“This is the first installation forOPEL Solar at a private or munici-pally owned water plant,” says CEOLeon M. Pierhal. “Typically, waterand waste water plants provide agreat opportunity to utilize cleansolar energy to reduce power pur-chases. Our Solar tracker installa-tions can be an ideal, cost-effectivemodel for private utilities andmunicipalities nationwide,” he adds. “We have been working diligently

on a series of measures to reduce

the company’s ‘carbon footprint’,”says Aquarion’s president & CEOCharles V. Firlotte. “This agreementwith OPEL Solar for our Sheltonfacility is one of the many projectswe are undertaking,” he adds. “By using OPEL Solar’s advancedtracker technology, we will be ableto generate much more energythan we could have expected fromfixed technologies.” www.aquarionwater.com www.opelinc.com

OPEL to provide tracker systems for water treatment plant

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

62

OPEL Solar International Inc ofToronto, Ontario, Canada, whichmakes high-concentration photo-voltaic (HCPV) panels (and bothroof- and ground-based solartracker systems), says that, littlemore than 90 days after its forma-tion, OPEL Solar Asia (OSA) — thejoint venture between its US sub-sidiary OPEL Solar Inc and EcotechEnvironmental Technology Ltd ofHong Kong — has secured an initialorder: a blanket purchase order for5MW of both HCPV panels anddual-axis trackers. The purchaseorder more than doubles the initial2MW of orders originally forecastedwhen the JV was formed. OPEL says that this initial order

represents rapid progress in itslong-term objective to penetrate

the HCPV market in East Asia.Many experts believe East Asia,and especially China, will be thefastest-growing CPV solar marketin the world, adds the firm. The initial systems will be deliv-

ered to one of China’s five majorelectric power companies, startingin Q2/2011 and completing in2012. “This initial purchase order, in

excess of tens of millions of dollarsfor our HCPV solar system, rein-forces our decision to form OPELSolar Asia with our partnerEcotech,” says OPEL Solar Inc’sCEO Leon M. Pierhal. “Our decisionto form the JV significantlyincreases shareholder value forOPEL Solar in the form of acceler-ated growth and more profit from

diversified geographies,” he adds.“Early indications are that our opti-mistic outlook in the Asian marketmay be well justified. It is refresh-ing to receive this Asian order atthis time, given the turmoil in theNorth African and Middle Easternregions of the world could impactsolar growth there,” Pierhal comments. “Because Ecotech has an estab-

lished network of local manufactur-ers, we expect to transition to more local manufacturing by OSAas volumes ramp,” Pierhal notes.“As manufacturing processes attainfull efficiency, OSA is expected toassume full responsibility for manu-facturing and installing completeHCPV utility-grade solar farms.” www.ecotech-hk.com

China provides first order for OPEL Solar Asia

OPEL Solar tracker CPV systems.

Page 63: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

OPEL Solar International Inc ofToronto, Ontario, Canada, whichmakes high-concentration photo-voltaic (HCPV) panels (and bothroof- and ground-based solar trackersystems), has reported revenue of$375,747 for fourth-quarter 2010and $1,647,638 for full-year 2010(both up year-on-year). However,Q4/2010 was down 22% on Q3’s$479,141. OPEL notes however that, in

response to difficult economic timesin the marketplace, in 2010 it cutexpenses by more than $540,000while increasing annual revenue by$1m. At the end of 2010, the firmhad $6,934,107 in cash and short-term investments and no debt. In Q4/2010, OPEL received a

purchase order to install a 446kW

solar plant for Toray Plastics inRhode Island. The firm also agreeda joint venture with Ecotech Environmental Technology Ltd ofHong Kong to form OPEL Solar AsiaLtd and install its HCPV panels anddual-axis solar trackers in China.OPEL Solar Asia’s initial purchaseorder was for 2MW of panels andtrackers, but this has recently beenincreased to 5MW. At the Solar Power International

show in Los Angeles last October,OPEL launched two new products:a prototype of its next-generationMk-1X HCPV solar module (withperformance 20% better than thefirm's prior module designs); and a wireless network controller communications capability for itssingle- and dual-axis trackers

(which can lower installation costand ongoing operations and main-tenance costs for solar fields). “With our recent bookings OPEL

will be well positioned for growth in2011,” says chief financial officerMichael McCoy. “I am also pleasedby the recent endorsement for ourPOET [planar optoelectronic tech-nology] by third parties and thepossibilities that the enhancedPOET intellectual property portfoliohas provided the company [via itsUS affiliate OPEL Defense Integ-rated Systems (ODIS) of Shelton,CT, which designs communicationstransceivers, optoelectronic integ-rated platforms and infrared sensortype products for military, consumerand industrial applications].” www.opelinc.com

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

63

Incentives stockoptions granted Subject to the provisions of itsstock option plan (approved byshareholders in June 2009) aswell as the TSX Venture Exchangepolicies and applicable securitieslaws, OPEL has granted additionalincentive stock options to certaindirectors, officers and key consult-ants to purchase up to an aggre-gate of 750,000 common shares(0.86% of the outstanding votingshares of the firm). Options granted to the com-

pany’s officers represent 56.7%of the total grants, and options tothe independent members of theboard of directors represent26.7% . The remaining optionswere granted to key consultants. Expiring end-February 2021,

the stock options are exercisableat a price of CA$0.76 per share(the closing market price on 3 March, when there were11,562,500 options outstandingand 86,940,514 voting sharesoutstanding). The options areexercisable on the basis of 25%on the date of grant and 25%every six months thereafter.

OPEL Solar is supplying TF-850single-axis trackers to GreenlightPower Company (a full-servicesolar energy development firm)for the launch of a 125kW projectto be installed at a solar farm in abusiness park in Kent County, MD.This is the first phase of a 1.4MWsolar development at the site. The trackers should increase the

project’s energy production byabout 24% over what would beexpected from the same solarpanels using a fixed installation.Output will be sold to ChoptankElectric, a Touchstone EnergyCooperative, which is a member-owned electric distribution utilitywith headquarters in Denton, MDthat serves more than 52,140 res-idential, commercial and industrialaccounts in parts of all nine coun-ties of Maryland’s Eastern Shore.This first phase of the project willproduce about 182MWh annually. “The boost in energy production

from our tracker can improve theeconomics of any solar project thathas an energy-production-basedsolar renewable energy credit(SREC) program like Maryland’s,”

says OPEL’s CEO Leon M. Pierhal.“The choice of the TF-850 trackervalidates our recent strategic deci-sion to supply clean, solar energydirectly for industrial structures.”OPEL says its tracker product linecan be used with any type of solarpanel technology, providinggreater layout flexibility for inte-grators and engineering firmschoosing a tracker for a solar field. “Adding the OPEL Solar tracker

made both business and energysense for this important firstphase of our Maryland project,”comments Greenlight’s presidentGreg Brown. “Based upon theadvice from David Schroeders ofBlue Sky Solar [a consulting/EPCprovider of Venice, FL, USA], wedecided OPEL Solar’s single-axistrackers are a great addition tothis project that we believe willalso benefit many future projects.” Blue Sky Solar Group’s founder

Schroeders is a civil engineer withmore than 20 years constructionand engineering experience. Thefirm is currently building projectsin nine states in the USA. www.greenlightpowercompany.com

Single-axis trackers for Greenlight

OPEL poised for growth after revenue dip in Q4/2010

Page 64: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

64

First Solar’s president of operationsBruce Sohn was due to leave thefirm at the end of April. He is not being replaced, and his

direct reports will continue in theircurrent responsibilities with newreporting lines: senior VP of operations Tymen DeJong, VP ofsupply chain Doug Duval, seniorVP of plant replication HeinrichEichermueller, and senior VP ofengineering, procurement andconstruction (EPC) Jim Lamon willreport to CEO Rob Gillette.“Bruce has been an important

part of First Solar’s history andgrowth, and his dedication to ourvision has been unwavering. His leadership in establishing andbuilding our manufacturing capabilities on a global scale hasbeen a key driver of our success,”says Gillette. “This is a naturaltime for him to pursue new opportunities outside the company,”he adds.

“Not only havewe achieved ourcost and manu-facturing mile-stones, but we also havepositioned a newgeneration of

leaders to take First Solar into thenext phase of growth,” says Sohn. Sohn has been an integral part of

First Solar’s early history, workingas an engineering, operations andmanagerial consultant and servingon the firm’s board of directorsfrom 2003 to 2009. He joined theexecutive team in 2007 as presi-dent, and has since been responsi-ble for technology, operations andsupply chain for module production,as well as for the EPC and Opera-tions and Maintenance groups.DeJong joined First Solar in 2010

as VP of manufacturing fromNumonyx Corp, where he workedsince 2008 (most recently as a VP

of Assembly/Test Manufacturing).Before that he worked for 25 yearsat Intel Corp in Fab and Assembly/Test Manufacturing. Duval joined asVP of Global Supply Chain Manage-ment in 2008 from Spansion Inc,where he was VP, Global SupplyChain Management. He previouslyworked in procurement atAdvanced Micro Devices and Westinghouse Electric Corp.Eichermueller has been with First Solar’s manufacturing teamsince 2006 and has run the PlantReplication team for the past year.Before that he held roles as VP ofoperations for NanoNexus, FlexICsand Siemens Solar Industries.Lamon joined First Solar’s EPCgroup in 2008. He has more than25 years of experience in engi-neering and construction manage-ment, including leadership positionsat Shaw Power, Aker KvaernerPower, Clark Construction and theUS Army Corps of Engineers.

First Solar Inc, which makes thin-film photovoltaic (PV) modulesbased on cadmium telluride (CdTe)as well as providing engineering,procurement and construction(EPC) services, has officially brokenground on its four-line photovoltaicmodule manufacturing plant in theDong Nam Industrial Park (a 342hectare business development zoneabout 25km north of Ho Chi MinhCity) in a ceremony attended byVietnamese Deputy Minister ofIndustry and Trade Tran Tuan Anh. The $300m plant is due to begin

commercial production in second-half 2012 and employ about 600associates. Up to 2000 people willbe involved in the construction. The plant will produce more than250MW of modules per year fromthe four manufacturing lines, withthe flexibility for future expansion.First Solar also plans to install 3MW

of modules on the factory’s roof. “Our Dong Nam factory will play

a key role in our plan to nearly double First Solar’s capacity by2012 and further reduce the cost ofsolar electricity,” says presidentBruce Sohn. “We look forward toworking closely with the Vietnameseauthoritiesin themonths andyears tocome.” The factory

will useFirst Solar’scontinuousmanufac-turingprocess,whichtransformsa sheet ofglass into a

complete solar module in less than2.5 hours, contributing to what isclaimed to be the industry-leadingenergy payback time and low carbon footprint of First Solar’sthin-film modules. The factory also will include a

recycling plant, which First Solarsays is a key feature of its commit-ment to cradle-to-cradle life-cyclemanagement and extended producer responsibility. The processcurrently recovers up to 90% of amodule’s semiconductor materialsand glass, by weight, for use innew solar modules and glass products. All of First Solar’s factories

worldwide are certified under theISO14001 system for environmen-tal standards, ISO9001 for quality,and OHSAS 18001 for occupationalsafety and health. www.firstsolar.com

First Solar breaks ground on Vietnam factory$300m, 250MW module-making plant to employ 600 staff

First Solar announces departure of president of operations Sohn

The plant willproduce morethan 250MW ofmodules per yearfrom the fourmanufacturinglines. Our DongNam factory willplay a key role inour plan to nearlydouble First Solar’scapacity by 2012

Page 65: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

65

First Solar has announced theavailability of its cadmium telluridethin-film photovoltaic modules foruse in 10–30kW solar systems. Since its market debut in 2002,

First Solar and its business partnershave focused on commercial PVsystems larger than 30kW (DC).The firm says that, with the intro-duction of its latest, high-efficiencySeries 3 modules, as well asincreasing demand for commercialsystems below 30kW, it is respond-ing to demand by serving thisgrowing market segment. “Commercial rooftops in the

10–30kW range represent a multi-gigawatt market globally,” says TK Kallenbach, president of FirstSolar’s Components Business Group.“This expansion gives our customersadditional flexibility to serve smaller-and medium-sized commercial andindustrial rooftops. It is a naturalextension of our thin-film modulecapability and allows our customersto continue to learn and apply inno-vative technology and installationtechniques to a growing market,”he adds. “The combination of economic and

environmental attributes of FirstSolar modules will prove just asattractive to customers of 10–30kWPV systems as they have to cus-tomers of bigger systems,” believesStephan Hansen, managing directorof First Solar GmbH of Mainz, Germany, the firm’s European salesand marketing organization. “Thisoffering is open both to existingFirst Solar customers and to newcustomers.” More than 3GW of First Solar

modules are already installedworldwide, displacing more than1.5 million metric tons of CO2 emis-sions per year. About one-third areinstalled in commercial rooftop sys-tems. Typical 10–30kW PV systemsinstalled consist of 125–375 First

Solar modules, requiring 90–270m2

of roof space and displacing 4–12 metric tons of CO2 per year.First Solar claims that systems

using its modules typically havehigh annual energy yields underreal-world conditions (producingmore energy than competing sys-tems with the same power rating)and offer environmental benefitsincluding a prefunded module collection and recycling programthat enables the recycling of allmodules at no additional cost.First Solar does not sell directly to

the public. A list of partners author-ized to sell its modules as well asdesign, install and sell systemsusing its modules can be found at: www.firstsolar.com/en/developers_integrators.php

First Solar launches modules for10–30kW commercial power systemsSmall- and medium-sized commercial andindustrial rooftops targeted

First Solar certifiesSolarMax invertersfor its FS 2 and FS 3CdTe PV modules First Solar Inc of Tempe, AZ,USA, which is the world’s largestmanufacturer of thin-film photovoltaic (PV) modules, hasofficially certified that the SolarMaxgrid-connected inverters madeby Sputnik Engineering AG ofBiel/Bienne, Switzerland arecompatible with the cadmiumtelluride (CdTe) modules of its FS 2 and FS 3 series. While crystalline modules can

normally be combined with allinverters, this is not necessarilyapplicable to thin-film modules,particularly when you combinethese with transformerlessinverters. In order to guarantee its mod-

ules, First Solar subjects all PVsystems used in combinationwith its modules to its specificallydeveloped system design andapplication (SDA) process. Alongwith the location, it also checksthe installation plan, the properdesign, and the operating condi-tions. Within this process, theinteraction between modules andelectrical components such asinverters plays an important role.The results of this quality controlare high system efficiencies andeconomically working, optimallydesigned solar systems, saysFirst Solar. Sputnik’s inverters have been

installed with First Solar modulesfor years. The official approval(covering all SolarMax invertertypes) now accelerates the SDAinspection and assures right from the design stage that thewarranty will cover the system,notes Sputnik’s head of ProductManagement Hans-GeorgSchweikardt. www.solarmax.com www.firstsolar.com

IN BRIEF

Page 66: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

General Electric (GE) says that afull-size, thin-film solar paneldeveloped by the firm has beenindependently certified by the USDepartment of Energy’s NationalRenewable Energy Laboratory(NREL) as the most efficient everpublicly reported, with an energyconversion efficiency of 12.8%. GE intends to manufacture the

panels at a new US factory that willbe larger than any existing solarpanel factory in the country. Whencomplete, the factory will highlightan expected investment of morethan $600m made by GE in solartechnology and commercialization,and will be complemented by itsacquisition of power conversiontechnology firm Converteam(announced at the end of March). In addition, GE has completed its

acquisition of PrimeStar Solar Inc ofArvada, CO, USA. PrimeStar wasfounded in Golden, CO in June2006 to develop cadmium telluride(CdTe) thin-film photovoltaic modules using technology fromNREL (which is based in Golden).After acquiring a minority stake inSeptember 2007, GE’s power gen-eration division GE Energy ofAtlanta, GA, USA took a majoritystake in PrimeStar in June 2008. GE says that photovoltaic solar is

the next step in growing its renew-able energy portfolio and is part ofthe firm’s ‘ecomagination’ commit-ment to drive clean energy technol-ogy through innovation and R&Dinvestment. “Over the last decade,through technology investment, GE has become one of the world’smajor wind turbine manufacturers,and our investment in high-techsolar products will help us continueto grow our position in the renewableenergy industry,” says Victor Abate,VP of GE’s renewable energy busi-ness. “We are addressing thebiggest barrier for the mainstreamadoption of solar technology — cost— and the NREL certification proves

that we are on track to deliver themost affordable solutions for ourcustomers,” he adds. Demand forphotovoltaics is expected to growby 75GW over the next five years,with utility-scale solar power plantscomprising a significant part of that.With its technology and manufac-turing investments recentlyannounced, GE says that it is positioning itself to capitalize onthis trend. The record panel was produced on

the PrimeStar 30MW manufacturingline in Arvada. It was measured byNREL at a 12.8% aperture areaefficiency, surpassing previouslypublished records for CdTe thin film(the most affordable solar technol-ogy in the industry, GE says). GEsays that continually increasingsolar panel efficiency is a key com-ponent of its goal to reduce thetotal cost of electricity for utilitiesand consumers (a 1% increase inefficiency is equal to a cut in sys-tem cost of about 10%, it notes).“It’s great to see technology that

started at NREL ready to move intothe market,”commentsRyne Raffaelle,director of the NationalCenter forPhotovoltaicsat NREL. NREL transi-tioned thetechnology toPrimeStarthrough acooperativeresearch anddevelopmentagreement(CRADA)signed in2007.GE plans to build a thin-film

solar panel factory in the USA that,at capacity, will produce enoughpanels per year to power 80,000

homes annually. The 400MW facility will be larger than any exist-ing US solar panel manufacturingplant and will employ 400 people.Multiple locations are being consid-ered, with the final location to beannounced soon. This is just thefirst phase in a global, multi-gigawatt roadmap, says Abate. The firm also announced more

than 100MW of new commercialagreements for solar thin-filmproducts, including panels, invert-ers and total solar power plants. Its largest solar agreement to dateis with NextEra Energy for 60MW ofthin-film panels. Once deployed,they will help to grow NextEra’ssolar power portfolio, solidifying itsposition as the largest generator ofsolar energy in the USA. GE has also signed a 20MW solar

agreement with Chicago-basedclean energy generation firmInvenergy LLC for the supply ofthin-film solar panels and GE Brilliance inverters. Invenergy willinstall the solar products at a project site in Illinois. It recentlyexecuted a power purchase agree-ment for the project which, uponcompletion, will be one of the largestsolar installations in the state.GE also offers power electronics

and pre-designed utility-scale solar power plants for use in multi-megawatt applications. The $3.2bnacquisition of Converteam will addthat firm’s energy conversion tech-nologies to GE’s solar offerings,broadening its portfolio. GE saysthat power electronics are critical tobringing renewable sources such aswind and solar into the mainstream,delivering economies of scale andproviding stable connection to the grid. By adding Converteamtechnology, GE aims to be wellpositioned to bring a broad range ofintegrated generators, convertersand inverters to the wind turbineand solar plant sectors. www.gepower.com

GE reports record 12.8%-efficient thin-film solar panel;plans 400MW US manufacturing plantGE completes acquisition of CdTe PV firm PrimeStar and Converteam

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

66

The 400MWfacility will belarger than anyexisting US solar panelmanufacturingplant and willemploy 400people. Multiplelocations are beingconsidered, withthe final locationto be announcedsoon

Page 67: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

67

Cadmium telluride (CdTe) thin-filmphotovoltaic (PV) solar modulemaker Abound Solar of Loveland,CO, USA has announced a long-term sales agreement with SolarIntegration Systems India Pvt Ltd(Solarsis) of Hyderabad to serveproject developers in the Indianmarket. Solarsis will also establish a test

facility to optimize balance of system (BoS) designs aroundAbound’s modules that will lowertotal system costs for customers.The first project under this agree-ment will be a 1MW ground-mountarray in Ananthapur in the state ofAndhra Pradesh.Abound Solar recently closed on

a $400m loan guarantee from theUS Department of Energy (DOE) tofund the expansion of its manufac-turing capacity and help cater todemand for its products in emerg-ing markets such as India. As a

solar system integrator with more than 150 years of combinedmanagement experience, Solarsisundertakes design, installation andproject management of photovoltaicsolar solutions using a five-stepintegration process that includescomprehensive financing with debt and equity support. “Abound Solar’s thin-film modules

are ideally suited for environmentalconditions found across India: high temperatures and diffuse lightconditions,” says Solarsis’ CEOVenkat Rajaraman. “The combi-nation of thin-film technology andhigh performance in a low-costmodule and our experience inintegrating BoS components allowus to deliver strong financialreturns to our customers,” he adds. “We are extremely excited to work

with Solarsis as a preferred systemintegration partner for India,” saysJulian Hawkins, senior VP of sales

& marketing for Abound Solar. “The company’s position as a leader in the Indian solar marketrepresents a great opportunity forAbound Solar as we work todevelop our presence in the quicklygrowing market.”According to a recent report pub-

lished by Research and Markets,India promises to become one ofthe world’s largest photovoltaicsolar energy markets, partlybecause the country has some ofthe best solar resources in theworld. In November 2009, theIndian government announced theNational Solar Mission, targeting20,000MW of cumulative installedsolar power by 2022. Average year-on-year growth of 68% will beneeded to reach this target, mostlyfrom grid-connected projects. Solar Mission should also createtens of thousands of jobs. www.abound.com

Abound partners with Solarsis for Indian PV marketFirst project is 1MW ground-mount array in Andhra Pradesh

Apollo Solar Energy Inc of Chengdu,Sichuan Province, China says thatprofessor Ken Chin, director of theApollo-NJIT Solar Energy ResearchCenter, has filed the US patentapplication ‘CdTe Solar Panel Processing Technology based on Cd Vacancy Theory’ (applicationnumber 61/357,058). Through its subsidiary Sichuan

Apollo Solar Science and Technol-ogy Co Ltd, Apollo Solar Energy is avertically integrated firm primarilyengaged in mining, refining andproducing high-purity tellurium (Te),tellurium-based compounds andother metals for the thin-film solar photovoltaic (PV) industry as well as for segments of the electronic materials market including radiation detection andinfrared detection. The firm’s products include

ultra-high-purity metals and commercial-purity metals (such asselenium, antimony, bismuth, cad-mium and zinc), cadmium telluride(CdTe) thin-film compounds, andcopper indium gallium diselenide(CIGS) thin-film compounds. In March 2010, Apollo Solar

awarded a three-year $1.5m grantto establish the Apollo CdTe Solar Energy Research Center atNew Jersey Institute of Technology(NJIT) in Newark, NJ, USA, focusedon improving the applications ofCdTe materials for use in thin-filmsolar cells. In exchange, Apolloreceives first refusal and exclusiverights to use all patents filed by the Apollo-NJIT Solar EnergyResearch Center. “Despite its commercial success,

CdTe photovoltaic is still oftencalled a ‘mystery’,” says Apollo’s

CEO Dr Jingong Pan. “While manycritical processing steps in currentCdTe solar panel manufacturingwere developed empirically throughthe years, their mechanismsremain controversial and not well known. Our new invention,however, is based on fundamentalunderstanding of the CdTe solarcell’s materials, structure, anddevice physics,” he adds. “Our new invention may lead to a

significant improvement in energyconversion efficiency and reductionof production cost of CdTe thin-filmpanels,” Pan continues. “As a result,we believe that our invention maysignificantly promote the develop-ment of the CdTe thin-film PVindustry, and increase marketshare for our products worldwide,”he concludes. www.apollosolar.com

Apollo-NJIT Solar Energy Research Center files US Patent for Cd vacancy theory

Page 68: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Q-Cells SE of Bitterfeld-Wolfen,Germany claims to have achievedrecord efficiency for mass-producedcopper indium gallium diselenide(CIGS) thin-film solar modulesmanufactured via monolithic integration. In February, the Fraunhofer Institute

for Solar Energy Systems (ISE) ofFreiburg, Germany measured a Q-Cells Q.SMART UF module madeby Freiburg-based Q-Cells’ subsidiarySolibro GmbH and confirmed apower output of 100.3Wp Watt peakunder standard test conditions, anda total area efficiency of 13.4% forthe 0.75m2 (119cm x 63cm) module.Moreover, with an aperture area of0.684m2, its aperture area efficiencyis a record 14.7% (based on ‘Solarcell efficiency tables (version 37)’compiled by Green MA, Emery K,Hishikawa Y and Warta W inProgress in Photovoltaics: Researchand Applications 2011; 19: 84-92).“In June 2010, Q-Cells achievedthe previous efficiency world recordof 13% with the same type of CIGSsolar module out of series produc-tion,” notes chief operating officerGerhard Rauter. Q-Cells’ CIGS modules are

currently taking part in a number of reference installations and areexhibiting stable energy yields(kWh/kWp). Even after one year of operation, Q.SMART systems indifferent European regions of irradi-ation show improved performances,claims the firm. The Q.SMART UF iscurrently among the top performersin a benchmark at a test field inAlice Springs run by the independ-ent, Australian government-financedDesert Knowledge Australia Solar Centre (DKASC), havingachieved peak performance underextreme climate conditions. So far,the Q.SMART UF is the highest-performing solar module in thisinternational comparison.

The unframed Q.SMART UF mod-ule is self-cleaning, suiting flat roofsand façade solutions. Due to itshomogenous black surface it isappropriate for visual aestheticsand building-integrated installa-tions and suitable for private andsmall- to medium-sized commercialand industrial rooftop and in-roofinstallations.The modules are designed for

good response to temperature andlow light, ensuring high energyyields. This is supported by thepositive sorting +5/-0W, allowingdeviations only to higher-than-expected levels, says the firm.Advantageous cell geometry makesthe modules especially efficient inthe event of partial shading or aless-than-optimal positioning of theroof. The module also featuresdurable glass encapsulation with aproven edge seal for long-term stability, ensuring protection andresistance to environmental influ-ences. Q-Cells currently offers two

different CIGS thin-film formats:Q.SMART (framed); and Q.SMART UF (unframed) andQ.SMART UF L (unframed large).The latter is 25% wider than theformer two in order to reduce theassociated balance of system (BoS)costs on large rooftop and free-fieldinstallations. For 2010, Q-Cells returned toprofitability (EBIT operating incomeof €82.3m, versus a loss of€362.5m in 2009). Sales rose by70% from €790.4m to €1.35bn dueto strong demand driving an 84%rise in production volumes, from551MWp to 1014MWp (exceeding1GW for the first time): i.e.940MWp of silicon solar cells and74MWp of CIGS modules. Totalproduction capacity expanded from830MWp to 1235MWp during 2010.www.q-cells.com/qsmart

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

68

Q-Cells hits record 13.4% efficiencyfor mass-produced CIGS PV module Fraunhofer ISE confirms aperture areaefficiency of 14.7%

Sputtering materials At the 54th annual Society ofVacuum Coaters Technical Con-ference (SVC TechCon) in April,Elmet Technologies Inc of Lewis-ton, Maine, USA exhibited itsmolybdenum and tungsten sputtering materials as part of itsportfolio for PVD, including copper indium gallium diselenide(CIGS) and cadmium telluride(CdTe) thin-film photovoltaics. As a fully integrated supplier,

Elmet makes products startingfrom molybdenum and tungstenpowder and does all the necessaryprocessing. Powder metallurgyexpertise allows it to meet specificapplication needs (semiconductoror solar grade, planar or rotary).Its portfolio of geometries andsputtering materials includesstandard and high purities aswell as material compositions.Elmet serves downstream

sputtering target productionneeds through its machining andfinishing operations, providingboth sputtering materials and themachined backing plates. Also at SVC, Dr Martin Schlott,

global head of R&D of the ThinFilm Materials Division (TMD) atHeraeus of Hanau, Germany, spokeabout high-efficiency, rotatablesputtering targets developed forCIGS photovoltaics. Heraeus has made targets tai-

lored to CIGS (Cu, In, Ga, S/Se)PV technology since the 1990s. Itsays that achieving the appropriatematerial properties is critical foryielding uniform film depositionand high-sputter-rate processesenabling formation of a high-quality, low-cost absorber layer.Schlott presented sputter data

and results from the develop-ment and testing of targets inHeraeus’ sputter applications lab.Rotatable targets discussedincluded CuGa, pure indium, andternary alloy CIG. www.elmettechnologies.com www.heraeus-targets.com

IN BRIEF

Page 69: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Odersun AG of Berlin, Germany,which designs and makes flexiblethin-film solar modules using proprietary CISCuT (copper indiumdisulfide on copper tape) reel-to-reelmanufacturing technology, andLithodecor, which produces ventilatedcurtain wall systems, are co-operatingon solar façades. Odersun is supplying custom-made

CIS solar modules for Lithodecor’sAirtec system. Apart from classicmaterials such as glass and naturalstone, Airtec façades are now avail-able with multi-functional façadecomponents integrating photo-voltaics. Solutions already providedby Lithodecor can be individuallycombined with the new solar components. Odersun manufacturessystem-compatible as well as project-specific solar modules forbuilding integration, so Lithodecoris able to provide system standardsizes as well as individual made-to-measure solutions. The integration of renewable

energy into the building envelope isthe next logical step for Lithodecorin upgrading its product portfolio.“Energy-efficient building is not a‘nice-to-have’ anymore but amandatory requirement to meetlow-carbon goals,” says Dr Clemensvon Trott zu Solz,managing directorof Lithodecor’sfaçade division,which latelyemerged fromwell-knownAlsecco followingan internal brandconversion. “Asan establishedmanufacturer ofbuilding systems,we want to provide our customerswith materials which help them tomeet these new standards. WithOdersun we have found the rightpartner with the technology andproducts to enable innovative andaesthetic façades solutions,” he adds.

Odersun is able to adapt its mod-ules in size and design to fit intothe respective façade system.“Odersun modules have become ascalable building material thanks toour flexible technology and cus-tomizable product design, making itan ideal fit for the various parts ofthe building envelope,” claims theCIS module maker’s CEO Dr Heinvan der Zeeuw. “We are happy tohave Lithodecor as an experiencedsystem partner who shares ourvision of energy-efficient buildingwith photovoltaics.” The new solar façade system is

designed to support builders striving to meet the tighteningstandards of energy conservationregulations and energy-efficientrefurbishment. Solar componentsreplace alternative façade elementswith full functionality and providepayback through the façade-generated electricity, says Odersun. Both firms showed the first

samples of the Airtec Photovoltaicsystem at January’s BAU 2011building and construction industrytrade show in Munich, Germanyearlier this year. Reference projectsare in the setup phase. www.odersun.com

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

69

Sono-Tek launchesultrasonic spraysystem for CIGS Sono-Tek Corp of Milton, NY, USAhas launched a new ultrasonicspray system for inline copperindium gallium diselenide (CIGS)photovoltaic cell manufacturing.The high-speed reciprocatingHyperSonic system is scalable tohigh-volume production. Thefirm claims its ultrasonic systemssuch as the HyperSonic repre-sent lower capital investmentsand cost savings compared withvacuum-based systems. Sono-Tek says that non-vacuum

deposition methods are becomingmore popular in thin-film PVmanufacturing as alternatives tochemical vapor deposition (CVD)and sputtering. It also notes thatsuch methods require smallercapital investments compared tovacuum deposition.Sono-Tek also states that its

ultrasonic systems offer up to95% transfer efficiency of rare-element CIGS suspensions.Sono-Tek says that its ultrasonic

nozzles use high-frequency vibrations to break up agglomer-ations in solution and henceassure spray uniformity duringcoating. This is particularly valu-able when depositing cadmium-based suspensions, where suchagglomerations can result in thenon-uniform distribution of parti-cles and a lower cell efficiency.The Hypersonic system provides

independent control of drop size,flow rate and deposition. Sono-Tek states that such fea-tures contribute to high unifor-mity and the ability to optimizemorphology characteristics.The HyperSonic system is avail-

able in 61, 97 or 122cm coverage.It includes product sensing capa-bility, and the system can beretrofitted with existing conveyorsystems for high-volume lines.www.sono-tek.com

IN BRIEFCIS PV firm Odersun co-operateswith Lithodecor on solar façades

Lithodecor’s Airtec façade systemwith integrated photovoltaics.

Solutionsalreadyprovided byLithodecor canbe individuallycombinedwith the new solarcomponents

Page 70: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

70

Hyundai-Avancis, a joint ventureformed last October between SouthKorea’s Hyundai Heavy IndustriesCo Ltd (HHI) and Saint-Gobain ofCourbevoie, France (which designs,makes and distributes buildingmaterials and electronic materials),has held a ground-breaking cere-mony for Korea’s largest copperindium gallium diselenide (CIGS)thin-film solar module plant.Held in Ochang Foreign Invest-

ment Zone, Chungcheongbuk-do,the ceremony was attended bySaint-Gobain chairman & CEOPierre-André de Chalendar, HyundaiHeavy chairman Min Keh-sik, and250 government officials and busi-ness people.Saint-Gobain, said to be the world

leader in the habitat and construc-tion markets, and ‘green’ energyprovider Hyundai Heavy each

invested KRW110bn in Hyundai-Avancis, bringing total investmentin the joint venture to KRW220bn($200m). Hyundai-Avancis plans tocomplete the 231,000m2 plant byJanuary and start production fromsecond-half 2012.Starting with construction of this

first 100MW CIGS solar moduleplant, using technology from Avan-cis GmbH of Torgau, Germany (asubsidiary of Saint-Gobain sinceautumn 2009), Hyundai-Avancismay expand annual productioncapacity to 400MW by 2015 afterconsidering market conditions.Hyundai Heavy, Korea’s biggest

solar cell maker (with a 600MWsolar cell and solar module factoryat Eumseong, Chungcheongbuk-do), says that it is the only Koreanfirm with a complete solar powerproduction chain. The new plant

will also make Hyundai Heavy amanufacturer of a full range ofsolar power products such as poly-silicon, ingot/wafer, solar cells,solar modules, and solar powersystems. The firm aims to be one ofthe world’s top five CIGS solarmodule manufacturers by 2015.With more than 190,000 employ-

ees in 64 countries, Saint-Gobaindesigns, manufactures, and distrib-utes building materials, providingsolutions to meet growing demandfor energy efficiency and environ-mental protection. In addition to itsfirst 20MW facility in Torgau, thefirm is currently building a secondAvancis plant on the same site,with a future annual output of100MW, to begin operation at theend of 2011. www.avancis.de/en http://english.hhi.co.kr

Hyundai-Avancis breaks ground on Korean CIGS plant HHI-Saint-Gobain JV to complete construction by January

Ascent Solar Technologies Inc ofThornton, CO, USA has announceda change in strategy that will focusits lightweight, flexible thin-filmcopper indium gallium diselenide(CIGS) solar module technology on applications for emerging andspecialty markets. In the past, Ascent has dedicated

much of its resources to establishinga position in the building-appliedand building-integrated photovoltaic(BAPV/BIPV) markets. The firmreckons that the new focus providesa clear path for the future andleverages its unique strengths. Ascent says that producing solar

modules that are lightweight andflexible gives it the ability to meetspecialty needs for markets such as:military and defense; custom near-space applications; off-gridcharging solutions in developingcountries; power for portable elec-

tronics; and custom and standardproducts for rooftop integration onbuses, trucks and trains. Ascentbelieves that it can sell its productsin these markets at more attractivemargins than currently possible forground-mount applications or BIPVand BAPV markets.“Ascent’s various BIPV/BAPV

products are still important to ourlong-term growth and success...we will continue selective businessdevelopment, testing and certifica-tion for those products,” noteschairman Dr Amit Kumar. “A number of economic considera-tions, including the serious reduc-tion of government subsidies inmajor markets in Europe, indicatethat these markets will be undersome near-term pressure,” Kumar adds. “Therefore, in thenear term, we will focus our manufacturing and sales activities

on emerging, high-value, specialtymarket applications,” continuesKumar. “Although our cash position is

strong, we are taking steps toreduce staffing and operating coststhat will minimize the company’scurrent cash burn rate.” With the change in strategy,

CEO Farhad Moghadam is steppingdown to pursue other interests and opportunities, and Ron Eller (a board member for the past twoyears) has been appointed president & CEO. Eller is a technology executive

and management consultant withmore than 25 years experience.This includes, most recently, running various divisions in theenterprise businesses of HewlettPackard and Compaq ComputerCorp. www.ascentsolar.com

Ascent re-focusing from building-integrated/applied PVmarkets to high-value, emerging marketsCut in European subsidies prompts re-sizing and new CEO

Page 71: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

71

Nanosolar Inc of San Jose, CA, USA,which makes copper indium galliumdiselenide (CIGS) thin-film photo-voltaic panels using the industry’sfirst roll-to-roll solar cell printingfactory together with a panel-assembly plant in Luckenwalde,Germany, has announced new efficiency benchmarks of 11.6% forits Nanosolar Utility Panel (its firstproduct, which started shipping inlate 2007) and 13.9% for its printedCIGS solar cells, as measured byGermany’s Fraunhofer Institute forSolar Energy (ISE) and the USNational Renewable Energy Labora-tory (NREL). “Our mission is to produce the

most cost-efficient solar power pos-sible,” says CEO Geoff Tate. “Weare doing this through our printedCIGS technology, innovative paneldesign and sound manufacturingdecisions that lower panel costs intandem with balance of systemcosts,” he adds. Nanosolar prints its proprietary

CIGS and nanoparticle inks directlyonto low-cost aluminum foil, bothfaster and more cost-effectivelythan with traditional high-vacuummanufacturing equipment, it isclaimed. Leveraging robotic manu-facturing practices, the firm assem-bles the electrically matched,all-back-contact thin-film solar cellsinto uniform solar panels using itscost-effective metal wrap throughprocess at assembly factories thatcan be located at the point of paneldemand. Nanosolar says that it will reach

an annual manufacturing capacityof 115MW by fall 2011. The firm iscurrently shipping 10%-efficient,200W panels in volume, with plansto reach 11% and 12% efficienciesin volume within the next 12 months.The firm says that a unique design

enables the Nanosolar Utility Panel tosignificantly reduce mounting hard-ware costs, wiring cable volume,and required installation labor inmulti-megawatt installations.

The panel has two pieces of durable,tempered glass (versus one tem-pered glass sheet for most thin-filmpanels), uses two edge connectors(as opposed to a standard junctionbox), and produces more power andoperates at a far lower voltage thanstandard thin-film panels, Nanosolarclaims. In addition, it is the firstsolar panel to be certified by TUV tooperate at up to 1500 system volts.These system design features allowfor balance of systems cost savingsof up to 30% over competing thin-film solar panels in utility-scalepower plants, the firm adds.Nanosolar recently signed a panel

warranty insurance policy withMunich Re in preparation for futuremulti-megawatt installations andpanel bankability. The policy covers2011 factory production and servesas a backstop to the firm’s 25-yearlimited performance panel warranty.“Through our extensive due-diligenceprocess, we were impressed withthe quality and controls in theNanosolar manufacturing process,”says Christian Scharrer, head ofGreen Tech Solutions, SpecialEnterprise Risks at Munich Re. “Weare confident in standing behind theNanosolar Utility Panel as a credibleand bankable technology for low-cost utility-scale solar installations.” As part of its commitment to an

effective waste management andrecycling policy for the firm and itspartners, Nanosolar has joinedBrussels-based PV Cycle, the Euro-pean organization committed toresponsibly recycle solar panels.The Nanosolar Utility Panel has oneof the industry’s lowest CO2 andtoxic emissions lifecycle footprints,and its energy payback time is lessthan 8 months, claims Nanosolar. “We will soon announce strategic

supply agreements with a numberof solar power plant developers and installers that will leverageNanosolar’s ability to drive downthe cost of solar power,” notes Tate. www.nanosolar.com

Nanosolar efficiencies hit 13.9% forprinted CIGS cells; 11.6% for panels XsunX’s CIGSolar

users gain back-endprocess equipment& support from MAGXsunX Inc of Aliso Viejo, CA, USA,which is developing hybrid copperindium gallium (di)selenide (CIGS)thin-film photovoltaic solar celltechnologies and manufacturingprocesses, is teaming with MAGIndustrial Automation Systems(MAG IAS LLC), which suppliesindustrial manufacturing systems,assembly and engineering services,to provide 24/7 global serviceand parts support for users of itsCIGSolar cell manufacturing lines.XsunX and MAG will also work

on specific requirements for theback-end process equipment forthose customers requesting acomplete turnkey solar moduleassembly line. The CIGSolar system is designed to deliver thefront-end process (to make thesolar cells). MAG will now workwith XsunX to provide a moduleassembly line (i.e. back-endprocess equipment) that canassemble the cells into modulesfor a complete turnkey factory toproduce CIGSolar modules. “As a technology development

company, we need to stay focusedon our core competency, that ofempowering our customers withbreakthrough technology thatincorporates the best systems anddesigns to address product marketneeds, rapid time to market tostay ahead of the competition, andpricing to make solar affordablefor our partners and their clients,”says XsunX’s president & chiefoperating officer Joseph Grimes.“Teaming with MAG supports thatgoal and helps to provide our target customer group with confidence that their systems arebacked by a proven installationand support infrastructure.” www.xsunx.comwww.mag-ias.com

IN BRIEF

Page 72: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

72

Solyndra LLC of Fremont, CA, USA,which makes cylindrical copperindium gallium diselenide (CIGS)photovoltaic (PV) systems consist-ing of panels and mounting hard-ware for large commercial rooftops,and Interservice Uzunovi plc solardivision (a project managementaffiliate of Interservice Uzunovi plcof Sofia, Bulgaria) have signed anengineering, procurement and con-struction (EPC) agreement for a1MWp Solyndra installation on therooftops of logistics firm Biomet CoLtd of Sevlievo, Bulgaria. Solyndrasays that the project highlights thecontinued adoption of its rooftopsolar PV systems in Bulgaria and inSouth Eastern Europe.The installation consists of about

6000 Solyndra solar panels, whichwill produce more than 30,000MWhof electricity. This should reduce

CO2 emissions by more than140,000 tons over the next 25 years(equivalent to powering 500 households, according to the EPAcarbon calculator). The installationis planned to be connected to thegrid by mid 2011. “Solyndra’s proven and bankable

technology allowed us to completethe planning process in a very shorttime,” comments InterserviceUzunovi’s general manager RalitzaUzunova. “We were looking for asolution that was designed to part-ner with the roof, and Solyndra’sperformance on flat rooftops, combined with the lightweight,non-penetrating design, proved to be the ideal choice.” “This logistics company rooftop is

a perfect application for our productand demonstrates Biomet’s commit-ment to the environment as well as

the strong government support fordistributed power in the region,”says Clemens Jargon, president ofSolyndra International AG, describ-ing the installation as an "importantshowcase project in Bulgaria”. Interservice Uzunovi PLC solar

division was founded by the entre-preneur Krasimir Uzunov at thebeginning of 2009 following Bulgaria’s commitment to meet therequirements of the EU Directive2001/77/EU, which promotes electricity produced from renewableenergy sources in the country’senergy market. Its first PV project(with an installed capacity of5MWp) is situated in Ravna Goravillage, in the municipality of Avren.The plant has been operationalsince March 2010 and sells electric-ity to local distributor E.ON. www.solyndra.com

1MW Solyndra CIGS project to be installed in Bulgaria

Public Service Electric and GasCompany (PSE&G) has completeda rooftop solar installation at itsCentral Division Headquarters inSomerset, NJ, USA. The 0.9MWsystem is one of six large solarsystems PSE&G is erecting oncompany-owned properties as partof its $515m Solar 4 All program.“By building these systems on

PSE&G-owned property, leverag-ing our knowledge of the electricsystem and putting our projectmanagement skills to work wehave installed a large amount ofsolar capacity in a very short timeand in a very cost effective waywith little impact to customers,”says Al Matos, PSE&G’s VP –Renewables and Energy Solutions. “Through our Solar 4 All program,

we have added over 35MW of solarcapacity in the state in a littlemore than a year,” Matos adds.“These projects have helped pro-vide stability to the state’s solarmarket and have made New Jerseya center for renewable energy inthe USA,” he claims.

Financial benefits of Solar 4 All —federal tax investment credits, saleof the energy and capacity to PJM,and solar environmental credits(SRECs) — are returned to PSE&Gelectric ratepayers, reducing thecost of the program.The Central Division Headquarters

solar system consists of 648 tradi-tional crystalline solar panels and4180 Solyndra panels. They coverover 101,000ft2 of roof space andare connected directly to the gridfor the benefit of all PSE&G electriccustomers. The system will pro-duce enough power for about 155average-size homes.Solyndra is among the leading

solar panel manufacturing compa-nies that PSE&G is using to deploysolar systems across the state.Solar energy project developerSolis Partners of Manasquan, NJ is responsible for the design, engineering and deployment ofboth the white cool roof and solarPV systems.“This turn-key solar rooftop PV

system is an important project for

PSE&G and for New Jersey resi-dents,” says Solis Partners’ man-aging director Jamie Hahn. “Itexemplifies PSE&G’s commitmentto transform under-utilizedrooftops in New Jersey into cleanrenewable energy sources whilereducing greenhouse gases andcreating new jobs,” he adds. State regulators approved the

Solar 4 All program in July 2009,requiring the utility to install 80MWof solar power. The first phaseinvolves installing up to 40MW ofhighly distributed pole-attachedsmart solar units in neighborhoodson utility poles in PSE&G’s electricservice territory, which includes thestate’s six largest cities and about300 rural and suburban communi-ties. This is the world’s largestpole-attached solar installation. The second phase focuses on

40MW of centralized solar facilities,such as the headquarters systemand other sites on PSE&G ownedor leased properties connecteddirectly to the grid. www.pseg.com

PSE&G completes installation atop HQ as part of Solar 4 All program

Page 73: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The US Department of Energy (DOE)has awarded $57m to The College ofNanoscale Science and Engineering(CNSE) of the University at Albany— State University of New York(SUNY) as part of its SunShotAdvanced PV Manufacturing Part-nerships Program. Epitaxial deposi-tion and process equipment makerVeeco Instruments Inc of Plainview,NY, USA, which provides web coat-ing systems for manufacturing CIGS(copper indium gallium diselenide)solar cells, says that it is a key partnerfor CNSE on the project as part ofthe US Photovoltaic Consortium(PVMC), which is coordinating anindustry-driven R&D initiative toaccelerate the development, manu-facturing and commercialization ofnext-generation CIGS thin-film PVmanufacturing technologies (withthe aim of driving down the cost andrisk of bringing them to the market). The DOE’s SunShot Initiative aims

to reduce the total costs of photo-voltaic solar energy systems byabout 75% so that CIGS solar iscost competitive with other formsof energy by the end of the decade.Achieving this goal — equivalent toabout $1 per watt (about 6 centsper kilowatt-hour for utility systems)— would allow solar energy systemsto be broadly deployed across theUSA.“Driven by the world-class innova-

tion at CNSE, the PVMI CIGS con-sortium will provide a full-scaleCIGS center enabling the whole PVsupply chain to work together todeploy new technologies, andenable the transition to commercialproduction,” comments Veeco’sCEO John Peeler. “Bringing togetherend-users, module manufacturers,suppliers and technologists is themost efficient way to rapidlyadvance technology. This centerhas all the elements to speed theprogress of CIGS commercializa-tion,” he adds. “In addition toacknowledging the Department ofEnergy for this funding and theirsupport of CIGS technology

advancement, Veeco would like totake this opportunity to thank ourCongressman, Steve Israel, whohas been instrumental in helpingget the PVMI project off theground,” Peeler notes. “Investments in our growing clean

technology industry are critical toboth our economic recovery andour national security,” Israel com-ments. “This latest Department ofEnergy funding and support of theCNSE PVMI project will bolster ourefforts to compete globally as aclean energy leader,” he adds. “CNSE and SEMATECH [which

co-manage PVMC] have a provenmodel demonstrated in the semi-conductor industry,” notes DavidBruns, senior VP, Veeco Solar. “By leveraging this model, we areconfident the same success can beachieved in solar. The DOE’s com-mitment to CIGS and Veeco hasbeen strong and we are apprecia-tive of their continued support,” he adds. Veeco received a $4.8mDOE R&D grant in February to helpspeed its development of CIGSequipment technology. Veeco Solarcurrently has a small-scale pilotproduction line for CIGS develop-ment in the Greater Albany region.“On behalf of the UAlbany

NanoCollege, we applaud the lead-ership and support of New York’sfederal delegation, led by SeniorSenator Chuck Schumer along withCongressmen Paul Tonko, ChrisGibson and Steve Israel, in helpingto secure this investment by theDOE,” says CNSE senior VP & CEODr Alain E. Kaloyeros. “Building oninvestments made by New YorkState and coupled with the pioneer-ing model established by CNSE,this will infuse critical capital toposition New York and the US asworld leaders in 21st century photovoltaic technologies,” he adds.“We look forward to working withVeeco as a key partner in our newPV Manufacturing Center.” www.veeco.com http://cnse.albany.edu

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011

73

DOE awards CNSE CIGS project $57m Veeco to be key partner to new PVMI facility Manufacturing

expertise from Intelto speed MiaSolé’sramp to 150MW MiaSolé of Santa Clara, CA, USA,which was founded in 2001 to makecopper indium gallium diselenide(CIGS) thin-film photovoltaicpanels, has entered into anagreement with Intel’s TechnicalManufacturing Services practice. Santa Clara-based Intel will

provide customized manufac-turing services and systems,strategic consulting, operationalknowledge and training to MiaSolé as it ramps its manufac-turing facilities in 2011 and 2012. “The engagement is part of

Intel’s broader strategy to partnerpromising high-tech innovationwith Intel’s world-class manufac-turing and Copy Exactly method-ology,” says Brian Krzanich,senior VP & general manager ofIntel’s Manufacturing and SupplyChain. “This will enable compa-nies like MiaSolé to scale to high-volume manufacturing cheaper,faster, and better,” he adds. MiaSolé has increased its annu-

alized production capacity to morethan 50MW in just over a year,and is on-track to triple capacityto over 150MW by the end of2011. However, the agreementwith Intel — which now has morethan 40 years of manufacturingexperience — should acceleratethe production ramp with theimproved repeatability and con-sistency required for high-vol-ume manufacturing, furtherreducing the overall cost of solarenergy and broadening its world-wide adoption, it is reckoned. “This contribution will

strengthen our goals to achievemanufacturing scale at our California factory this year,” comments MiaSolé’s CEO Dr Joseph Laia. www.MiaSole.com

IN BRIEF

Page 74: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

University of Luxembourg researchers have beenstudying the feasibility of creating low-cost,manufacturable copper-zinc-tin-chalcogenide

kesterite-based solar cells using vacuum processing[Alex Redinger et al, J. Am. Chem. Soc., vol133,p3320, 2011]. The team found that introducing tin(Sn) into the post-deposition annealing box preventeddecomposition of copper-zinc-tin sulfide (Cu2ZnSnS4)and selenide (Cu2ZnSnSe4) materials (CZTS(e)). High-temperature annealing is required to control film com-position and homogeneity.Last year, a record efficiency of 9.7% was achieved by

an IBM team using a liquid deposition process of suchmaterials. The constituents were dissolved in hydrazine(N2H4) solution. The deposition was onto molybdenum-coated glass, followed by a short anneal at 540°C. The IBM process has since been the subject of jointdevelopment agreements with Solar Frontier of Japan(www.semiconductor-today.com/news_items/2010/OCT/SOLARFRONTIER_191010.htm) and DelSolar ofTaiwan (www.semiconductor-today.com/news_items/2010/SEPT/IBM_280910.htm). The main attraction of these materials is the use of

high-abundance, low-cost elements (Figure 1, e.g. no indium). The resulting semiconductor layers have atunable bandgap in the range 1–1.5eV that is suitablefor creating solar cells.Although a liquid-based process has its attractions in

terms of low production costs, the use of hydrazinesolution is a safety concern. Hydrazine is a highly toxicand dangerously unstable compound, particularlywhen out of water. The instability of hydrazine hasbeen used to make rocket fuels, first with Germanrocket planes in World War II.The vacuum process alternative to liquid CZTS(e)

deposition has achieved efficiencies up to 6.8%. Normally, one would expect a vacuum process to resultin better-quality material (e.g. less defects/dislocations)and hence enhanced solar cell performance. Also, vacuum processes are attractive for depositing a widerange of other materials with high quality.The researchers explain the failure to deliver better-

quality CZTS(e) cells by saying that the annealing stepused to improve the crystal structure after depositionresults in loss of tin from the vacuum-deposited CZTSsemiconductor material, reducing conversion efficiency.The Luxembourg team produced solar cells annealed

in an excess sulfur atmosphere (process A) or in sulfur/tin (process B). The process A annealing atmo-sphere was created by using sulfur pellets and forminggas (hydrogen/nitrogen). Process B was achieved byalso placing 1mg of tin in the graphite annealing box.The 2-hour annealing was carried out at 560°C. Without tin being present during annealing, the

resulting solar cell had a conversion efficiency of 0.02%,and poor short-circuit current and open-circuit voltagecharacteristics (0.72mA/cm2 and 80mV, respectively). The effect of having tin in the annealing box was to

boost efficiency to 5.4%. The short-circuit current andopen-circuit voltage were 20mA/cm2 and 497mV,respectively. The researchers have previously produceda 3.2%-efficient cell with just sulfur annealing; theytherefore believe that the result of process B can befurther optimized.Since the publication of these results, Luxembourg’s

kesterite solar cells have improved to 6.1% efficiency,certified by Fraunhofer ISE as a European record. The researchers understood their results in terms of

the decomposition reaction: Cu2ZnSnS4(solid) Cu2S(solid) + ZnS(solid) +

SnS(gas) + (1/2)S2(gas) (equation 1)Although the presence of sulfur in the anneal box

might be thought sufficient to block the decompositionreaction to the right-hand side of the equation, the partialpressure needed is high. This is because most of theelemental sulfur in the annealing atmosphere comes inthe form of sulfur rings of eight atoms, rather than theS2 needed. The presence of tin in the box leads to SnSon heating, which is much more effective in blockingdecomposition.The researchers further studied the decomposition

process by first electro-depositing copper and zinc ontomolybdenum-coated glass. The thickness of the Cu/Znlayers can be used to control the composition of the

Technology focus: PVs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

74

Supplying tin during anneal to block decomposition increases solar cell efficiency.

Probing vacuum-depositedcopper-zinc-tin-chalcogenidekesterite anneal process

Cu2ZnSnS4(solid) Cu2S(solid) + ZnS(solid) + SnS(gas) + 1⁄2S2(gas)

Page 75: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

final CZTS material. The team then performed

three anneals in sequencein various atmospheres.Between each stage aseries of material analyseswere carried out (grazingincidence x-ray diffraction,energy- and wavelength-dispersive XRD). The firstanneal was in sulfur, thesecond with a SnS/S atmo-sphere, and the third invacuum. All anneals were at560°C. The duration of thefirst two anneals was twohours; the final vacuumanneal was six hours.Analysis (Figure 2) of the

first anneal revealed thepresence of grains ZnS andCu9S5 (copper-poor Cu2S).The two materials do notintermix. The second anneal created

CZTS and the CuxS signaldisappears. Unfortunately it is not possible to separatethe ZnS and CZTS signals to say whether there is anyremaining material with the former composition. How-ever, the copper, zinc and tin are mixed near the opti-mum ratios needed for high-performance solar cells. The researchers comment: “This shows that the

incorporation of Sn via SnSdoes not proceed in a ran-dom way but is self-limiting.As long as enough CuxS andZnS is present, SnS and Sfrom the gas phase areincorporated in the film toform CZTS.”Experiments were also

carried out where theannealing was carried outwith a Se/SnSe2 atmosphere,which also showed a self-limiting behavior. One mustremember here that sele-nium is not a particularlycommon element (Figure 1).The researchers say that

their work “can be used tosimplify the four-dimensionalparameter space (spanned bythe four different elements)to an easy and robust two-dimensional process”. They

add that their study of the decomposition reaction“enables us to simplify the precursor to a film containingonly Cu and Zn, whereas Sn and S(e) are introducedfrom the gas phase by a self-regulating process.”

http://pubs.acs.org/doi/abs/10.1021/ja111713gAuthor: Mike Cooke

Technology focus: PVs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

75

Figure 2. Grazing incidence x-ray diffraction pattern of Luxembourg’s annealingexperiments, elucidating the equilibrium proposed in equation 1. Scan (I): afterannealing of a Cu/Zn stack in sulfur. Scan (II): after annealing of a mixed Cu9S5 andZnS film in sulfur and SnS(g). Scan (III): after annealing CZTS in vacuum. Identifiedphases include S, Cu9S5 , Cu2ZnSnS4, Mo substrate (*) and MoS2 (#).

Figure 1. Relative abundance (atom fraction) of the chemical elements in Earth’s uppercontinental crust as a function of atomic number. Of course, deposits exist where theproportions are much different from these averages, making for economic extraction.From http://pubs.usgs.gov/fs/2002/fs087-02 .

Page 76: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Researchers in Taiwan have been using patternedsapphire substrates to improve the performanceof nitride semiconductor solar cells [C.C. Yang

et al, IEEE Electron Device Letters, published online 24 February 2011]. The team was based at NationalCheng Kung University, National Chiao Tung University,and Southern Taiwan University.While patterned sapphire substrates are mainly used

in light-emitting devices to increase light output byreducing the reflection at the air interface, here thepatterning is used to improve the material quality ofthe nitride semiconductor. The improvement comesfrom reducing the area from which the nitride semi-conductor crystal is seeded in a similar manner to thatof epitaxial lateral overgrowth (ELOG) techniques. Theaim is to reduce the threading dislocations that can actas paths for leakage current, reducing conversion effi-ciency.The researchers used flat and patterned sapphire

substrates on which layers of nitride semiconductorwere deposited using metal-organic chemical vapordeposition (MOCVD). The patterned substrates hadtruncated cone structures created using photolithogra-phy and etch. The cones were put in a triangular arraywith 1.5μm spacing between cones (Figure 1). Thecones were 1.5μm high, with top and bottom diame-ters of 1.0μm and 3.0μm, respectively. The nitride growth began with a thin undoped GaN

nucleation low-temperature layer, followed by 4μm of

u-GaN. The process was controlled to achieve coales-cence over the cones and a smooth surface.The p-i-n structure of the photovoltaic device was

begun with 1μm silicon-doped n+-GaN; continued witha short-period superlattice of 14 pairs of undopedAl0.14Ga0.86N/In0.21Ga0.79N (3nm/4nm); finishing with0.1μm of magnesium-doped p-GaN.

Silicon dioxide wasapplied on top of thewhole epilayer sequenceas an anti-reflective layer.The PV devices measured1mm x 1mm with 11.4%electrode coverage.The performance of the

PV cell on patterned sub-strate (PV-B) wasenhanced relative to adevice grown on a flat sub-strate (PV-A): at illumina-tion of global 1.5 air-mass(AM1.5G), the short-circuitcurrent was 26% higher

and the open-circuit voltage was 2% higher (Figure 2).The conversion efficiency of the patterned device was1.71%, compared with 1.34% for the flat cell. A conver-sion efficiency of 2.95% for nitride-based PV cells wasachieved by Texas Tech University researchers last year(www.semiconductor-today.com/news_items/2010/

Improvement comesfrom reducing thearea from which thenitride semiconductorcrystal is seeded in a similar manner tothat of epitaxiallateral overgrowth(ELOG) techniques.The aim is to reducethe threadingdislocations

Technology focus: PVs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

76

Short-circuit current raised by 26% by growing photovoltaic cells onpatterned substrates rather than flat substrates.

Figure 1. Typical 45°-tilt scanning-electron-microscope images of (a) the surface morphology of truncated-conepatterns on sapphire substrates, (b) u-GaN under 500 torr, and (c) the coalesced quasi-2D growth of u-GaN.

Improving photovoltaicmaterial quality withpatterned sapphire

Page 77: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

AUG/TEXAS_240810.htm). Photoluminescence studies on the Tai-

wan epitaxial material showed a slightlyred-shifted peak for the patterned epi-taxial material at a ‘blue’ photon energyof 2.780eV (446nm), compared with2.793eV (444nm), due to compressivestrain in the non-flat material. The

researcherswarn:“Although thisred-shift couldbe beneficialfor broadersolar-spectrumabsorption, it should becarefully consideredbecause theexcess strainwould eventu-ally relax,generatingadditionalthreading dislocations to result inleakage pathsin the PVdevices.”In the present case, the slightly longer

wavelength did make the patterneddevice more sensitive to the solar spec-trum. The researchers estimated thatthis factor would give the patterneddevice a 10% current boost over the flatcell. As seen above, the actual figure was26%, showing that improved materialquality must also play a role. http://dx.doi.org/10.1109/LED.2011.2107725Author: Mike Cooke

Although this red-shift could bebeneficial forbroader solar-spectrumabsorption, itshould be carefully consideredbecause theexcess strainwould eventuallyrelax, generatingadditionalthreadingdislocations toresult in leakagepaths in the PVdevices

Technology focus: PVs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

77

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Figure 2. (a) Typical current density vs voltage (J–V) characteristics ofdevices taken from PV cells illuminated under AM1.5G spectrum. Inset:measured PV parameters. (b) The measured dark current density withthe reverse bias. Inset: Arrhenius plots of integrated photoluminescenceintensities for PV-A (flat) and PV-B (patterned).

Page 78: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol.6 • Issue 3 • April/May 2011 www.semiconductor-today.com

78

Researchers at the USA’s RensselaerPolytechnic Institute (RPI) andSouth Korea’s Pohang University ofScience and Technology have useda ‘self-organized’ silver mask tocreate nanotexturing and boost theoutput by 46% from a nitride LEDat 100mA, compared with a devicethat has a flat nitride–air interface[Sameer Chhajed et al, Appl. Phys.Lett., vol98, p071102, 2011]. Thenanotexturing reduces light reflec-tion back into the device at the p-type gallium nitride (GaN) surface. A planar GaN surface has a refrac-

tive index of 2.5, resulting in 18%normal incidence reflection at an airinterface (refractive index 1). Also,the critical angle for total internalreflection is only 23°, restrictinglight output. In total, a planarGaN–air interface gives the LED anextraction efficiency of about 26%. Oblique angle deposition of silver on

the p-GaN surface created nano-island masking for a short etchprocess that roughened the surfaceof the contact layer, improving lightextraction. A self-organized processis attractive for reduced complexityand higher throughput. GaInN LED epitaxial structures

(Figure 1) were grown on sapphiresubstrates using MOCVD. This epimaterial was used to create ‘refer-ence’ and ‘nanotextured’ LEDs(blue emission wavelength, 460nm)after annealing for 1 minute at800°C to activate the p-GaN layer. In both cases the epi material had

mesa structures defined usinglithography and etched down to then-GaN layer using reactive-ion etchwith inductively coupled plasma(ICP-RIE) generated from a chlorineand boron trichloride mix (Cl2/BCl3).The mesas were 1mm square. Then-type ohmic contact metal structurewas titanium-aluminum-titanium-gold, deposited by electron-beamevaporation. Non-p-contact regionswere then masked off by lithography.Nanotexturing (if any, Figure 2)

came before applying the p-contact(10nm semi-transparent palladium).

The mask for the nanotexture com-prised a 5nm silver layer depositedby e-beam evaporation at a 60°

oblique angle, whichcreates ‘self-organ-ized’ islands of silveron the surface of thep-contact (and alsothe litho-mask). Thep-contact surfacewas then exposedfor 15s to Cl2/BCl3ICP-RIE. The silvermask was removedusing a 70% nitricacid solution. Themethod does notinvolve deposition ofsacrificial layers orhigh-temperatureannealing, whichcould damage pre-vious work.

Output power with pulsed drivecurrent (1% duty cycle, pulse width0.5ms) is enhanced by 46% for thenanotextured LED over the referenceat 100mA (Figure 3). The current vsvoltage dependence shows that thenanotextured LED has higher seriesresistance, attributed to contactresistance and current crowdingeffects. These may result from thereduction in effective contact areaby the nanotexturing. Future workaims to mitigate the high resistance.http://apl.aip.org/resource/1/applab/v98/i7/p071102_s1Author: Mike Cooke

Self-organized process for nitride LED output boostNanotextured p-GaN contact layer boosts output by 46% at 100mA

Figure 3. Output power vs forward current of referenceand nanotextured LEDs. Inset: forward current vsvoltage. Background: illuminated LEDs at 20mA.

Figure 1. Epitaxial structure ofGaInN-based LEDs used by RPI.

Figure 2. Fabrication process for nanotextured p-type GaN mesa of GaInN LED.

(a) OAD of 5nm Ag @ 60º (b) ICP/RIE etching (c) Removal of etch residue

(d) p-metal deposition (e) Final LED structure

Pad Metal p-Metal Ag Photoresist n-Metal p-GaN Active Region n-GaN Sapphire

Page 79: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Market focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

79

Due to their compactness, lowercost of ownership and environmen-tally friendly composition, UV LEDshave started and will continue toreplace traditional mercury lampsin current applications, and will alsoopen up many new applications(especially portable ones), accord-ing to market research firm Yole Développement in its new ‘UV LED report’, which provides ananalysis of the UV LED industry alongwith major market metrics of thecurrent traditional UV lamp business.The UV LED market is henceexpected to increase at an annualgrowth rate of almost 30% from$25m to more than $100m in 2016.In 2010, most UV LEDs that were

sold continued to be those emittingat UVA/B wavelengths (especially inthe upper wavelength range of365–400nm). More than 90% of theUV LED market (outside of R&D)consisted of UV curing, counterfeitdetection, medical and instrumenta-tion applications, requiring UV A/Bsources. In contrast, UVC LEDs arecurrently mainly sold for R&D andscientific instrumentation purpose. UVA (400–315nm light wave-

length) business is currently themain UV LED market and willremain so for at least the next 5years, forecasts Yole, comprisingabout 90% of the market in 2010and about 85% in 2016. Key applications for UVA lamps are

UV curing, document/banknoteverification, and photocatalyst airpurifiers. Of these, the most dynamicand important is clearly UV curing,where UV LEDs can certainly com-pete with traditional mercury lamps. Firstly, the market is large ($120m)

and growing at about 10% annuallydue to the advantages for UV curingtechnology over traditional technol-ogy (speed, green coating).Secondly, over the past five years

many new players have emergedthroughout the value chain. Amongtraditional mercury lamps suppliers,Heraeus Noble light launched a UVLED product line in 2010. Of pure

UV-LED curing system players,Phoseon leads the UV curing market.Meanwhile, traditional UV curingsystem market leaders (includingIST Metz, Hoenle, and NordsonBaldwin) have also adopted UV LEDs. Finally, between 2009 and 2011

the available power output hasdoubled to 16W/cm2, and the emis-sion source width is now up to 2m,which is comparable to the per-formance of mercury lamps. “This booming market attracts well

known visible spectrum LED manu-facturers from Taiwan and China,who see that UV LEDs have added-value complementary products:SemiLEDs has greatly increased itssales, Tekcore has introduced its firstproducts and Huga is developingUV LEDs,” says Dr Philippe Roussel,senior project manager at Yole.“This will help to reduce significantlythe cost of the products,” he adds. Potential high-volume applications

for UVC LEDs are water and airpurification. Yole had assumed thatthese markets would start up in2010, but no new commercial UVCLEDs have been released in the lasttwo years. However, numerousresearch results have been pub-lished during this period that showimpressive improvements in opticalpower output: up to 30-fold com-pared to 2008. Yole hence expects

that, after having solved the effi-ciency, lifetime and cost challenges,the UVC LED will arrive in 2014 forthose key applications.A key enabling substrate for UVC

LEDs is single-crystal aluminumnitride. The first results for AlN-basedUVC LEDs were released by CrystalIS Inc of Green Island, NY, USA in2010, and these show that AlN willimprove the lifetime of the devices.This substrate dynamic is confirmedby the arrival of new players such asNitride Solutions of Wichita, KS, USAand CrystAl-N of Erlangen, Germany,concludes Yole. Several playersexpect to provide AlN 2” wafers involume in 2012–2014, so the timeto market for UVC applications basedon AlN is probably 2013–2015. Yole also sees a strong trend where

AlN suppliers are now extending theiractivities to UV-LEDs to capture moreadded-value along the supply chain,e.g. HexaTech, Acerde and Crystal IS. New entrants into the UV-LED

chip-making sector in 2009–2010also include (for UVA-LED die)Japan’s Dowa, Taiwan’s Tekcore andChina’s Huga and (for UVC-LED die)Dowa, Japan’s Nichia (which waspreviously focused on UVA-LEDs)and Crystal IS (via partners Sananin China and Asahi Kasei in Japan). www.i-micronews.com/reports/UV-LED/198

UV LED market to grow at 30% to over $115m in 2016 UVA LEDs still dominate due to UV curing boom

ASP for UV lamps and current LED commercial products in $/W.

Average Selling Price (ASP) for 1W optical power output (log $/W)

200 280 315 365 400

UVC UVB UVA

Wavelength(nm)

Page 80: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Nitek Inc of Irmo, SC,USA and University ofSouth Carolina (USC)

have reported the first successful fabrication andcharacterization of lateralconduction, substrate-freealuminum gallium nitride(AlGaN) deep ultraviolet(DUV) LEDs grown on thick-AlN/sapphire templates[Seongmo Hwang et al, Appl.Phys. Express, vol4, p032102,2011].LEDs emitting in the deep

ultraviolet (DUV, wavelengths<300nm) are being widelydeveloped for air/water/sur-face/food purification/sterili-zation. Short wavelengthsdisrupt DNA molecules, killingmicrobiological organisms.Other possible applicationsinclude biomedical instrumen-tation and polymer curing.Researchers are seeking to replace fragile, poisonous

mercury lamps with a robust, safe alternative.Presently, the wall-plug efficiencies of DUV LEDs are atbest about 2%, and operating lifetimes are only 1000hrat 20mA pump current. Being a first demonstration ofa new technique, the Nitek/USC device falls short ofthe wall-plug efficiency of the best devices by an orderof magnitude. Operation lifetime is not reported.The Nitek/USC researchers began with grooved

(0001) sapphire substrates on which an AlN layer wasgrown using metal-organic chemical vapor deposition(MOCVD). The AlN layer was deposited using masklesspulsed-lateral overgrowth (PLOG) to reduce threadingdislocation densities (particularly in the ‘wings’).Another effect of the PLOG material was reduced ther-mal impedance. Apart from improved material quality

in the subsequent epitaxial layers, the reduction in dis-locations and thermal impedance also determine long-term lifetime reliability of devices. X-ray diffractionanalysis gave the (102) ω-scan rocking curve a full-width at half maximum (FWHM) of 377arcsec.The epitaxial layers were grown using a combination

of pulsed atomic layer epitaxy and low-pressureMOCVD. The LEDs (Figure 1) consisted of an activeregion with Al0.4Ga0.6N multi-quantum wells withAl0.6Ga0.4N barriers. The cladding layers consisted ofAl0.5Ga0.5N. An electron-blocking layer of p-AlGaN was included to

reduce overshoot and recombination in the p-type GaNlayer. Unfortunately there is no good way to producethick p-AlGaN with high hole densities, so p-GaN isused for the p-contact. However, the p-GaN layer

Technology focus: UV LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

80

South Carolina demonstrates first lateral-conduction substrate-freeflip-chip 276nm LEDs grown on thick-AlN/sapphire templates.

Figure 1. Schematic of epitaxial structure of Nitek/USC 276nm LED.

Lateral conduction,substrate-free deep UVnitride semiconductor LEDs

Page 81: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

tends to absorb ultraviolet light. This is themain reason that flip-chip mounting isused for UV LEDs where the chip is turnedover and the last-grown p-GaN layer isbonded to the submount.The resulting epitaxial structure was

formed into 100μm square shaped mesasusing lithography and reactive-ion chlorineetching through to the n-type AlGaN layer.The n-contact consisted of ohmic titanium-aluminum-nickel-gold layers. These metalswere then annealed at 950°C. The nickel-gold p-contact was annealed at 550°C. A lateral-conduction scheme is used with

the contact pads on the same side of theLED chip. Although lateral conduction hasdisadvantages in terms of current bunch-ing effects, it has the advantage of clearingthe emission surface of contact padobstructions, as needed for vertical con-duction (contact pads on top and bottomof chip).The LEDs were diced into 2x2 arrays and

flipped on silicon carbide submounts andbonded with gold-tin solder bumps. Thesapphire was removed by separation andlift-off using high-energy excimer laser pulses directedthrough the substrate. Electrical measurements beforeand after the lift-off showed no noticeable change inbehavior. This means that the potential for damage ofthe laser process had been avoided. The resulting sub-strate-free flip-chip LEDarray was then bondedinto a transistor outlinepackage header (TO-39). The turn-on voltage of

the device was 6V.Unfortunately, the resist-ances of the device werehigher than expected:the series resistance wasabout 90Ω, and bothcontact resistances weremore than one order ofmagnitude higher thanthe researchers’ typicalvalues. The group com-ments: “These results are indicative of the need to sys-tematically optimize the doping parameters for LEDstructures grown on PLOG-AlN templates.”In terms of emission spectrum, there was a main

wavelength of 276nm (full-width half-maximum12.4nm), along with a broader parasitic radiation bandaround 410nm (violet, photon energy ~3.02eV). Themain peak was around 250x higher than that of thebroad parasitic emission.

Light output power (Figure 2) of one 100μm squaredevices was measured in both pulsed (0.5% dutycycle) and direct current operation using an integratingsphere and calibrated silicon detector. In direct currentmode, the output power was limited to less than 0.6mW(reached at 30mA current, 0.4mW @ 20mA, a quickcalculation from graphs in the paper give a wall-plugefficiency of about 0.4mW/20mA/8V = 0.25%). Pulsed operation, avoiding self-heating effects,

enabled an output power of 1.7mW at 70mA. The self-heating seen in direct-current mode was particu-larly strong due to the high-resistance problem mentioned above. This would also impact wall-plugefficiency.The researchers report that some improvement has

been seen in light extraction through thinning theexposed surface of the LEDs. They attribute theimprovement to the reduction of light scattering fromthe air pockets of the PLOG AlN process. Theresearchers also believe that dramatic increases in out-put power would result from “eliminating the lightscattering mechanisms together with the implementa-tion of backside roughening and the collection of wave-guided light”. Such techniques have been successful inenhancing the performance of visible LEDs (e.g. a 50%boost from surface texturing). The ‘waveguided light’effect refers to light transmitted along the planes ofthe epilayers and emitted at the edge of the device. http://apex.jsap.jp/link?APEX/4/032102Author: Mike Cooke

The researchers alsobelieve that dramaticincreases in outputpower would resultfrom “eliminating the light scatteringmechanisms together with theimplementation ofbackside rougheningand the collection ofwaveguided light”

Technology focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

81

Figure 2. Light output power vs pump current (L–I) characteristicsof a 100μm x 100μm substrate-free flip-chip single LED measuredunder direct current and pulsed operation. Inset: plan-view CCDimage of LED biased at 20mA forward current.

Page 82: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Boston University hasproduced deep ultra-violet light-emitting

diodes from epitaxial nitridesemiconductor materialthat demonstrates a highinternal quantum efficiency(IQE) of 32% under photo-luminescence (at 300nmwavelength) at room tem-perature [Yitao Liao et al,Appl. Phys. Lett., vol98,p081110, 2011]. The Boston research group

has previously developedaluminum gallium nitride(Al0.7Ga0.3N) epitaxial quan-tum well (QW) structureswith AlN barriers that emit-ted at 220nm and 250nm invery low-temperature (11K)photoluminescence experi-ments with IQEs of 5% and50%, respectively [A. Bhattacharyya et al, Appl. Phys.Lett., vol94, p181907, 2009]. The difference betweenthe two IQEs was explained as being due to the lowerIII/V ratio (~1) for the 220nm material growth, asopposed to the 250nm (>>1, achieved through higherGa flux), despite the fact that the compositions of thewells should have been the same (Al0.7Ga0.3N). At thattime (May 2009), the researchers did not produce LEDsbut, since high IQE has now been achieved at roomtemperature, device demonstrators become feasible. The researchers believe that their plasma-assisted

molecular beam epitaxy (PAMBE) growth with high Ga-flux converts the deposition process from being vaporphase epitaxy (VPE) to liquid phase epitaxy (LPE), withthe Ga atoms forming a thin liquid layer on the surfaceof the growing crystal. The Boston group believes thatone effect of LPE is to create AlGaN layers with lateralcompositional inhomogeneities and thus deep band-structure potential fluctuations.Professor Theodore D. Moustakas, leader of the

Boston group, comments: “As a result, the injectedelectron–hole pairs form excitons localized in these

potential fluctuations, which prevents them frommigrating and recombining non-radiatively at point orline defects. Such phenomena occur automatically inindium gallium nitride (InGaN) QWs because of thetendency for phase separation due to the 11% differ-ence in the size of In and Ga ions. On the other handsuch phase separation is not expected in AlGaN sincethe Al and Ga atoms have near identical ionic radius.”Moustakas adds: “A further advantage of our LPE

growth mode is that it prevents the incorporation ofoxygen, which is always present in the process gasesas a major impurity, and introduces states in the middleof the gap in high-Al% AlGaN alloys. This is to be con-trasted with InGaN alloys in which oxygen introducesshallow states which act as donors.”According to Moustakas, MBE is also much simpler

and potentially more economical than the traditionalmetal-organic chemical vapor deposition (MOCVD)process, which is traditionally used for the growth ofthis family of materials and devices.The active region of material used in the latest

research consisted of a 3nm single quantum well

Technology focus: UV LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

82

Boston University develops MBE process for 273nm LEDs usingmaterial with high internal quantum efficiency of 32%.

Figure 1. Schematic of the investigated deep UV LED structure (left), with thermalequilibrium band diagram and carrier densities of the structure (right).

Liquid phase pushes deep UVLEDs to higher efficiency

Page 83: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

between asymmetric barriers (Figure 1). The barrieron the n-side was 10nm and that on the p-side 5nm.The purpose of this was to balance the numbers ofholes and electrons in the well, since holes find itharder than electrons to diffuse over barriers. A complex n-side sequence was designed to avoid

nucleation and propagation of cracks through subsequentlayers, and to improve electron injection into the well.The initial AlN layer was 2μm thick. The n-Al0.6Ga0.4N layerhad a doping profile that increased in three steps fromthe mid 1017/cm3 range to a final layer that was doped togive a free-electron concentration of more than 1019/cm3,for a good n-contact. The polarization profile of theuniformly doped n-type AlGaN layer sequence with55%, 85% and 55% Al was designed to boost electroninjection. A similarly enhanced injection of holes wasexpected from inserting 45% Al-content p-AlGaNbetween the p-GaN contact and the p-Al0.85Ga0.15Nelectron-blocking layer. The epitaxial material was processed into 300μm

square DUV LEDs using standard processes (lithogra-phy, etch). The chip was flip-chip bonded onto a siliconsubmount. The emission consisted of a single peak at273nm (Figure 2). The full-width at half-maximum(FWHM) was 9nm. Under continuous-wave (cw) oper-ation, optical output power saturated at 0.38mW with25mA drive current, due to self-heating effects. Pulsedoperation allowed the output power to increase to1.3mW at 100mA. The maximum external quantumefficiency was 0.4%.Moustakas draws the conclusion from his group’s work

that AlGaN QWs can be made to have the same IQE asthat of InGaN QWs and that therefore the poor externalquantum efficiency (EQE) of current-generation LEDs isthe result of poor injection and/or extraction efficiencies.Some of the funding for the work was derived

through a Boston University–US Army ResearchLaboratory (ARL) research co-operation agreement. http://link.aip.org/link/doi/10.1063/1.3559842Author: Mike Cooke

Technology focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

83

Figure 2. Electroluminescence (EL) spectra of 273nm UV LED measured under pulsed mode. Inset: integrated outputpower and the EQE under cw and pulsed injection.

Page 84: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

With close to 20% of worldwide electricityconsumption currently devoted to lighting,switching to high-efficiency light sources

presents a major opportunity to reduce the productionof harmful greenhouse gases.Long-term electricity consumption models show that

the widespread use of LED light sources capable ofproducing up to 200 lumens per watt (lm/W), coulddecrease worldwide electricity consumption for lightingby more than 50%, and total electricity consumption bymore than 10% (see ‘Solid-state lighting: lamps, chips,and materials for tomorrow’, Jeff Y. Tsao, IEEE Circuits& Devices Vol 20 No 3 (May/June 2004) pp28–37). However, a dramatic improvement is needed for LED-

based light sources to reach this level of performance. Significant cost reductions also are needed to facilitate

the widespread use of LED light sources. Packagedwhite LEDs that now cost more than $10 per 1000 lumens(klm) must be lowered to $1/klm. Concurrently, theso-called ‘efficiency droop’ — a tendency for LEDs’energy efficiency to fall at high drive currents — has tobe eliminated if the industry is to achieve its goal ofproducing LED packages that can sustain 200lm/W inoperation. This seems to be difficult to achieve with conventional

gallium nitride (GaN) LEDs, mainly because of the lackof high-quality, high-diameter and low-price bulk GaNsubstrates. Instead, many manufacturers are relyingon GaN epitaxial layers grown onto sapphire substrates.However, due to the physical mismatch between sapphire and GaN crystals, the GaN layers are inher-ently stressed, which induces dislocations into the epitaxial layers and decreases LED efficiency and life-time, as well as manufacturing yields. For similar reasons, large-diameter sapphire substrates

also have been difficult to industrialize. Moreover, sapphire substrates’ poor thermal properties requirethem to be removed before LEDs are assembled andpackaged. It should be possible to re-use these larger,

more expensive sapphire substrates after LED assembly.However, the substrate recovery process is complexand, at least thus far, suffers from low yield. That, inturn, reduces the cost advantage of processing moreLED dies at a time. All these reasons combine to slow the industry’s

efforts to reduce LED manufacturing costs. Looking ahead, the task of combining high efficiency

and large injection current density also remains challenging, and will pose a further obstacle to thewidespread use of LEDs in general lighting. Those difficulties generate other system-level draw-

backs, since the most-likely cost-reduction route isfocused on increasing LED brightness enough to allowthe use of fewer square mm of material to produce thenecessary lumens. As a consequence, thermal extrac-tion and management have become major issues, andare contributing to increased lamp costs. Moreover,from a usage point of view, LEDs’ extreme brightnesscan become so dazzling so that it requires additionaloptics to diffuse that brightness at the lamp level.

A disruptive technologyTo overcome these limitations, the French researchinstitute Leti is developing a disruptive new technologycalled nanowire-based LEDs (NW-LEDs), or nanoLEDs.Nanowires are thin crystalline structures that emit abroader spectrum of light than conventional LEDs whenelectrically charged, and can be grown on industry-standard silicon substrates. Leti has successfully demonstrated the operation of a

first NW-LED, as well as the ability to grow nanowireson silicon substrates using metal-organic vapor phaseepitaxy. Each of these nanowires acts as a nanoLED with an axial

symmetry (Figure 1). Starting from its axis, this so-calledcore–shell nanoLED heterostructure consists of a GaNcore surrounded by indium gallium nitride (InGaN)/GaN radial quantum wells, covered by a GaN shell.

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

84

Xavier Hugon and Philippe Gilet of HelioDEL and Patrick Mottier of Leti explainhow nanowire-based LEDs can improve LED efficiency and cost reduction forsolid-state lighting applications.

NanoLEDs, a newbreakthrough for theLED industry

Page 85: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

After processing substrates to create an extremelyhigh number of nanowire structures (Figure 2), Letiresearchers diced the wafers into devices measuring afew mm2, which were then characterized. The devicespresented macroscopic electroluminescence comingfrom multiple quantum wells, with the emitted lightcentered in the blue spectral range (425nm) andclearly visible with the naked eye.Single-wire emission measurements led researchers to

expect several tens of optical watts emission per cm2,an output level that already is comparable to conven-tional LED levels. As will be explained later, the routetoward fulfilling general lighting needs could beachieved without increasing brightness. Nonetheless,no physical limitation has yet been identified thatwould prevent an increase in NW-LED performanceabove the level of current high-brightness LEDs. As faras we know, this is the first time that such impressiveelectroluminescence results have been reported. In summary, these nanowire structures appear to

overcome all of the previously mentioned limitationsencountered with conventional LEDs.

Substrate cost and sizeDue to their small footprint, nanowires can be grownon a wide variety of substrates. GaN nanocrystals, forexample, can easily be grown on 8-inch silicon wafers,opening the door to actual mass production, which is aprerequisite if solid-state lighting is to become theworld’s dominant lighting technology.In addition, nanowires’ high crystalline quality is

largely independent from mismatch between materials.Indeed, the strain induced by the lattice mismatch anddifferences of thermal expansion coefficients betweennanowire material and substrate is localized at thebase of the nanowires, and thus able to avoid cracks

and wafer bowing — a major concern for conventionalLEDs. As a consequence, the wafer size possibilities forNW-LED manufacturing are only limited by the handlingcapability of the epitaxial tool used.New epitaxial technologies could even allow manufac-

turers to consider using non-silicon, non-monocrystallinesubstrates, which could potentially be produced usinglarge-volume, roll-to-roll technology, as is alreadybeing done with some photovoltaic products.

A simpler process flowIn addition to their wide substrate compatibility, thethree-dimensional structures of these new-generationnanoLEDs should allow manufacturers to avoid severalprocess steps that are normally required to produceconventional LEDs. Light extraction from high-indexGaN layers, for example, requires additional substrateor LED nanostructuration that comes naturally withNW-LEDs. As a result, NW-LED production is expectedto require at least 30% fewer process steps, reducingtool investment as well as processing time and cost.

Solving the efficiency droop issueThe efficiency of GaN-based LEDs decreases when current density increases, resulting in the phenomenonknown as efficiency droop. At high current densities,let’s say 100 amps per square-centimeter (A/cm2),conventional LED efficiency decreases by as much as30–40% compared with the maximum value obtainedat low current density (say 35A/cm2). Specialists don’tfully agree on the physical cause of this phenomenon,although the Auger effect is often mentioned as aprobable cause. However, experimental results show that increasing

the active area thickness tends to decrease the effi-ciency droop. Again, due to the large free surfaces ofnanowire LEDs, it should be possible to elastically relaxthe strain in the quantum well and then increase theirthickness, which in turn should decrease the efficiencydroop. Moreover, thanks to the much larger emittingarea than actual die size in the NW-LED core-shellstructure, effective current density through the active

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

85

Figure 1. Schematic view of a cross-section of one ofLeti’s nanowire structures (right), as shown in theSEM image on the left.

Figure 2. High-density nanowires grown on Si wafer.

InGaN/GaN quantum wells

GaN core

GaN shell

Page 86: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

zone is lowered, allowing LEDs to operate in their high-est efficiency domain, which should further contributeto reducing the efficiency droop effect.

The green gap issueFor LEDs to emit green light, their indium content mustbe increased above 20%. However, such a concentrationprovokes indium clustering or phase separation. In addition, high indium concentration is usually thermally unstable and tends to degrade during theend of the growth cycle. Furthermore, increasing theindium content in InGaN alloy increases its latticeparameter mismatch with GaN, resulting in internalmechanical strain. The strong piezoelectric fieldinduced at the interface between the InGaN quantumwells and the GaN barrier along the c-axis promotes aseparation between electrons and holes, decreasingthe recombination rate. And that significantly affectsthe quantum efficiency. Consequently, green LEDshave typically been limited, up to now, to half thequantum efficiency level of blue LEDs. This is the so-called ‘green gap’ issue. In nanoLEDs, however, elastic strain relaxation at

their free surfaces prevents the quantum well fromdegrading when the indium content is increased. Furthermore, in the NW-LED core-shell geometry, heterostructures are now grown along m-planes, whichare non polar and are therefore free of piezoelectriceffects. So, even though it has not been demonstratedyet, core-shell nanowire-based LED technology couldoffer a way to overcome the green gap issue.

Cost reductionBesides their substrate quality and size advantages,various other factors are expected to help lower theoverall cost of light produced by NW-LEDs. First,because of their three-dimensional structure, theeffective light-emitting surface available from a given

chip area is significantly larger than that of conventionalLEDs. And because manufacturing costs are directlyrelated to the area of chip surface used, NW-LEDs’higher light quantity per chip should contribute directlyto lower costs. Second, while current LED technologiesrequire expensive sapphire substrates, the siliconwafers used to fabricate NW-LEDs are much cheaper,especially when used in large diameters. Silicon also is an excellent electrical and thermal conductor, andeasier to dice or to remove for re-use. Thus, assemblyand packaging costs should be reduced as well.

Novel LED lighting paradigmThanks to the great cost-reduction potential shown byNW-LEDs, the high-brightness approach may not bethe only route available to the production of more efficient light sources. Depending on the actual costper cm2 of die that can be achieved, lower brightness,larger source size and even higher lumen/watt devicesmay be possible within an acceptable price range formass-market lighting buyers. That’s especially thecase when NW-LEDs’ drastically reduced thermal man-agement costs are taken into account, as well thedecreased need for optics at the lamp level to avoiddazzling users. For many domestic applications, NW-LEDs may be an ideal answer.

ConclusionThe promising results reported here open the way tothe development of a new breakthrough in lighting.Indeed, even after the initial LED breakthrough thatoccurred at the beginning of the century with theemergence of high-brightness white LEDs, and theamazing improvement in performance since then, thegeneral lighting market is still not widely open to LEDs.NW-LEDs have the potential to greatly extend the

performance limits of solid-state lighting. Moreover,the added potential for lower costs will almost certainlyhelp this new technology to make significant marketinroads. NW-LEDs are the only credible technologydemonstrating sufficient performance at present, aswell as the potential for dramatic further improvementneeded to meet future market demands.The implementation of this remarkable technological

breakthrough promises to accelerate the process ofcost reduction, and to improve LED energy efficiency.

Authors: Xavier Hugon and Philippe Gilet (CEO and CTO of HelioDEL)and Patrick Mottier (Solid State Lighting Business Developmentat CEA-Leti). Leti (www.leti.fr) is an applied R&D institute of the FrenchAtomic Energy Commission (www.cea.fr), focused on micro-and nano-technology and located on the MINATEC campus(www.minatec.com), in Grenoble, France. Hugon and Gilet left Leti to form the start-up HelioDEL.

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

86

Figure 3. A nanowire LED in operation.

Page 87: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Advertisers choose Semiconductor Today for its...

• Accurate, timely editorial coverage of key issues

• Highly targeted 10,000+ international circulation

• Highly competitive rates

• Magazine, website and E-brief package options

• Direct, rapid delivery by e-mail and RSS feeds

Register now for your FREE subscription

at

www.semiconductor-today.com

Photo: Close-up of SolFocus concentrated photovoltaic (CPV) power unit.

Page 88: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

With the wide adoption ofLED technology in LCDbacklight applications,

the LED industry has nowadvanced into the growth stage of its life cycle, reckons globalindustry association SemiconductorEquipment and Materials Interna-tional (SEMI) in its quarterly‘Opto/LED Fab Forecast’, whichtracks more than 250 opto/LEDfabs activities worldwide (withdetail information on fab con-struction and equipment spend-ing, key milestone dates, capacityand ramp-up schedule). To betterserve the soaring demand, theindustry has attracted a hugeamount of capital pouring into theLED supply chain, from equipmentand materials to epitaxy/chipfabrication and packaging capacity. Last year, SEMI recorded three-

fold growth in equipment spend-ing for LED fabs, from $606m in 2009 to $1.78bn in2010. It expects this spree to continue this year, grow-ing 40% to $2.5bn. However, if some of the projectsdo not ramp up as quickly as planned, there may besome spending ‘delay’ to 2012. Still, SEMI says that itremains optimistic about investment in 2012 exceed-ing $2.3bn worldwide. Regional equipment spending shows an aggressive

investment trend from China, notes SEMI (see Figure 1).Supported by subsidy programs from local governments,new LED fab projects have blossomed in the past twoyears in different cities in China with investors fromvarious backgrounds. The country hence accounts foralmost 50% of overall equipment spending. Regarding new LED fabs, SEMI recorded 19 new fabs

that started operation in 2010 and it expects to seeanother 27 begin operation this year (see Table 1).Their geographic distribution is mostly in Asia, especially

China, Taiwan, and Korea. For 2012, with current infor-mation on hand, SEMI counts 15 new fabs comingonline, mostly in China. So, in total over 2010–2012,

Market focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

88

Fab capacity expansion of 50% to drive 40% equipment spending growthin 2011, according to SEMI’s Industry Research and Statistics group.

LED fab equipment spendingtriples in 2010, driven byChina

Table 1: LED fabs starting operation.

Figure 1: LED equipment spending by region (in $USm). Source: SEMI Opto/LEDFab Forecast March 2011.

0 200 400 600 800 1000 1200

EU and Mideast

SE Asia

Americas

China

Taiwan

Japan

Korea

Page 89: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

61 new LED fabs shouldhave become operationalglobally, it is reckoned. Global LED fab capacity

reached 4.35 millionwafers per month (wpm,2”-wafer equivalent).“We expect strongdemand from LCD back-light to continue to drivethe capacity growth in2011, with a 50%increase to 6.51 millionwpm (2” equivalent),”says the marketresearch report’s authorClark Tseng. Taiwan and China are

the two leading regionsby LED epi/chip capacityin 2011, followed byJapan and Korea. However, in view of theupcoming fabs fromChina, China’s LEDcapacity is expected togrow from 1.4 million wafers per month in 2011 to 2.3 million wafers per month in 2012 (2” equivalent),becoming the capacity leader. Current investment momentum is clearly driven by

strong demand from LCD backlighting in the short andmedium term, and by anticipation of LED adoption inthe general lighting market in the longer term, com-ments SEMI. However, there is one other importantfactor that came into play — government subsidies, it adds. “We may see some cutback on metal-organic

chemical vapor deposition (MOCVD) subsidy from localgovernments in China, and this certainly will havesome impact on the scale of LED investments,” saysTseng. “However, with the announcement of the Chinagovernment’s 12th Five Year Plan, it is believed thatthe China government will continue to foster the LEDmarket as a whole, which will help the LED market in amore balanced approach,” he concludes.

www.semi.org/en/Store/MarketInformation/OptoLEDFabForecast

Market focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

89

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Figure 2: LED fab capacity by region, 2011. Installed capacity in wafers per month (2”equivalents, Q4/2011 forecast). Source: SEMI Opto/LED Fab Forecast March 2011.

Installed capacity in wafersper month (in 2” equivalents,Q4/2011 Forecast)

Page 90: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Arecord fourth-quarter 2010capped a remark-

able eight quarters forleading MOCVD reactormakers Aixtron and Veeco.MOCVD shipments toproduce blue/green LEDsfrom suppliers Aixtron,Applied Materials,Jusung, Veeco and TaiyoNippon Sanso rose forseven consecutive quarters(as shown in Figure 1).Tool shipments were upa remarkable 250% from228 in 2009 to 798 in2010. Aixtron and Veeco con-

tinued to dominate thismarket (see Figure 2),accounting for a 97%share in 2010 despite anumber of new entrants.As one of the early play-ers in this space, Aixtronhas many more toolsinstalled than Veeco,offering both horizontaland vertical approachesand multiple platforms ofeach approach and con-suming less gas than thelatest Veeco tools. Also,until recently Aixtron hada healthy advantage intool capacity in the formof the CRIUS II and G5.As a result, Veeco mustoften unseat Aixtron towin business, which has

Market focus: MOCVD

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

90

Ross Young of IMS Research forecasts that, driven by demand from China,emerging solid-state lighting, and continued penetration of LED backlighting, themetal-organic chemical vapor deposition market may exceed 1000 tools in 2011.

2010: a tremendous year forMOCVD suppliers, but will itcontinue in 2011?

Figure 2: Q1/2009–Q4/2010 GaN MOCVD supplier share.

Figure 1: Q1/2009–Q4/2010 GaN MOCVD shipments.

Source: IMS Research’s Quarterly GaN LED Supply and Demand Report

Source: IMS Research’s Quarterly GaN LED Supply and Demand Report

Q1/09 Q2/09 Q3/09 Q4/09 Q1/10 Q2/10 Q3/10 Q4/10

Q1/09 Q2/09 Q3/09 Q4/09 Q1/10 Q2/10 Q3/10 Q4/10

250

200

150

100

50

0

80%

70%

60%

50%

40%

30%

20%

10%

0%

Merc

hantG

aN

MO

CV

D s

hip

ments

Share

Aixtron Veeco OtherNippon Sanso

Page 91: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

not been easy given existing players’ familiarity andacceptance of Aixtron tools and their capacity advantage. However, Veeco has proven it can take share from

Aixtron, as shown in Figure 2 with its unit share risingfrom 31% to 42% from 2009 to 2010 on the accept-ance of the K465i (whichis believed to generatefewer contaminants inthe process chamber,resulting in less mainte-nance and higheruptime). Veeco has wonsignificant business inChina and Korea overthis period and has alsostarted improving itsshare in Taiwan, whereAixtron has long beendominant. As a result, forthe past two quarters,the K465i was the LEDindustry’s best-sellingtool. In addition, in early 2011, Veeco scaledthe process chamber tosizes comparable to theCRIUS II and G5,mounted 2–4 process

chambers on a central transfer chamber, and acceleratedits temperature settling time capabilities, setting a newstandard for industry throughput in its new MaxBrightGaN MOCVD ‘cluster’ system. With just a 13% share inQ1/2009, Veeco has made significant progress.

Market focus: MOCVD

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

91

Figure 3: Aixtron’s and Veeco’s quarterly revenues and operating margins.

Figure 4: LED backlight penetration by application.

Source: IMS Research’s Quarterly GaN LED Supply and Demand Report

Source: IMS Research’s Quarterly GaN LED Supply and Demand Report

Q1/09 Q2/09 Q3/09 Q4/09 Q1/10 Q2/10 Q3/10 Q4/10

2009 2010 2011 2012 2013 2014

40%

30%

20%

10%

0%

–10%

–20%

–30%

–40%

$400

$350

$300

$250

$200

$150

$100

$50

$0

100%

90%

80%

70%

60%

50%

40%

30%

20%

10%

0%

Quart

erl

y r

evenues

LED

BLU

penetr

ati

on

Quart

erl

y o

pera

ting m

arg

ins

Aixtron operating margin Veeco operating margin

Veeco revenueAixtron revenue

TVsNBs Monitors

Page 92: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

If you look at each company’s financials, you can seethat both have come a long way very quickly, as shownin Figure 3. Aixtron’s revenues rose 142% in 2010 toover $1bn, while Veeco’s revenues grew even faster,up 230% to $933m. In addition, the firms also becamehighly profitable, with Aixtron’s operating margins risingfrom 21% in 2009 to 35% in 2010 and Veeco’s growingfrom –2% to 30%, a dramatic improvement. Of course, the reason for

all of this sudden growthis the rapid adoption ofLEDs into backlighting (as shown in Figure 4)and the potential forwidespread adoption ofLEDs into general light-ing. In backlighting, LEDcosts have come down to similar levels to theincumbent cold-cathodefluorescent (CCFL) tech-nology, up to panel sizesof 15–17”. In addition,with premiums and costscontinuing to fall at larger sizes while offering numer-ous advantages (including thinner form factor, lighterweight, increased portability, no mercury, faster turn-ontimes etc), penetration will continue to increase. For general lighting, LEDs are priced at much higherpremiums and will require continued cost reductionsbefore seeing a similar uptake. However, general light-ing represents an enormous replacement market. Thecombination of these two and other smaller opportunities

has existing LEDmanufacturers sig-nificantly expandingcapacity while alsoattracting numerousnew entrants. In fact,we show over 30 newplayers receivingMOCVD tools in 2010and 2011, excludingJVs in China (whichwould easily growthis figure closer to40). With more than90 firms now buyingMOCVD tools, it hascreated a muchlarger market. This rapidly growing

market has also produced significantchanges in regionaldemand. As shown in

Figure 5, Korea and Taiwan dominated MOCVD installa-tions in 2009 and through first-half 2010, but in second-half 2010 China became the leading region and dominatedQ4/2010 installations. We expect China’s share toremain dominant in 2011 as well for a few reasons.First, the Chinese government has established signifi-cant incentives to make LEDs in China, resulting in largetool subsidies, tax breaks, and discounted or free land,among others. Second, with the incentives administeredat the provincial level, some provinces are ending theirsubsidies ahead of plan due to other priorities leading toaccelerated deliveries in those areas. Third, a numberof provinces are competing to attract more investmentin their regions and are offering additional incentiveswhich are expected to continue beyond the originalMOCVD subsidy plan. Fourth, the Chinese governmenthas created a large street-lighting program (resultingin strong domestic lighting demand) and is expected tocontinue to foster a domestic LED lighting industry andeventually include domestic content requirements sup-porting LED manufacturers based in China. With subsidized demand from China, solid-state light-

ing beginning to emerge as prices fall, and LED back-lighting continuing to gain ground, we do not see theMOCVD market slowing down in 2011. Based on oursurvey of LED manufacturers worldwide, we believethe merchant MOCVD market may exceed 1000 toolsfor the first time in 2011, up 37%, producing anotherexcellent year for MOCVD manufacturers.

Author: Ross Young, senior vice president, Displays, LEDsand Lighting, IMS Research. www.ledmarketresearch.com

With subsidizeddemand from China,solid-state lightingbeginning to emerge asprices fall, and LEDbacklighting continuingto gain ground, we donot see the MOCVDmarket slowing downin 2011. The merchantMOCVD market mayexceed 1000 tools

Market focus: MOCVD

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

92

Figure 5: Regional MOCVD demand.

Source: IMS Research’s Quarterly GaN LED Supply and Demand Report

Q1/09 Q3/09 Q1/10 Q3/10 Q1/11 Q3/11

90%

80%

70%

60%

50%

40%

30%

20%

10%

0%

Regio

nal M

OC

VD

share

China Europe Japan Korea Taiwan USA

Page 93: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

S E M I C O N D U C TO R

P H OTOVO LTA I C

L E D

M E M S

P R I N T E D / F L E X I B L E E L E C T R O N I C S

E M E R G I N G M A R K E T S

T H E P R E M I E R I N T E R N AT I O N A L E V E N T S F O R M I C R O – A N D N A N O – S C A L E M A N U FA C T U R I N G

SEMI EXPOSITIONS

U P C O M I N G E V E N T S

JULY 12-14Moscone Center

San Francisco, Californiawww.semiconwest.org

SEPTEMBER 7-9 Taipei World Trade Center

Taipei, Taiwanwww.semicontaiwan.org

OCTOBER 11-13Messe Dresden

Dresden, Germany www.semiconeuropa.org

For the complete schedule of 2011 SEMI Expositions, visit www.semi.org/events

S E M I C O N W E S T 2 0 1 1

S E M I C O N T A I W A N 2 0 1 1

S E M I C O N E U R O P A 2 0 1 1

North America’s largest microelectronics manufacturing event

More than 100 hours of technical conferences, sessions, an presentations covering the microelectronics supply chain from design/EDA to advanced packaging and test

New—TechZONE exhibit pavilions covering high-brightness LEDs, MEMS, printed/flexible electronics, design, manufacturing services, materials, and secondary equipment and services

at the Innovation Technol

semiconductor cluster in Europe

and Technology

where plastic, organic and printed technology meets manufacturing

Page 94: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

After global events put a damper on the economyfor the start of 2009, the gallium arsenide (GaAs)device market is in the midst of a strong recovery.

After a slow start, the market surged toward the latterpart of 2009 and revenues finished essentially flat with2008 levels. The growth that began in the latter part of2009 continued throughout 2010. To gain a better perspective of the trends that will

drive the GaAs market in the future, it is instructive tolook at what has happened to the market over the pastdecade. As the global economic crisis deepened, it waseasy to draw parallels to the ‘telecom bubble’ of theearly 2000s. Figure 1 shows our analysis of the yearly growth rate

for GaAs devices over the last decade. Following thedramatic growth at the end of the 1990s, the chartclearly shows an equally spectacular contraction thatdrove the GaAs market lower by nearly 35% over thenext two years. During the growth period at the end ofthe 1990s, the drivers were Internet connectivity and2G wireless networks. Companies responded by build-ing high-capacity networks and enormous quantities ofhandsets for demand that never materialized. It sub-sequently took the GaAs industry several years to workthrough this excess inventory. The difference now is the seemingly insatiable

consumer demand for data services across both fixedand mobile platforms. Figure 2 shows results and esti-mates from Cisco’s Virtual Networking Index initiative.This report categorizes the amount and type of inter-net protocol (IP) data that consumers and businessesare using. By their estimate, users transmitted nearly14,700 petabytes (1015 bytes) of IP traffic per monthin 2009. They believe this number will increase byslightly more than a factor of four to 63,900 PB by2014. To put this into context, they claim that it willtake more than two years to watch the amount ofvideo that will cross global IP networks every second in 2014. While it represents the smallest segment ofthis estimate, they expect mobile data to have thefastest growth, increasing by a factor of 39 between2009 and 2014.

The biggest enabler of the mobile data increase andthe most important driver of the GaAs RF IC market isthe handset segment. The number of handsets soldeach year dwarfs devices sold in other market segments.As the use of feature-laden smartphones increases,mobile data consumption will continue to rise quickly.To meet these growing data needs, next-generationnetworks like LTE, HSPA+ and WiMAX make use ofnewly available spectrum, wider channel bandwidthsand more sophisticated modulation schemes toincrease data transmission rates and capacity. Figure 3shows our estimate of the total number of handsetssold and the growth of smartphones.Much of the content of a handset is silicon-based, but

power amplifiers (PAs) and switches in the front-end ofthe phone use GaAs devices. We believe that the addi-tional frequency ranges and the desire of operators tosupply a ‘world-phone’ covering many standards andgeographical areas will increase the number and com-plexity of multi-band, multi-mode GaAs PAs and theswitching networks used to select the proper amplifiers.In addition, newer handsets also include Bluetooth,GPS and Wi-Fi radios that also increase the use ofGaAs components. We estimate that 1.7 billion hand-

Market focus: GaAs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

94

Strategy Analytics summarizes how the gallium arsenide radio-frequency ICmarket will see $3.7bn of power amplifiers being consumed by 1.7 billionhandsets in 2014 as multi-band, multi-mode smartphones drive demand.

Market trends in GaAs RF ICs

Figure 1: Yearly GaAs device market growth.

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

50%

40%

30%

20%

10%

0%

–10%

–20%

–30%

–40%

Yearl

y g

row

th r

ate

Page 95: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

sets will ship in 2014, with GaAs PAs accounting fornearly $3.7bn in revenue. This will represent 60% ofall the GaAs device revenue for the year. The rapidly expanding demand for data influences

developments in many of theother GaAs RF IC markets.We have become a mobilesociety transmitting much ofour data wirelessly. This meansthat the entire ‘ecosystem’ ofwireless infrastructure, back-haul, consumer devices andeven satellite-based net-works will grow and becomemore sophisticated as a result of the data explosion.These applications will result in amplifier, control andsignal generation demand for GaAs RF ICs. Looking more closely at the profile of the data traffic,

video is the predominant source. The Cisco VNI reportstates “video will continue to exceed 91% of globalconsumer traffic by 2014”. With rapid adoption offaster broadband, video on demand (VOD) and high-definition television (HDTV) by consumers and 3D television gaining traction, bandwidth requirementsfor wireline broadband networks are also increasing. As data usage increases, the optical networks that

aggregate and transport traffic from metro areas arerunning at higher capacities with more sophisticatedmodulation schemes. Portions of several networks cur-rently have 100Gbps capabilities, and higher data ratesare moving deeper into the entire network. Severalservice providers are taking advantage of the inherenthigh capacity of fiber-optic cables by running themdirectly to the customer premises to supply internetand video services. Even the operators who do nothave all-fiber networks are using fiber deeper into their hybrid fiber coax (HFC) transmission networks. In conjunction with this ‘fiber-deep’ architecture, they

are increasing frequency bands and implementing vari-ants of standards such as DOCSIS to boost data ratesand capacity on the portions of the broadband CATVnetwork that remain coaxial. Both types of networks have opportunities for GaAs

devices. In the fiber networks, some signal conditioningoccurs in the electrical domain. Transimpedance ampli-fiers (TIA), laser drivers and various control componentsuse GaAs and other compound semiconductors in theseoptical–electrical–optical (OEO) transitions. In the coaxialportions of the HFC network, the higher transmissionloss requires multiple stages of amplification as thesignal travels to the customer premises, where thereare also opportunities in the modem and set-top box.In summary, seemingly insatiable consumer demand

for data applications, whether it is text messaging orhigh-definition video, will be at the root of futuregrowth for GaAs RF IC devices. While market segmentslike backhaul, fiber transport, CATV and broadband will see good growth, the handset segment drives theoverall market value for GaAs devices. Increasing

number of bands and complexity should allowGaAs devices to remainfirmly entrenched in futuremarket applications. Strategy Analytics is final-izing our analysis, but pre-liminary results indicate

that the GaAs market recorded revenue growth of 32%in 2010 to reach slightly more than $5.4bn. We antici-pate that this growth will taper somewhat but stillremain strong, and that the GaAs device market willclose 2011 with growth in the 15–20% range.

Author: Eric Higham, Director GaAs & CompoundSemiconductor Technologies, Strategy Analyticswww.strategyanalytics.com

Increasing numberof bands andcomplexity shouldallow GaAs devicesto remain firmlyentrenched

We estimate that1.7 billion handsetswill ship in 2014,with GaAs PAsaccounting fornearly $3.7bn inrevenue

Market focus: GaAs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

95

Figure 2: Monthly IP data traffic in Petabytes. Figure 3: Handset sales and segmentation.

2009 2010 2011 2012 2013 20142009 2010 2011 2012 2013 2014

1800

1600

1400

1200

1000

800

600

400

200

0

Handse

t sh

ipm

ents

(m

illions)Managed IP Mobile data

Smartphone OtherSource: Cisco VNI June 2010 Source: Strategy Anlytics

Internet

70,000

60,000

50,000

40,000

30,000

20,000

10,000

0

Month

ly G

lobal I

P T

raff

ic (

PB

)

Page 96: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Researchers at Mass-achusetts Instituteof Technology (MIT)

and IQE RF LLC in the USAhave used an aluminumgallium nitride (AlGaN)back-barrier to improve thefrequency performance ofindium aluminum nitride(InAlN) high-electron-mobility transistors (HEMTs)[Dong Seup Lee et al, IEEEElectron Device Letters,published online 3 March2011]. The MIT/IQE researchers

say that their work is thefirst use of AlGaN as a back-barrier in an InAlN/GaNdevice. The aim of theback-barrier is to maintainthe performance of smaller(‘scaled’) devices. In partic-ular, the researchers wantedto reduce ‘short-channeleffects’ such as drain-induced barrier lowering (DIBL). These effects arisedue to the reduced charge density in the two-dimen-sional electron gas (2DEG) channel and increased gateleakage current arising from the use of thinner barrierlayers. With an AlGaN back-barrier, it is hoped to main-tain performance with thicker InAlN top-barriers.Unlike the AlGaN alternative, InAlN layers can be

grown lattice matched to GaN if an In fraction of 17%is used. Also, with In0.17Al0.83N there is a large polari-zation discontinuity with GaN, leading to higher chargedensities in, and larger band offset to, the GaN chan-nel, compared with AlGaN/GaN. These features allowsheet resistances for InAlN/GaN structures to be lessthan 300Ω/square. The barrier thickness of the InAlN in HEMT devices

also tends to be thinner (less than 10nm) than withthe corresponding AlGaN HEMTs. A thinner barrierlayer removes the need to etch the top-barrier to bringthe gate electrode closer to the channel (recessed gate),

as is commonly done in making smaller AlGaN HEMTs.Avoiding etch processes is desirable in terms of reducing plasma damage of the device, which can

adversely affect per-formance. These features have

enabled InAlN deviceswith current densitiesup to 2.5A/mm andcut-off frequencies(fT) up to 245GHz. A further feature ofnitride semiconductordevices is the poten-tial for operation inharsh environments.On this line, onegroup has reportedInAlN/GaN HEMT

Technology focus: FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

96

MIT and IQE RF have used an AlGaN back-barrier to increase the cut-off frequency of an InAlN HEMT by 8% from 195GHz to 210GHz.

Figure 1. Schematics of InAlN/GaN HEMTs with and without an AlGaN back barrier.

Back-barrier to InAlN HEMTshort-channel effects

Their work is the firstuse of AlGaN as a back-barrier in an InAlN/GaNdevice. The aim of the back-barrier is to maintain theperformance of smaller(‘scaled’) devices. In particular, theresearchers wanted toreduce ‘short-channeleffects’ such as drain-induced barrier lowering

Page 97: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

operation at temperaturesup to 1000°C. The MIT/IQE HEMT

structures (Figure 1)were grown on siliconcarbide (SiC) substratesusing metal-organicchemical vapor deposition(MOCVD). The furtherprocessing of the epitax-ial material into transistorstructures (mesa isolationetch and metal contactdeposition/anneal) wascarried out at the sametime with the standardand back-barrier HEMTs.The ohmic source–draincontacts consisted of titanium-aluminum-nickel-gold and theSchottky gate consistedof nickel-gold. A final aluminum oxide layerwas (deposited byatomic-layer deposition)acts as passivation. Although the epitaxial

material with back-barrierhas a higher mobility (1635cm2/V-s vs 1369cm2/V-swithout), the carrier density is lower (1.1x1013/cm2 vs1.7x1013/cm2) and the sheet resistance higher(310Ω/sq vs 260Ω/sq). These factors lead to reducedmaximum drain current (1.49A/mm vs 2.05A/mm at2V gate potential) and higher threshold voltage in DCoperation for 65nm gate-length devices. Higher accessresistance reduces the extrinsic transconductance (gm)to 539mS/mm, which is 9% lower than the standarddevice without back-barrier (592mS/mm). At high biases, the back-barrier device also suffers

from self-heatingeffects such as lowsaturation current.This is caused by therelatively low thermalconductivity of theAlGaN back-barriermaterial. One good DC feature

of the back-barrierdevice is smallerdrain-induced barrierlowering (DIBL) atshorter gate lengthsdue to the smaller out-put conductance.

Above a gate length of 100nm, the DIBL of both devicesare less than 50mV/V. Below 100nm, the DIBL of deviceswithout back-barrier increases sharply, while that ofback-barrier HEMTs remains in the 50–60mV/V range. Operation at microwave frequencies from 450MHz up

to 40GHz was also tested (Figure 2). The maximumcut-off frequency (fT) conditions were a drain bias of4.5V and a gate potential of –1.6V for the back-barrierHEMT, and 4.5V and –2.4V, respectively, for the standarddevice without back barrier. The measured maximumfTs were 210GHz and 195GHz for the back-barrier andstandard HEMTs, respectively. The researchers attrib-ute this 8% gain in fT as being due to the high outputresistance of the back-barrier HEMT. “It is expected that the improvement becomes even

more important as the gate length scales below 50nm,”the researchers comment. The maximum oscillation frequency (fmax) was rela-

tively small in both devices due to high resistance ofthe thin rectangular gate. Financial support for the research came from the

US Defense Advanced Research Projects Agency NEXT,a National Science Foundation CAREER Award, and theOffice of Naval Research DURIP program. http://dx.doi.org/10.1109/LED.2011.2111352www.iqep.com Author: Mike Cooke

The measuredmaximum cut-offfrequencies (fTs) were210GHz and 195GHz for the back-barrier andstandard HEMTs,respectively. Theresearchers attributethis 8% gain in fT asbeing due to the highoutput resistance of theback-barrier HEMT

Technology focus: FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

97

Figure 2. RF performance of 65nm-gate-length InAlN/GaN HEMTs (a) with and (b) without AlGaN back barrier.

Page 98: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Researchers from the UK’sUniversity of Sheffield andJapanese firm POWDEC

K.K. have demonstrated superheterojunction field-effect transistors (HFETs) based onpolarization junctions “for thefirst time” [Akira Nakajima et al,IEEE Electron Device Letters,published online 14 February2011]. One super HFET that wasproduced had a breakdown volt-age in excess of 1.1kV withoutthe use of the field plates thatare often used to massage theelectric field distribution in suchdevices.The researchers are aiming to

develop technology for next-generation ultra-low-loss power devices beyond theGaN material limit. They see lateral devices as being“ideally suited for ultra-high-efficiency power ICs withsmall chip size”.The new Sheffield/POWDEC device applies a polarization

technique to create two-dimensional gases of bothelectrons (2DEG) and holes (2DHG), reported by theresearchers in late 2010 (www.semiconductor-today.com/news_items/2010/DEC/SHEFFIELD_241210.htm).The concept has also been applied to nitride semicon-ductor diodes, where operation was maintained up to9kV.Often the polarization fields resulting from the ionic

nature of III-nitride materials can impede device per-formance in light-emitting devices. However, in HFETsthese polarization electric fields that arise from the different polarization properties of the heterostructurelayers also create the 2DEG channels on which conduc-tion between source and drain depends. When theconduction is solely through electrons, these devicescan also be referred to as high-electron-mobility transistors (HEMTs).

In the Sheffield/POWDEC device (Figure 1) the polari-zation fields arise at the interfaces between undopedaluminum gallium nitride (AlGaN) and gallium nitride(GaN) layers. By having two compensating interfacesin the GaN/AlGaN/GaN sequence, the OFF-state can be

maintained to highersource–drain bias.“During the OFF state,these positive andnegative charge quan-tities balance eachother to result in a flatelectric field distribu-tion to maximize thebreakdown voltage,”the researchers write.The ON-state flow

occurs through the2DEG, as in conven-tional HEMTs. How-ever, in the turn-offstage, the 2DEG is

Technology focus: FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

98

University of Sheffield and Japan’s POWDEC demonstrate firstpolarization junction super HFETs.

Figure 1. Schematic of Sheffield/POWDEC super HFET and the electric fielddistribution in OFF-state. There are four electrodes of source (S), gate (G), base(B), and drain (D). The base is electrically connected to the gate. The 2DHG ischarged and discharged during the switching cycle through the base electrode.

Polarization junctionsincrease nitride transistorbreakdown voltage

In the turn-off stage,the 2DEG is removedthrough the drain andthe 2DHG through thebase electrode. The driftregion between thebase and drainelectrodes is thusdepleted. On increasingthe drain bias a flat fieldis maintained in the driftregion, improving thebreakdown capability

Page 99: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

removed through the drain and the 2DHGthrough the base electrode. The driftregion between the base and drain elec-trodes is thus depleted. On increasing thedrain bias a flat field is maintained in thedrift region, improving the breakdowncapability of the device. The researchers see their structure as

being analogous to the reduced surfacefield (RESURF) technique used in siliconhigh-power devices. RESURF uses a ‘drift’region between the gate and drain toreduce the field in lateral devices, allowinghigher voltages to be applied before thecritical electric field is reached.The researchers produced both conven-

tional and the new super HFETs on thesame sapphire wafer, using metal-organicchemical vapor deposition (MOCVD). For the conventional HFETs, the p- andundoped-GaN layers were completelyremoved by using inductive coupledplasma etching. The ohmic source draincontacts consisted of 800°C annealedtitanium-aluminum-titanium-gold layers. The Schottkygate and ohmic base contact consisted of 600°Cannealed nickel-gold on AlGaN and p-GaN, respectively.A 300nm silicon dioxide layer provided passivation.The gate–source distances were 3μm for both

devices. The gate–drain distance for the conventionaldevice was 10μm; two gate–drain distances of 10μmand 22μm were produced for the super HFET. The base contact measured 4μm. The distance betweenthe GaN layers and the drain of the super HFET was3μm.The 10μm gate–drain

devices had similarperformance in termsof ON-resistance. The longer 22μmgate–drain distanceincreased the ON-resistance of thesuper HFET from anRON.A value of2.2mΩ-cm2 (10μmvalue) to 6.1mΩ-cm2.There is generally atrade-off between specific ON-resistanceand breakdown voltage.The maximum draincurrent of the 10μmdevice was 0.29A/mmat a gate voltage of+2V.

The peak transconductance of the super HFET(62mS/mm) was depressed relative to the conventionaldevice (81mS/mm) due to the effective gate lengthbeing longer in the super HFET since the base contactwas electrically connected to the gate.The breakdown performance (Figure 2) was demon-

strated at a gate voltage of –15V (OFF-state) with adrain current of 10μA/mm indicating breakdown. Theform of the breakdown curves suggested an avalanchemechanism between the gate and drain.The conventional device breakdown voltage (BV)

occurred at 100V, lower than the results found withHFETs using field-plates. Without the field-plate, thebreakdown tends to occur at the gate edge of the drainside due to electric field crowding. The researchers also suggest that damage from the plasma etch usedto remove the p-/u-GaN layers not wanted for the conventional devices may have contributed to increasedleakage current effects.The 10μm super HFET had a breakdown of 560V,

and that of the 22μm device exceeded that of themeasurement system (1.1kV). The researchers comment: “The BV of super HFETs is

significantly enhanced due to charge compensationeffect between the positive and negative polarizationcharges”. Since the RON.A is almost comparable withpreviously reported conventional HFETs with field-plates, “further improvement can be expected by optimizing the device structure.” http://dx.doi.org/10.1109/LED.2011.2105242www.powdec.co.jp/e/index.htmlAuthor: Mike Cooke

The breakdown voltageof super HFETs issignificantly enhanceddue to chargecompensation effectbetween the positiveand negativepolarization charges.Since the RON.A is almost comparable withpreviously reportedconventional HFETs with field-plates, further improvementcan be expected by optimizing the devicestructure

Technology focus: FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

99

Figure 2. Specific ON-resistance versus breakdown voltage of GaNdevices with D-mode (circle) and E-mode operation (triangle). The dashed lines are theoretical material limits of Si and GaNunipolar devices.

Page 100: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

University of Texas at Austinresearchers have developedindium gallium arsenide

(InGaAs) tunneling field-effecttransistors (TFETs) with ON currentincreased by up to 61% [Han Zhaoet al, Appl. Phys. Lett., vol98,p093501, 2011]. This wasachieved by changing the tunneljunction layers, consisting ofheavily p-type and intrinsic material (p++/i), to heavily p-typeand n-type (p++/n+).The effect of using an n-type

layer in the tunneling diode is toreduce the tunneling width andincrease the tunneling field, whichin turn should allow for increasedON currents and better sub-threshold behavior. Logic transistors need a high ON

current and low OFF current tooperate effectively. This becomes difficult when thethreshold voltage is low, and particularly so for deviceswith large subthreshold swing (SS). Low thresholdvoltages are desired to reduce power consumption andheat generation.Theoretical work suggests that inter-band tunneling

field-effect transistors (TFETs) are not subject to theSS limitation of 60mV/dec at room temperature forconventional MOSFETs. Application of these ideas insilicon or germanium has resulted in devices with lowON current, resulting from the high tunneling barrier. Recently InGaAs has been proposed as a channel

material for high-performance, high-density logic, dueto its much higher mobility value. InGaAs tunnelingstructures might allow higher ON currents due to a lowcarrier effective mass and narrow bandgap. Pennsylvania State University with Cornell University

demonstrated the first InGaAs TFETs in 2009, but thesaturation/ON current was low (20μA/μm) and the SSwas more than 150mV/dec. Texas-Austin managed todouble the ON current and cut SS to 96mV/dec last year.These results have to be compared with 65nm strainedsilicon technology that has achieved ON currents of

1.62mA/μm (1620μA/μm) with SSs of 105mV/dec.The latest Texas-Austin TFETs have a vertical structure,

using molecular-beam epitaxial layers to form the different regions needed to make the channel and tunnel injector (Figure 1). Conventional CMOS manu-facturing tends to favor lateral/planar structures. The tunnel junction consisted of either a p++/i (undoped)

or p++/n+ junction of In0.7Ga0.3As. The p-type materialwas achieved using beryllium (Be, 2x1019/cm3) and the n-type using silicon (Si, 2x1018/cm3) doping. The doping in the n-type layer must be low enough to ensure that it is fully depleted by the p++ layer. Without the depletion, the injection mechanism into theundoped channel layer would be thermionic emission,as in conventional MOSFETs.The etching to create the ‘ring-type’ TFET used a citric

acid/hydrogen peroxide solution in water. The etchstopped about 100nm into the 300nm p++-In0.53Ga0.47Aslayer (leaving 200nm). The gate insulator consisted of5nm of hafnium dioxide (equivalent to a silicon dioxidethickness of 1.2nm) applied using atomic layer deposi-tion (ALD) at 200°C. The gate electrode was sputteredtantalum nitride.

Technology focus: FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

100

University of Texas at Austin uses n-type doping to reduce tunnelingwidth and increase field in InGaAs tunneling field-effect transistors.

Figure 1. Cross section and top view (inset) of ring-type In0.7Ga0.3As TFETs usingp++/i or p++/n+ tunneling junctions with HfO2 gate oxide and TaN gate electrode.

InGaAs tunnel FET with ONcurrent increased by 61%

Page 101: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The drain contact consisted of gold-germanium/nickel/gold and the source was a back contact ofchromium and gold; both contacts were applied withelectron-beam evaporation. The wafer was thenannealed at 300°C in nitrogen to create ohmicsource/drain contact behavior. The minimum sub-

threshold swing was84mV/dec at a drainbias of 0.05V for bothtypes of tunnelingjunction. The similarityof the SS for thesedevices is thought to result from twocompeting factors:the shorter tunnelingwidth for p++/n+

tunneling junctionsreduces SS relative top+/i tunneling; and,higher doping concen-tration in the n+ layergives weaker couplingbetween semiconduc-tor and gate in theMOS structure,increasing SS. The SS was higher

than 60mV/dec, theresearchers believe,due to a poor III-V/oxide interface and,

possibly, dopant diffusion in the tunneling junction (asshown in secondary-ion mass spectroscopy analysis).The interface trap density at the III-V/oxide interfacewas estimated to be ~4x1012/eV/cm2.Gate leakage was less than 0.2mA/cm2 at gate volt-

age of 1V. The threshold voltages of the p++/i andp++/n+ tunnel junction devices were 0.21V and 0.15V,respectively. The drive current of the p++/n+ devicewas enhanced above that of the intrinsic device by61% and 20% at 0.5V and 2V gate voltage overthreshold, respectively. The 2V p++/n+ drive currentwas 60μA/μm. The researchers comment: “This improvement is

believed to be due to a shorter tunneling width and ahigher tunneling electric field for TFETs using p++/n+

tunneling junctions.” The researchers carried out simulation using Sentaurus

Device @ Synopsis software to find the performancetrends for varied n+ doping of the tunnel junction. Thesimulations suggested that an n+ doping of 8x1018/cm3

might be optimum: above this, the off-current is toohigh; below this and the on-current degrades. Sincethe simulation was not calibrated, the researchersstress that this result is only a guideline, and hencesuggestive that optimization in actual devices couldlead to improved performance.Funding came from Intel Corp, the Texas Advanced

Research Program, the US National Science FoundationIntegrative Graduate Education and Research Trainee-ship (NSF IGERT) program, SEMATECH, and MicronFoundation. http://link.aip.org/link/doi/10.1063/1.3559607Author: Mike Cooke

Technology focus: FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

101

Figure 2. (a) Drain current (Id–Vth) and gate current (Ig–Vth) vs gate voltage characteristics of In0.7Ga0.3As TFETs usingp++/i or p++/n+ tunneling junctions and 5nm HfO2 gate oxide. (b) Drain current–voltage (Id–Vd) curves at differentgate voltages over threshold (Vg–Vth) for the same devices (gate width 560μm, gate length 100nm).

Gate leakage was lessthan 0.2mA/cm2 at gate voltage of 1V. The drive current of the p++/n+ device wasenhanced above that ofthe intrinsic device by61% and 20% at 0.5Vand 2V gate voltageover threshold,respectively. The 2Vp++/n+ drive currentwas 60mA/mm. Theresearchers comment“This improvement isbelieved to be due to ashorter tunneling widthand a higher tunnelingelectric field for TFETsusing p++/n+ tunnelingjunctions”

Page 102: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Sweden’s Chalmers University of Technology andUnited Monolithic Semiconductors (UMS, a jointventure between Thales and EADS with production

facilities in Orsay, France and Ulm, Germany) havebeen developing an alternative ohmic metal contactscheme based on tantalum (Ta) for use with aluminumgallium nitride (AlGaN) for high-electron-mobility transistors (HEMTs) [A Malmros et al, Semicond. Sci.Technol., vol26, p075006, 2011]. Overall, the minimumcontact resistances (Rc) found for ohmic contacts withlow- and high-potential-barrier epitaxial materialswere 0.06Ω-mm and 0.28Ω-mm, respectively. GaN HEMTs have potential applications for devices

operating at high temperature, frequency and powerdensity. Low-resistance contacts are needed for suchdevices to reduce losses and self-heating. Conventional ohmic contacts in GaN HEMTs use

titanium (Ti) and aluminum (Al), along with a cap toavoid oxidation of the Al layer. The cap often consistsof nickel (Ni) or Ti with gold (Au). These structuresrequire annealing at about 800°C, which can damagethe performance of the semiconductor heterostructureon which the HEMT action depends. These temperaturescan also melt Al, creating unwanted surface roughnessof the contact.Alternative ohmic metals for use with nitride semi-

conductors are being sought using molybdenum or Ta,for example, which can be annealed at lower tempera-tures.The Chalmers/UMS researchers used transmission

line method (TLM) structures, which are commonlyused to determine the contact resistance ofmetal–semiconductor junctions. The TLM structureswere placed on two particular epitaxial materialsgrown using metal-organic chemical vapor deposition(MOCVD) on silicon carbide substrates (Table 1). Suchepitaxial structures are used for HEMT development. The wafers were diced into pieces measuring

16mm x 16mm before the contact metal deposition.The surfaces were prepared by degreasing, cleaning andetching into mesas. The contacts were created usingphotolithographic patterning and metal evaporation.

The metalized samples were diced further before different anneal treatments were performed. A variety of sample structures were produced (Table 2)

in two main groups with Ta/Al/Ni/Au (samples 1–4)and Ta/Al/Ta (6–17) metallization schemes. The sec-ond group (samples 6–17) was produced with the aimof creating a simpler structure and facilitating betterunderstanding of the contact formation. The final Talayer in the second group is used to prevent oxidationof the Al. A traditional Ti/Al/Ni/Au (sample 5) schemewas also produced for comparison purposes.The annealing of samples was performed in an AG

Heatpulse 610 rapid thermal processor (RTP) in nitrogen.Optimization of the anneal temperature was first carried out with a constant duration of 60s. The minimum contact resistance of 0.41Ω-mm for the

first group of sam-ples (1–4) occurredwith sample 3 at anannealing tempera-ture of 550°C. Theseresults are very sen-sitive to the Al layerthickness, whichmakes process control, and hencemanufacturing, diffi-cult. The comparisonstack (sample 5)became ohmic at625°C with a mini-mum contact resist-ance of 1.63Ω-mm.

Technology focus: FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

102

Ohmic contacts developed with resistance as low as 0.06Ω-mm.

Tantalum-based ohmiccontacts for nitridesemiconductor transistors

Epi I Epi II

Cap GaN, 3 nm –Barrier Al0.14Ga0.86N, 22 nm Al0.25Ga0.75N, 25nmBuffer GaN GaN

Table 1. Epitaxial heterostructures used in theexperiments.

Ohmic contacts in GaNHEMTs use Ti and Al,along with a cap to avoidoxidation of the Al layer.The cap often consists of Ni or Ti with Au. These structures requireannealing at about800°C, which candamage the performanceof the semiconductorheterostructure on whichthe HEMT action depends

Page 103: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

These results were allobtained with the ‘epi I’ structure with a14%-Al AlGaN barrierand 3nm GaN caplayer. The same epi I struc-

ture with Ta/Al/Tametallization (samples6–9) showed muchless sensitivity to theAl layer thickness. Thebest value of contactresistance of 0.06Ω-mmfor sample 9 wasachieved with anannealing temperatureof 550°C. Samples 10–13 on

the epi II structure,with 25%-Al AlGaNbarrier and no GaNcap, exhibited the lowest contact resist-ance of 0.42Ω-mm at600°C. In the lastsequence of samples(14–17), where thebottom Ta layer thick-ness was varied, theminimum contactresistance was alsoachieved at 600°C.

From this point, the researchers focused on the stackwithout Ni-Au, seeing the use of these metals as beingan ‘unnecessary, complicating factor’. The anneal duration was then varied at a temperature

of 550°C (Figure 1). The results suggest that the epi IIstructure requires longer duration to anneal theTa/Al/Ta stack.On the basis of comparing the minimum contact

resistances with the thickness ratios for the Ta and Alratios, the researchers comment: “Both heterostruc-tures exhibited the minimum Rc at a tAl/tTa of 28, whichmay indicate that this value is close to optimum”. How-ever, they also believe that more work is needed toconfirm this.The researchers also studied the sheet resistance of

the epitaxial material, which needs to be maintainedfor transistor performance. The value remained stableat 980Ω/square for epi I up to 575°C. Epi II main-tained its sheet resistance to higher anneal tempera-tures — sample 11 had an increase in sheet resistancefrom its normal value of 420Ω/square to 500Ω/squareafter annealing at 650°C for 60s.SEM and optical analysis showed smooth surfaces of

the metal layers up to 600°C. At higher temperaturesthe surface morphology became rougher. Smoothermorphology would facilitate lateral scaling/size reduc-tion of devices.Further work involved transmission electron

microscopy (TEM) and energy-dispersive x-ray (EDX)spectroscopy. These studies suggested that interdiffu-sion of metals with Ta/Al/Ni/Au metallization causedthe poor performance of this sequence. On the basis oftheir analysis, the researchers believe that the contact

The minimum contactresistance of 0.41ΩΩ-mmfor the first group ofsamples (1–4) occurredwith sample 3 at anannealing temperatureof 550°C. These resultsare very sensitive to theAl layer thickness, whichmakes process control,and hence manufacturing,difficult. The comparisonstack (sample 5)became ohmic at 625°Cwith a minimum contactresistance of 1.63ΩΩ-mm. The same epi I structurewith Ta/Al/Tametallization (samples6–9) showed much lesssensitivity to the Al layerthickness. The best valueof contact resistance of0.06ΩΩ-mm for sample 9was achieved with anannealing temperatureof 550°C

Technology focus: FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

103

Layer thickness (Å) Thickness Min. Rc

Sample Ti Ta Al Ta Ni Au ratio tAl/tTa Epi (Ω-mm)

1 – 100 700 – 400 400 7 I 1.362 – 100 1200 – 400 400 12 I 1.753 – 100 1400 – 400 400 14 I 0.414 – 100 2800 100 – 500 28 I 2.235 200 – 1100 – 400 400 – I 1.636 – 100 700 200 – – 7 I 0.637 – 100 1400 200 – – 14 I 0.268 – 100 2100 200 – – 21 I 0.659 – 100 2800 200 – – 28 I 0.0610 – 100 700 200 – – 7 II 0.4711 – 100 1400 200 – – 14 II 0.5412 – 100 2100 200 – – 21 II 0.4213 – 100 2800 200 – – 28 II 0.2814 – 50 2800 200 – – 56 I 0.2515 – 200 2800 200 – – 14 I 0.4916 – 50 2800 200 – – 56 II 0.4217 – 200 2800 200 – – 14 II 1.45

Table 2. List of fabricated samples and the minimum Rc achieved.

Page 104: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

mechanism is dueto the formation ofa metal-nitrogencompound at themetal–semicon-ductor interface,causing donor-likenitrogen vacanciesin the semicon-ductor.Thermal stability

of the contactswas studied bystoring samples 7and 9 in air at300°C. Theymaintained theirinitial contactresistance valuesfor more than 400

hours. However, there was an increase in the epitaxial structure’s sheet resistance of 6–8% over the testperiod. The research was carried out as part of Sweden’s

Microwave Wide Bandgap Technology project financedby Swedish Governmental Agency of Innovation Systems (VINNOVA), the Swedish Energy Agency,Chalmers University of Technology, Ericsson AB,Furuno Electric Co Ltd, Infineon Technologies NordicAB, Norse Semiconductor Laboratories AB, Norstel AB,NXP Semiconductors BV, and Saab AB. United Mono-lithic Semiconductors (UMS) and the Fraunhofer Insti-tute for Applied Solid State Physics (IAF) suppliedmaterials for the study. http://dx.doi.org/10.1088/0268-1242/26/7/075006www.chalmers.se/en www.ums-gaas.com The author Mike Cooke is a freelance technologyjournalist who has worked in the semiconductor andadvanced technology sectors since 1997.

Technology focus: FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

104

Figure 1. Rc versus time of annealing at 550°C for samples 6–17: (a) Ta/Al/Ta metallization on epi I, (b) Ta/Al/Tametallization on epi II and (c) Ta/Al/Ta metallization on epi I and II with different Ta layer thicknesses.

Further work involved TEMand EDX spectroscopy.These studies suggestedthat interdiffusion of metalswith Ta/Al/Ni/Aumetallization caused thepoor performance of thissequence... the researchersbelieve that the contactmechanism is due to theformation of a metal-nitrogen compound at themetal–semiconductorinterface, causing donor-like nitrogen vacancies inthe semiconductor

Page 105: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

The International Conference onCompound Semiconductor Manufacturing Technology

“Sharing Ideas Throughout the Industry”

Once againTeaming with CSMantech

Early Registr

ation D

eadline

April 21, 2

011

ww

w.c

sma

nte

ch.

org

Full

de

tails

on

wo

rksh

op

s, te

chn

ica

l se

ssio

ns a

nd e

xhib

itors

May 16-19, 2011

Hyatt Grand Champions Resort and SpaIndian Wells (Palm Springs), California USA

Page 106: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

There has been a recent push to integrate III-V materials with silicon complementary metal oxide semiconductor (CMOS) field-effect

transistor (FET) logic. This push is aimed in threedirections: high-mobility channels for logic transistors,high-frequency/high-power nitride semiconductordevices, and photonics systems. Indium gallium arsenide (InGaAs) is the main material

being developed with a view to enhancing the perform-ance of the CMOS logic circuits that are at the base ofpresent day electronics. In particular, as these devicesbecome smaller, the electronic properties of silicon areinsufficient to overcome a series of ‘short-channeleffects’. InGaAs is attractive because it has a muchhigher mobility than silicon. InGaAs could also be usedto produce photonic devices that detect and emit lightinto silicon-based waveguides with CMOS-controlledelements such as optical attenuators. Further, since the development of gallium nitride (GaN)

layers on silicon, researchers have considered the possibility of developing integrated devices combiningthe high-frequency/power/temperature capabilities ofnitride semiconductors with the logic versatility ofhigh-performance silicon electronics. A particularattraction is the commercial availability of 6-inch(150mm) GaN-on-silicon wafers that could be used infabrication facilities originally designed for silicondevices such as silicon-based power transistors.The road to such integration is not straightforward

but has many problems that are being intensivelyworked on by researchers. One hurdle to overcome inthis is the use of gold in producing ohmic connectionsto the source and drain (S/D) regions of III-V field-effect transistors, both for InGaAs (Table 1) andfor nitride semiconductors. The problem is that gold is ‘banned’ in silicon device

fabrication, since gold produces acceptor and donorlevels in silicon that poison device performance. Copper is another CMOS poison. However, copper has

found use in CMOS wiring in high-performance and/orlow-power devices, although the metal has to be care-fully separated from the CMOS layers with barriers con-sisting of materials such as tantalum or tantalum nitride. The presence of gold in the typical nitride semiconductor

ohmic metal layer stack titanium/aluminum/XY/gold(Ti/Al/XY/Au) is thought to improve the contact resist-ance by creating gallium vacancies in the nitride semi-conductor material and by preventing oxidation of thestack by the atmosphere. The XY-layer is a diffusionlayer (typically nickel, palladium or molybdenum). On the negative side, long-term gold diffusion has also been associated with degradation of the ohmiccontacts. This latter possible effect gives another motivation for developing gold-free set-ups. For nitride devices, selective doping of the S/D contacts

has been attempted in creating gold-free processes,but these methods tend to reduce breakdown voltagesand increase leakage currents. Complicated regrowthmethods have also been tried — however, these wouldbe expensive to implement in manufacturing.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

106

High-speed logic, high-frequency/high-power transistors and photonicssystems could benefit from marrying with silicon substrates. One impedimentto this is contact formulations that use gold. Researchers are working to find a way to union through gold-free contacts. Mike Cooke reports.

Making III-V contactwith silicon substrates

Material system NC(cm–3) ρc(Ω-μm2) Type

Mo 3.6x1019 1.3 nNi/Au-Sn/Ni 2.2x1018 4 nAuGe 2.2x1018 8 nAu-Ge-Ni 1x1017 50 nAu/Pt/Ti 3x1019 20 nPd/AuGe 2.3x1019 40 nAu/Sn/Au 3x1019 30 nNi/Au-Zn/Ni 1.5x1018 2000 pAu/Pt/Ti 2x1019 1100 pPt/Ti 5x1018 900 pPd/AuGe 4x1019 400 pAuBe/Pt/Au 2x1019 750 p

Table 1. Possible contact materials for InGaAsdevices.

Page 107: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Self-aligned InGaAsWith InGaAs MOSFETs, it is hoped to mimic siliconCMOS processes that presently use a self-aligned silicide (‘SAlicide’) S/D process. The self-alignmentuses previously patterned structures rather than a separate lithographic process (that would require precise alignment) to form the S/D contact regions. National University of Singapore (NUS) and Taiwan

Semiconductor Manufacturing Company (TSMC) claimthe first demonstration of self-aligned nickel (Ni)source/drain contacts for InGaAs n-MOSFETs [XinguiZhang et al, Electrochemical and Solid-State Letters,vol14 pH60, 2011, published online 19 November 2010]. Ideally, source/drain contacts should be ohmic. The

reaction of the Ni with InGaAs during rapid thermalannealing creates a material with sheet resistance of20Ω/square. The contact is found to be ohmic in thecase of Ni annealed with n-InGaAs, while a Schottky(rectifying) contact is formed with p-InGaAs. “This work (and that of others along similar lines)

suffered from high off-state leakage current and a rela-tively low on-state to off-state current ratio,” says oneof the leaders of this research, Dr Yee-Chia Yeo. “This problem has been resolved in our follow-up work[Xingui Zhang et al, International Symposium on VLSITechnology Systems and Applications, Session 2, T24,25 April 2011]. In this new work, an n+ implantedregion is introduced beneath the self-aligned Ni-InGaAsregions to suppress the off-state leakage current.” NUS/TSMC’s salicide-like metallization process for

Ni-InGaAs contacts (Figure 1) begins with surface

preparation and atomic layer deposition (ALD) of aluminum oxide (Al2O3) gate dielectric/insulator on the epitaxial InGaAs layers on indium phosphide (InP)substrates. The gate electrode consisted of tantalumnitride (TaN). A spacer was not used. The application of a silicon implant step before the

source/drain metallization was found to reduce theOFF-state current by a factor of 10 (Figure 2). The

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

107

Figure 2. Devices with a source/drain (S/D) implantshow a reduction of over one order of magnitude inthe OFF-state current (IOFF) in the saturation regime.

Figure 1. (a) Process flow for fabrication of In0.7Ga0.3As n-MOSFETs with self-aligned Ni-InGaAs contacts andmetal S/D. (b) The salicide-like metallization process comprises a reaction of Ni with InxGa1–xAs and aselective removal of excess Ni using a wet etch.

Page 108: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

implant also gave a slight enhancement to thetransconductance (Figure 3) due to a 16% reducedS/D resistance (median values). The median sub-threshold swing was also reduced by 19% for theimplanted devices (from a high value of ~250mV/dec). The n-MOSFETs reported last year had a gate length of

1μm and demonstrated an on-state/off-state draincurrent ratio of ~103 and peak transconductance Gm

of 74μS/μm. Earlier last year some of the sameresearchers used a nickel germanium formulation toproduce the first CMOS-compatible III-V n-MOSFET withself-aligned contacts [reported at www.semiconductor-today.com/news_items/2010/JUNE/VLSI_180610.htmand Semiconductor Today, June/July 2010, p83]. Scientists variously based at University of Tokyo,

National Institute of Advanced Industrial Science andTechnology (NAIST), Sumitomo Chemical Co Ltd havealso developed a self-aligned Ni-InGaAs alloy S/Dprocess for application in InGaAs nMOSFETs[SangHyeon Kim et al, Appl. Phys. Express, vol4,p024201, 2011; reported www.semiconductor-today.com/news_items/2011/FEB/NAIST_040211.htm andSemiconductor Today, February 2010, p104]. With anIn0.7Ga0.3As channel, the device achieved an on/offcurrent ratio of 104 and subthreshold slope of147mV/dec (a low figure indicates a desirable sharpturn-on of current). Other III-V devices have achieved120mV/dec and better. Silicon CMOS can achieveabout 70mV/dec, near to the theoretical limit of60mV/dec for planar structures. In work presented at IEDM [Semiconductor Today

Dec/Jan 2010/2011, p100], the US-based SEMATECH

industry research consortium reported on Al/TiN con-tacts, obtaining a source–drain resistance value of1880.8Ω-μm, which the researchers believe is consis-tent with a sheet resistance of 300Ω/square and acontact resistance 10–6Ω-cm2. An improved contactresistance of 10–8Ω-cm2 (1Ω-μm2) has been achievedin experiments, the details of which have yet to be pub-lished by SEMATECH. For InGaAs photonics, the European Union framework 7

(FP7) projects Wavelength Division Multiplexed PhotonicLayer on CMOS (WADIMOS, www.wadimos.eu) andpHotonics ELectronics functional Integration on CMOS(HELIOS, www.helios-project.eu) have supported workon gold-free contacts for InP-based photonics devices onsilicon. In one France-based study [L. Grenouillet et al,‘CMOS compatible contacts and etching for InP-on-sili-con active devices’, Group IV Photonics conference,San Francisco, 9–11 September 2009], Ni/Al and Ti/Alwere used as ohmic contacts on n-InP, giving contactresistance values of 2x10–5Ω-cm2 and 5x10–5Ω-cm2,respectively, without annealing. A CMOS-styleTi/TiN/AlCu stack was also investigated, giving contactresistances of 1x10–4Ω-cm2 on n-InP and 6x10–5Ω-cm2

on p-InGaAs, again without annealing.

Gold-free nitridesFor nitrides, Massachusetts Institute of Technology(MIT) has recently developed gold-free ohmic contactson high-electron-mobility transistors (HEMTs) on siliconsubstrates with performance close to that of traditionalcontacts containing gold [Hyung-Seok Lee et al, IEEEElectron Device Letters, published online 20 March 2011].

The MIT researchersused 4-inch (111)-orientedsilicon wafers (100mmdiameter) on whichnitride semiconductor layers had been grown(Figure 4) using metal-organic chemical vapordeposition (MOCVD): theundoped GaN buffer layerwas 1.8μm thick; the aluminum gallium nitride(AlGaN, with 26% Al) barrier and undoped GaNcap layers were 17.5nmand 2nm, respectively. The mesa isolation and

recessing were carried outusing electron cyclotron

resonance (ECR) etching with boron trichloride/chlorineplasma. The metal contact stack was deposited usingelectron-beam evaporation. The gold-free stack consistedof titanium (Ti), Al and tungsten (W). The final tungstenlayer forms a barrier to prevent oxidation of the Al.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

108

Figure 3. Transconductance–gate potential (Gm–VGS)curves for devices with and without silicon implant ofS/D regions. Slight Gm enhancement was observedfor device with S/D implant.

The gold-freecontact (Ti/Al/W)was much smoother,due, it is thought, tothe absence of AuAl4alloys... These results enablethe fabrication ofhigh-performanceGaN power devicesin silicon fabswithout the risk ofcontaminating thesilicon wafers

Page 109: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Rapid thermal annealing was used with a temperatureof 600–1000ºC. It was found that annealing at morethan 800ºC (900ºC for samples without recess) wasneeded to give linear ohmic behavior. Various recessing depths were tried: 0nm (i.e.

no recessing), 15nm and 30nm. A gold-based stack(titanium-aluminum-nickel-gold) was also produced asa control sample. The lowest contact resistance for thegold-free set up was obtained with 30nm recessing:0.49Ω-mm with 870ºC anneal. The best results for no- and 15nm-recessing were 2.02Ω-mm (950ºCanneal) and 1.71Ω-mm (870ºC). The anneal times forthese results were 30 seconds.The full recessing of 30nm puts the ohmic metal stack

in contact with the two-dimensional electron gas (2DEG)that forms the channel of the nitride semiconductorHEMT. It is also thought that formation of nitrided Al and Ti after annealing reduces the energy barrierbetween the contact metal and 2DEG. Specific contact resistances were measured using

linear transmission line method (LTLM) structures (Figure 5a). The Ti/Al/W stacks with (30nm) and with-out recessing had specific contact resistances of6.5x10–6Ω-cm2 and 8.7x10–5Ω-cm2, respectively. Thevalue for the control Ti/Al/Ni/Au contact annealed at870ºC was 3.1x10–6Ω-cm2. The contact resistance forthe control was 0.38Ω-mm. The researchers also studied the surface smoothness

(Figure 5b) of the various contacts (morphology). The gold-free contact (Ti/Al/W) was much smoother,due, it is thought, to the absence of AuAl4 alloys. Such smoothness is an advantage in highly scaled (i.e.ultra-small) devices.

Apart from the source–drain ohmic contact structures,all samples underwent nominally the same epitaxialand fabrication processes.After the source–drain contacts had been formed, the

gate structure (3nm Ga2O3 dielectric, Ti/Al/W metalelectrode stack) was formed and 20nm Al2O3 passivationwas applied using atomic layer deposition. The Ga2O3

dielectric was formed by exposing the gate region tooxygen plasma. The produced transistors had gate lengths of 3μm.

The gate–source and gate–drain distances were both1.5μm. All the devices had a threshold voltage of about–2.2V (depletion-mode, normally-on), gate leakageless than 10mA/mm and 87V three-terminal break-down (at a gate potential of –6V). However, the 100mA/mm on-current behavior was

much improved through recessing with a source–drainvoltage drop of only 0.78V, rather than the 1.31Vneeded for the non-recessed sample. The traditionalgold-based contacts had a 0.68V drop at the same current level. The recessed contacts therefore have onlya 15% increased voltage compared with the traditionalohmic structure. Since the devices are ‘normally-on’,the gate potential in these measurements is zero. The researchers conclude: “These results enable the

fabrication of high-performance GaN power devices inSi fabs without the risk of contaminating the Si wafers,which enables the large-scale production of GaN powerelectronics.” The MIT research was financially supported in part by

the Advanced Research Projects Agency—Energy (ARPA-E)Agile Delivery of Electrical Power Technology (ADEPT)program.

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

109

Figure 4. (a) Schematic cross section of the fabricated undoped AlGaN/GaN HEMTs with S/D ohmic recess.(b) SEM image of the cross section of a Ti/Al/W contact after annealing. Before metallization, the ohmiccontact region was recessed ~30nm.

Page 110: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Another recent report of gold-free source–drain contacts for nitride HEMTs comes from Sweden’sChalmers University of Technology and France/Germany-based United Monolithic Semiconductors (UMS) [A Malmros et al, Semicond. Sci. Technol., vol26,p075006, 2011; see separate report this issue].Although this research was not directed towards

nitride HEMT integration with silicon, the researcherscompared a traditional Ta/Al/Ni/Au metallizationscheme with a gold-free Ta/Al/Ta formulation thatmight be of interest in such work.

Mike Cooke is a freelance technology journalist who has workedin semiconductor and advanced technology sectors since 1997.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

110

Figure 5. (a) Total resistance for different ohmic metallization processes as a function of contact distance. (b) Optical micrograph images of the surface for (left) Ti/Al/W recessed contacts and (right) standardTi/Al/Ni/Au contacts.

Page 111: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Compound Semiconductor Week 2011

May 22 – 26, 2011Berlin, GermanyMaritim proArte Hotel

Following the last year‘s success in Takamatsu, Japan, in 2011 Compound Semiconductor Week

brings together 2 conferences in Berlin, Germany, on May 22 – 26, 2011

Organized by:

38th International Symposium on Compound Semiconductors (ISCS)

!" Crystal growth and deposition techniques

!" Oxides and carbon related materials

!" Nanotechnologies and nanostructures

!" Physics, simulation and characterization

!" Light emitters and detectors

!" High frequency and high power electronics

!" Novel device concepts (spintronics, metamaterials, nanowires)

!" Sensors and actuators

23rd International Conference on Indium Phosphide and Related Materials (IPRM)

!" Bulk materials and epitaxy

!" Nanostructures and novel materials

!" Optoelectronics and related processing technologies

!" Electron devices and related processing technologies

!" Photonic integration technologies

Plenary Speakers:

Andre Geim (Nobel Laureate in Physics 2010) ­ University of Manchester: „Graphene“

Connie Chang­Hasnain ­ University of California, Berkeley: „Novel Nanophotonic Devices“

Meint Smit ­ Technical University Eindhoven: „A new era for InP­based photonics”

Rump session:

Semiconductor Quantum Dots for Electronics and Photonics: Promises and Accomplishments

organized by Dieter Bimberg, Technical University Berlin

38th International Symposium on Compound Semiconductors – ISCS 2011

23rd International Conference on Indium Phosphide and Related Materials – IPRM 2011

Registration for attendees and exhibitors is open at: www.csw2011.org

Page 112: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

112

suppliers’ directory

1 Bulk crystal source materials p112

2 Bulk crystal growth equipment p112

3 Substrates p112

4 Epiwafer foundry p113

5 Deposition materials p113

6 Deposition equipment p114

7 Wafer processing materials p114

8 Wafer processing equipment p115

9 Materials and metals p115

10 Gas & liquid handling equipment p115

11 Process monitoring and control p115

12 Inspection equipment p116

13 Characterization equipment p116

14 Chip test equipment p116

15 Assembly/packaging materials p116

16 Assembly/packaging equipment p116

17 Assembly/packaging foundry p116

18 Chip foundry p116

19 Facility equipment p116

20 Facility consumables p117

21 Computer hardware & software p117

22 Used equipment p117

23 Services p117

24 Consulting p117

25 Resources p117

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

The Fox Group Inc 200 Voyageur Drive, Montreal, Quebec H9R 6A8, Canada Tel: +1 925 980 5645 Fax: +1 514 630 0227 www.thefoxgroupinc.com

Epistone Comp-Semi MaterialsInc 2371 Marion Ave., Fremont, CA 94539, USATel: +86 755 28968489Fax:+86 755 89724120www.epistone.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Page 113: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

113

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Nikko Materials 125 North Price Road, Chandler, AZ, USA Tel: +1 480 732 9857 Fax: +1 480 899 0779 www.nikkomaterials.com

SiCrystal AGGuenther-Scharowsky-Str. 1D-91058 Erlangen, GermanyTel: +49 (0) 9131 / 73 33 97Fax: +49 (0) 9131 / 73 22 37www.sicrystal.de

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

TECDIA Inc (see section 16 for full contact details)

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer Technology Ltd34 Maryland Road, Tongwell, Milton Keynes, Bucks, MK15 8HJ,UK Tel: +44 (0)1908 210444Fax: +44 (0)1908 210443www.wafertech.co.ukWafer Technology Ltd is a UK-based producer of III-V materials and epitaxy-ready substrates offeringthe widest product range in the business.

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

The Fox Group Inc (see section 3 for full contact details)

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com

IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

Picogiga International S.A.S.Place Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef, France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

SemiSouth Laboratories Inc 201 Research Boulevard, Starkville, MS 39759, USA Tel: +1 662 324 7607 Fax: +1 662 324 7997 www.semisouth.com

5 Depositionmaterials

Akzo Nobel High PurityMetalorganics 525 West Van Buren Street, Chicago, IL 60607, USA Tel: +1 312 544 7371 Fax: +1 312 544 7188 www.akzonobel-hpmo.com

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Page 114: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

114

Matheson Tri-Gas6775 Central AvenueNewark, CA 94560, USATel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Power + Energy Inc (see section 8 for full contact details)

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Williams Advanced Materials2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEKaiserstrasse 98, 52134 Herzogenrath, Germany Tel: +49 241 89 09 0 Fax: +49 241 89 09 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. AIXTRON’stechnology solutions (MOCVD, ALD,AVD®, CVD, OVPD) are used by adiverse range of customers worldwideto build advanced components for

electronic and optoelectronicapplications based on compound,silicon, or organic semiconductors.Several system configurations ofAIXTRON, Epigress, Genus orThomas Swan are available.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk We provideflexible toolsand processesfor precise materials deposition,etching and controlled nanostructuregrowth. Core technologies includeplasma and ion-beam depositionand etch and ALD.

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com Riber is aleadingsupplier ofMBE products and related servicesfor the compound semiconductorindustry.

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Temescal, a part ofFerrotec 4569-C LasPositas Rd, Livermore, CA 94551,USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net

Temescal, the expert in metallizationsystems for the processing ofcompound semiconductor-basedsubstrates, provides the finestevaporation systems available.Multi-layer coatings of materialssuch as Ti, Pt, Au, Pd, Ag, NiCr, Al,Cr, Cu, Mo, Nb, SiO2, with highuniformity are guaranteed. Today theworld’s most sophisticated handsets,optical, wireless and telecomsystems rely on millions of devicesthat are made using Temescaldeposition systems and components.

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818E-mail: [email protected]

Power + Energy Inc (see section 8 for full contact details)

Praxair Electronics (see section 5 for full contact details)

Page 115: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

115

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.comTechnology andmarket leader forwafer processingequipment. Worldwide industry standards foraligned wafer bonding, resistprocessing for the MEMS, nano andsemiconductor industry.

Logitech LtdErskine FerryRoad, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.comLogitech Ltd is a leading designerand manufacturer of high-precisioncutting, lapping, polishing and CMPequipment enabling high-specificationsurface finishes to be prepared withprecise geometric accuracy.

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Power + Energy Inc (see section 8 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPP Process TechnologySystems Ltd Imperial Park, Newport NP10 8UJ, Wales, UK Tel: +44 (0)1633 652400 Fax: +44 (0)1633 652405 www.spp-pts.com

TECDIA Inc (see section 16 for full contact details)

Tegal Corp 2201 S McDowell Boulevard, Petaluma, CA 94954, USA Tel: +1 707 763 5600 www.tegal.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

TECDIA Inc (see section 16 for full contact details)

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SYSTEMS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24 00 0 Fax: +49 89 96 24 00 122 www.cscleansystems.com

Power + Energy Inc 106 Railroad Drive, Ivyland,PA 18974, USATel: +1 215 942-4600Fax: +1 215 942-9300www.powerandenergy.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

k-Space Associates Inc 3626 W. Liberty Rd., Ann Arbor, MI 48103, USA Tel: +1 734 668 4644 Fax: +1 734 668 4663 www.k-space.com k-Space Associates Inc specializes inin-situ, real-time thin-film processmonitoring tools for MBE, MOCVD,PVD, and thermal evaporation.Applications and materials includethe research and production linemonitoring of compoundsemiconductor-based electronic,optoelectronic, and photovoltaicdevices.

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str.10–13, 10709 Berlin, Germany Tel: +49 30 39 800 80 0 Fax: +49 30 3180 8237 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is basedon optical techniques: reflectometry,emissivity corrected pyrometry,curvature measurements andreflectance anisotropy spectroscopy.

Page 116: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

116

Optical Reference Systems Ltd OpTIC Technium, St Asaph Business Park, St Asaph, LL17 0JD, UKTel: +44 (0)1745 535 188Fax: +44 (0)1745 535 186www.ors-ltd.com

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120Furtwangen im Schwarzwald, GermanyTel: +49 7723 9197 0Fax: +49 7723 9197 22www.wepcontrol.com

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

SUSS MicroTec Test Systems228 Suss Drive, Waterbury Center, VT 05677, USA Tel: +1 800 685 7877 Fax: +1 802 244 7853 www.suss.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Williams Advanced Materials2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds, 2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

J P Sercel Associates Inc 220 Hackett Hill Road, Manchester, NH 03102, USA Tel: +1 603 518 3200 Fax: +1 603 518 3298 www.jpsalaser.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com Tecdia is amanufacturer of single-layer chip capacitors, chip resistors, DC boards, bias-Ts,diamond scribing tools anddispensing nozzles.

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow,Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

Page 117: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

20 Facility consumablesW.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108,Richmond, VA 23238, USA

Tel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51 High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

TECDIA Inc (see section 16 for full contact details)

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

117

Page 118: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

10–12 May 2011Strategies in Light China 2011Kowloon, Hong Kong, China E-mail: [email protected] www.sil-ledchina.com

10–12 May 2011SEMICON Singapore 2011 Suntec, Singapore E-mail: [email protected] www.semiconsingapore.org

15–19 May 2011 LIGHTFAIR International (LFI 2011) Pennsylvania Convention Center, Philadelphia, PA, USA E-mail: [email protected]

16–18 May 2011 International Symposium on Photonics andOptoelectronics (SOPO 2011)Wuhan, ChinaE-mail: [email protected]/2011

16–19 May 2011 2011 CS MANTECH (InternationalConference on Compound SemiconductorManufacturing Technology), plus

2011 Reliability Of CompoundSemiconductors Workshop (ROCS, formerlyGaAs REL Workshop) Palm Springs Hyatt Grand Champion Hotel, CA, USA E-mail: [email protected] www.csmantech.orgwww.jedec.org/home/gaas/default.htm

22–26 May 2011 Compound Semiconductor Week (CSW 2011): 38th International Symposium onCompound Semiconductors (ISCS 2011) and23rd International Conference on IndiumPhosphide and Related Materials (IPRM 2011)Berlin, Germany E-mail: [email protected] www.csw2011.org

22–27 May 2011The Seventh International Conference onLow Dimensional Structures and Devices Telchac, MexicoE-mail: [email protected] http://ldsd.cinvestav.mx

23–26 May 2011LASER World of PHOTONICS 2011 Munich, Germany E-mail: [email protected]/en

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011 www.semiconductor-today.com

118

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron AG 5Compound Semiconductor Week 2011 111CS MANTECH 105EV Group 45Evatec 39Hiden Analytical 51III/V-Reclaim 21Insaco 33IQE 25LayTec 29

Oxford Instruments Plasma Technology 31Oxford Instruments–TDI 35Plasma-Therm 15SEMI Expositions 93Tecdia 37Temescal 49Umicore 57Veeco Instruments — MBE 23Veeco Instruments — MOCVD 2Wafer Technology 55

Advertiser Page no. Advertiser Page no.

advertisers’ index

Page 119: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

23–26 May 2011 23rd International Symposium on PowerSemiconductor Devices and ICs (ISPSD ’11)Paradise Point Resort, San Diego, CA, USA E-mail: [email protected] www.ispsd2011.com

23–26 May 2011 2011 International Conference on Frontiersof Characterization and Metrology forNanoelectronics (IC-FCMN 2011) MINATEC Innovation Campus, Grenoble, France E-mail: [email protected] www.nist.gov/pml/semiconductor/conference

25–26 May 2011 Imec Technology Forum 2011Sheraton Brussels Airport Hotel, Brussels, BelgiumE-mail: [email protected]

29 May – 1 June 2011 35th Workshop on CompoundSemiconductor Devices and IntegratedCircuits (WOCSDICE 2011) Catania, ItalyE-mail: [email protected]

5–10 June 2011 IEEE Microwave Theory and TechniquesSociety (MTT-S) International MicrowaveSymposium (IMS 2011) incorporating 2011 IEEE Radio FrequencyIntegrated Circuits (RFIC) Symposium Baltimore Convention Center, MD, USA www.ims2011.org

8–9 June 2011 euroLED 2011 Ricoh Arena’s Jaguar Exhibition Hall, Coventry, UKE-mail: [email protected] www.euroled.org.uk

8–10 June 2011 Intersolar Europe 2011 New Munich Trade Fair Center, Munich, GermanyE-mail: [email protected] www.pvgroup.org/intersolareu

12–16 June 2011 Advanced Photonics CongressThe Westin Harbour Castle, Toronto, CanadaE-mail: [email protected] www.osa.org/Meetings/optics_and_photonics_congresses/Advanced_Photonics_Congress

14–16 June 2011 Photonics Festival in Taiwan Taipei World Trade Center, Taiwan E-mail: [email protected]/en

15–16 June 2011 SEMICON Russia 2011Expo Center Moscow, Russia E-mail: [email protected] www.semiconrussia.org

19–24 June 201137th IEEE Photovoltaic SpecialistsConference (PVSC) Washington State Convention Center, Seattle, WA, USAE-mail: [email protected] www.ieee-pvsc.org/PVSC37

21–22nd June 2011 S2K 2011 The University of Surrey, Guildford, UK E-mail: [email protected] www.semiconductor2k.com

21–24 June 2011 LED Tech Korea 2011 & Optical Expo 2011 Seoul, Korea E-mail: [email protected] www.korealed.org

28 June 2011 3rd Concentrated Photovoltaics Summit USSan Diego, CA, USA www.cpvtoday.com

3–8 July 2011 13th International Conference on theFormation of Semiconductor Interfaces(ICFSI-13): From semiconductors tonanoscience and applications in biology Prague, Czech Republic E-mail: [email protected]://pc220.fzu.cz/icfsi13/icfsi-13-1.html

6–7 July 2011UK Semiconductors 2011 Endcliffe Village, University of Sheffield, UK E-mail: [email protected]

10–15 July 20119th International Conference on NitrideSemiconductors (ICNS-9) SECC, Glasgow, Scotland, UK www.icns9.org

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 6 • Issue 3 • April/May 2011

119

Page 120: Veeco once again sets the industry standard with the new TurboDisc® MaxBright™ MOCVD Multi-Reactor System by providing the highest productivity and superior performance for LED

Advertisers choose Semiconductor Today for its...

• Accurate, timely editorial coverage of key issues

• Highly targeted 10,000+ international circulation

• Highly competitive rates

• Magazine, website and E-brief package options

• Direct, rapid delivery by e-mail and RSS feeds

Register now for your FREE subscription

at

www.semiconductor-today.com

Photo: Close-up of SolFocus concentrated photovoltaic (CPV) power unit.