146
1 CHEMICAL VAPOR DEPOSITION OF TUNGSTEN-BASED DIFFUSION BARRIER THIN FILMS FOR COPPER METALLIZATION By DOJUN KIM A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY UNIVERSITY OF FLORIDA 2009

© 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

1

CHEMICAL VAPOR DEPOSITION OF TUNGSTEN-BASED DIFFUSION BARRIER THIN

FILMS FOR COPPER METALLIZATION

By

DOJUN KIM

A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL

OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT

OF THE REQUIREMENTS FOR THE DEGREE OF

DOCTOR OF PHILOSOPHY

UNIVERSITY OF FLORIDA

2009

Page 2: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

2

© 2009 Dojun Kim

Page 3: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

3

To my parents, Hwakyum Kim and Hyosun Kim

Page 4: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

4

ACKNOWLEDGMENTS

I would like to thank my research advisor, Dr. Timothy J. Anderson, for his full support

and excellent guidance through my four year‟s study in University of Florida. I would like to

thank the members of supervisory committee, Dr. Lisa McElwee-White, Dr. Valentin Craciun,

and Dr. Fan Ren who provided me with valuable comments in my work. The work on

maintenance of CVD and ALD systems would not have been successful if there was no help and

support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering, UF),

and Rob Holobof (A&N Corporation). The excellent facilities and helpful staffs for material

characterizations at Major Analytical Instrumentation Center (MAIC) were highly helpful for me

to obtain valuable results. I would like to thank Eric Lambers (XPS/AES), Kerry Siebein

(TEM/EDS) for their assistance. I also would like to thank Dr. Ivan Kravchenko (Sputter

deposition system) at Nanofabrication Facilities. I also would like to thank Dr. Khalil Abboud

(Structural chemistry of X-Ray diffraction) at Department of Chemistry. I give my thanks to my

colleagues of research project for their assistance: Oh Hyun Kim, Jooyoung Lee, Dr. Jürgen

Koller, Dr. Lii-Cherng Leu, Dr. Kee Chan Kim, Dr. Hiral M. Ajmera, Dr. Michael June, and

Christopher O‟Donohue. Especially, my fellow doctoral student, Oh Hyun Kim was an excellent

collaborator for my research in many ways. The last but not the least, I would like to give my

sincerest thanks to my parents, Hwakyum Kim and Hyosun Kim, for their unconditional love and

support. I am very grateful to my wife, Sora Park, for her love and care in my life. My lovely

son, Jinho Kim and my lovely daughter, Katherine Nayoun Kim, always made me happy to work

harder and harder during my studies.

Page 5: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

5

TABLE OF CONTENTS

page

ACKNOWLEDGMENTS ........................................................................................................... 4

LIST OF TABLES ...................................................................................................................... 8

LIST OF FIGURES .................................................................................................................... 9

ABSTRACT ............................................................................................................................. 14

CHAPTER

1 INTRODUCTION ............................................................................................................. 16

2 LITERATURE REVIEW ................................................................................................... 19

2.1 Diffusion Mechanism in Cu Metallization ................................................................ 19

2.2 Ta/TaN Bilayer Structure as a Diffusion Barrier ...................................................... 21

2.3 Chemical Vapor Deposition of Tungsten-Based Diffusion Barrier ........................... 23

2.3.1 Tungsten Nitride as a Diffusion Barrier ........................................................ 23

2.3.2 Tungsten Carbonitride as a Diffusion Barrier................................................ 25

2.4 Atomic Layer Deposition of Tungsten-Based Diffusion Barrier ............................... 29

2.4.1 Tungsten Nitride as a Diffusion Barrier ........................................................ 29

2.4.2 Tungsten Carbonitride as a Diffusion Barrier................................................ 31

3 EXPERIMENTAL PROCEDURE ..................................................................................... 40

3.1 Precursor Synthesis .................................................................................................. 40

3.2 Film Growth ............................................................................................................ 40

3.3 Film Characterizations ............................................................................................. 40

3.4 Diffusion Barrier Testing ......................................................................................... 41

4 DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(N-pip) AS A SINGLE-SOURCE

PRECURSOR .................................................................................................................... 47

4.1 X-ray Crystallographic Study of Cl4(CH3CN)W(N-pip) ........................................... 47

4.2 Preliminary Precursor Screening .............................................................................. 47

4.3 Film Structure .......................................................................................................... 48

4.4 Chemical Composition ............................................................................................. 49

4.5 Chemical Bonding States ......................................................................................... 50

4.6 Lattice Parameter ..................................................................................................... 51

4.7 Average Grain Size .................................................................................................. 52

4.8 Electrical Resistivity ................................................................................................ 52

4.9 Film Growth Rate .................................................................................................... 53

4.10 Diffusion Barrier Testing ......................................................................................... 53

4.11 Conclusions ............................................................................................................. 54

Page 6: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

6

5 DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(N-pip): EFFECT OF NH3 ON FILM

PROPERTIES .................................................................................................................... 67

5.1 Film Structure .......................................................................................................... 67

5.2 Surface Morphology ................................................................................................ 68

5.3 Chemical Composition ............................................................................................. 68

5.4 Chemical Bonding States ......................................................................................... 70

5.5 Film Growth Rate .................................................................................................... 72

5.6 Electrical Resistivity ................................................................................................ 73

5.7 Diffusion Barrier Testing ......................................................................................... 73

5.8 Conclusions ............................................................................................................. 74

6 DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNPh2) AS A SINGLE-SOURCE

PRECURSOR .................................................................................................................... 83

6.1 Film Structure .......................................................................................................... 83

6.2 Lattice Parameter and Average Grain Size ............................................................... 83

6.3 Chemical Composition ............................................................................................. 84

6.4 Chemical Bonding States ......................................................................................... 85

6.5 Film Growth Rate .................................................................................................... 87

6.6 Electrical resistivity ................................................................................................. 87

6.7 Diffusion Barrier Testing ......................................................................................... 88

6.8 Conclusions ............................................................................................................. 89

7 DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNPh2): EFFECT OF NH3 ON

FILM PROPERTIES .......................................................................................................... 97

7.1 Film Structure .......................................................................................................... 97

7.2 Chemical Composition ............................................................................................. 97

7.3 Chemical Bonding States ......................................................................................... 99

7.4 Surface Morphology .............................................................................................. 100

7.5 Film Growth Rate .................................................................................................. 101

7.6 Electrical Resistivity .............................................................................................. 101

7.7 Diffusion Barrier Testing ....................................................................................... 102

7.8 Conclusions ........................................................................................................... 103

8 DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNMe2): EFFECT OF NH3 ON

FILM PROPERTIES ........................................................................................................ 114

8.1 Film Structure ........................................................................................................ 114

8.2 Chemical Composition ........................................................................................... 114

8.3 Chemical Bonding States ....................................................................................... 116

8.4 Surface Morphology .............................................................................................. 118

8.5 Film Growth Rate .................................................................................................. 118

8.6 Electrical Resistivity .............................................................................................. 118

8.7 Conclusions ........................................................................................................... 119

9 REACTOR MODELING USING CFD SOFTWARE ...................................................... 125

Page 7: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

7

9.1 Description of the Raman-Assisted CVD reactor.................................................... 125

9.2 Multiphase Flow Simulation of the Raman-Assisted CVD reactor ......................... 126

10 CONCLUSIONS AND FUTURE WORK ........................................................................ 137

10.1 Ru-WNxCy for Diffusion Barrier and Cu Direct-Plate Applications ........................ 137

10.2 WNxCy for Realistic Diffusion Barrier Testing ....................................................... 138

LIST OF REFERENCES ........................................................................................................ 140

BIOGRAPHICAL SKETCH ................................................................................................... 146

Page 8: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

8

LIST OF TABLES

Table page

2-1 Precursors used for film growth of WNx by CVD .......................................................... 35

2-2 Precursors used for film growth of WNxCy by CVD ...................................................... 35

2-3 Precursors used for film growth of WNx by ALD........................................................... 36

2-4 Precursors used for film growth of WNxCy by ALD ....................................................... 36

4-1 Crystal data and structure refinement for Cl4(CH3CN)W(N-pip) (1) .............................. 56

4-2 Selected bond distances (Å ) and angles (°) for Cl4(CH3CN)W(N-pip) (1) ...................... 57

4-3 Reported binding energy (BE) values ............................................................................ 58

9-1 Boundary conditions for CVD reactor .......................................................................... 129

Page 9: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

9

LIST OF FIGURES

Figure page

1-1 The device delay as a function of device generation. Adopted from M. T. Bohr,

“Interconnect scaling – the real limiter to high performance ULSI”, Proceedings of

IEEE International Electron Devices Meeting (1995) 241-242. ...................................... 18

1-2 SEM cross-sectional images: A) Cu deposition without Cu diffusion barrier; B) Cu

deposition with Cu diffusion barrier. .............................................................................. 18

2-1 Microstructure of Cu diffusion barrier materials: A) single crystal; B)

polycrystalline; C) polycrystalline columnar; D) nano-crystalline; E) amorphous.

Adopted from A. Kaloyeros and E. Eisenbraun, “Ultrathin diffusion barrier/liners for

gigascale copper metallization”, Annu. Rev. Mater. Sci. 30 (2000) 363-385. ................. 37

2-2 Diagram showing the applications of metals and nitrides in modern semiconductor

devices. Adopted from H. Kim, “Atomic layer deposition of metal and nitride thin

films: Current research efforts and applications for semiconductor device

processing”, J. Vac. Sci. Technol. B 21 (2003) 2232-2261. ............................................ 38

2-3 Simplified processing steps in dual-damascene structure for Cu metallization. ............... 39

3-1 The diorganohydrazido(2-) tungsten complexes Cl4(CH3CN)W(NNR2) (1: R2 =

-(CH2)5-; 2: R2 = Ph2; 3: R2 = Me2). ............................................................................... 43

3-2 Schematic diagram of the aerosol-assisted CVD system................................................. 44

3-3 Process flow on film properties. (MAIC, http:\\maic.mse.ufl.edu, October, 2008). ......... 45

3-4 Process flow on diffusion barrier testing. (MAIC, http:\\maic.mse.ufl.edu, October,

2008). ............................................................................................................................ 46

4-1 Thermal ellipsoids diagram of the molecular structure of Cl4(CH3CN)W(N-pip) (1).

Thermal ellipsoids are drawn at 50% probability. H atoms are omitted for clarity. ........ 59

4-2 XRD spectra for films deposited on Si(100) in H2 carrier: A) 300 °C, B) 700 °C, C)

between 300 and 700 °C, and D) standard diffraction plots for β-W2N and β-WC1-x. ..... 60

4-3 Variation in chemical composition of W, N, C, and O content in the films with

deposition temperature. Data are measured by XPS after 10 min Ar+ ion sputter. .......... 61

4-4 Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter. ...................... 62

4-5 Change in lattice parameter with deposition temperature for polycrystalline films

deposited from 1 based on β-WNxCy(111) diffraction peaks. ......................................... 63

Page 10: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

10

4-6 Change in average grain size with deposition temperature for polycrystalline films

deposited from 1 based on β-WNxCy(111) diffraction peaks. ......................................... 63

4-7 Change in film resistivity with deposition temperature. Data are measured by four-

point probe. ................................................................................................................... 64

4-8 Change in growth rate with deposition temperature. Thickness measured by cross-

sectional SEM. .............................................................................................................. 64

4-9 The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si stacks

before and after annealing at 500 °C. ............................................................................. 65

4-10 SEM images of Si surface after etch-pit test A) before annealing and B) after

annealing at 500 °C. ...................................................................................................... 65

4-11 The performance of diffusion barrier by AES depth profile for Cu/WNxCy/Si stacks

after annealing at 500 °C. .............................................................................................. 66

5-1 XRD spectra for films deposited on Si(100) with NH3: A) between 300 and 700 °C;

B) standard diffraction patterns for β-W2N and β-WC1-x. ............................................... 76

5-2 Surface morphology of films deposited on Si(100) substrate at various temperature:

A) 300 °C without NH3; B) 600 °C without NH3; C) 300 °C with NH3; D) 600 °C

with NH3. ...................................................................................................................... 77

5-3 Variation in chemical composition of A) W, B) N, C) C, and D) O content in the

films with deposition temperature with and without added NH3. Data are measured

by XPS after 10 min Ar+ ion sputter............................................................................... 78

5-4 Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature in the presence of NH3. Data are from XPS after 10 min Ar+

ion sputter. ..................................................................................................................... 79

5-5 Change in growth rate with deposition temperature for films deposited with and

without added NH3. Thickness was measured by cross-sectional SEM. ......................... 80

5-6 Change in film resistivity with deposition temperature with and without added NH3.

Data are measured by four-point probe. ......................................................................... 80

5-7 The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si stacks

before and after annealing at 500 °C. ............................................................................. 81

5-8 TEM cross-sectional images of Cu/WNxCy/Si stacks: [A) and B)] before annealing

and [C) and D)] after annealing at 500 °C. ..................................................................... 82

6-1 XRD spectra for films deposited on Si(100) at various temperatures: A) 300 °C, B)

700 °C, C) between 300 and 700 °C, and D) standard powder diffraction pattern for

β-W2N and β-WC1-x. ...................................................................................................... 90

Page 11: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

11

6-2 Change in A) lattice parameter and B) average grain size with deposition

temperature for polycrystalline films deposited from 2. The estimates are based on

position and shape of diffraction peaks. ......................................................................... 91

6-3 Variation of W, N, C, and O content in the films deposited from 2. Data are from

XPS measurements after 10 min Ar+ ion sputter. ........................................................... 91

6-4 Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter. ...................... 92

6-5 SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C; C) surface

morphology of film grown at 300 °C; D) surface morphology of film grown at

700 °C. .......................................................................................................................... 93

6-6 Change in growth rate with deposition temperature for films deposited from 2.

Thickness measured by cross-sectional SEM. ................................................................ 94

6-7 Change in film resistivity (four-point probe) with deposition temperature for films

deposited from 2. ........................................................................................................... 94

6-8 Cross-sectional TEM images of Cu/WNxCy/Si stacks: [A) and B)] before annealing

and [C) and D)] after annealing at 500 °C. ..................................................................... 95

6-9 EDS depth profile of Cu/WNxCy/Si stacks annealed at 500 °C. ...................................... 96

6-10 The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si stacks

before and after annealing at 500 °C. ............................................................................. 96

7-1 XRD spectra for films deposited on Si(100) with NH3: A) 300 °C, B) 700 °C, C)

change in XRD spectra, and D) standard diffraction plots for β-W2N and β-WC1-x. ..... 105

7-2 XPS spectra for films deposited on Si(100) with NH3. Note that Cl peaks are evident

as a function of growth temperature. ............................................................................ 106

7-3 Comparison of W, N, C, and O content in the films deposited in the presence and

absence of NH3. Data are measured by XPS after 10 min Ar+ ion sputter. ................... 107

7-4 Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter. .................... 108

7-5 Surface morphology of films grown on Si(100) substrate: A) film grown at 300 °C

without NH3; B) film grown at 700 °C without NH3; C) film grown at 300 °C with

NH3; D) film grown at 700 °C with NH3. ..................................................................... 109

7-6 SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C. ............................. 110

Page 12: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

12

7-7 Change in growth rate with deposition temperature for the films deposited in the

presence and absence of NH3. Thickness was measured by cross-sectional SEM. ....... 110

7-8 Film resistivity as a function of deposition temperature for the films deposited in the

presence and absence of NH3. ...................................................................................... 111

7-9 A) TEM image and B) EDS depth profile of a Cu/WNxCy/Si stack annealed at

500 °C for 30 min. ....................................................................................................... 111

7-10 Change in XRD patterns with annealing temperature for Cu/WNxCy/Si stacks. ............ 112

7-11 Change in sheet resistance with annealing temperature for Cu/WNxCy/Si stacks.

Data are measured by four-point probe. ....................................................................... 112

7-12 Cross-sectional TEM images of Cu/WNxCy/Si stacks: A) as-grown and B) after

annealing at 700 °C. .................................................................................................... 113

7-13 Cross-sectional SEM images of Cu/WNxCy/Si stacks: A) as-grown and B) after

annealing at 700 °C. .................................................................................................... 113

8-1 XRD spectra for films deposited on Si(100) with NH3: A) 300 °C; B) 400 °C; C)

change in XRD spectra; D) standard powder diffraction pattern for β-W2N and β-

WC1-x. ......................................................................................................................... 120

8-2 XPS spectra for films deposited on Si(100) with NH3. No Cl peaks detected. ............. 121

8-3 Variation in the chemical composition of W, N, C, and O contents in the films with

deposition temperature. Data are measured by XPS after 10 min Ar+ ion sputter. ........ 121

8-4 Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter. .................... 122

8-5 Surface morphology of films grown on Si(100) substrate: A) film grown at 300 °C

with NH3; B) film grown at 700 °C with NH3. ............................................................. 123

8-6 SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C. ............................. 123

8-7 Change in growth rate with deposition temperature for the films deposited from 3.

Thickness was measured by cross-sectional SEM. ....................................................... 124

8-8 Change in film resistivity (four-point probe) with deposition temperature for the

films deposited from 3. ................................................................................................ 124

9-1 Schematic photographs of A) CVD reactor system that is interfaced to the Raman

spectrometry ; B) nebulizer system; C) the impinging jet probe reactor. ....................... 130

9-2 Mesh design of CVD reactor using GAMBIT™. ......................................................... 131

Page 13: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

13

9-3 Color filled contours of static temperature (K) and contour line of static temperature

(K) in the vicinity of the heater. ................................................................................... 132

9-4 Contours of velocity magnitude (m/s) and velocity vector colored by velocity

magnitude (m/s) in the vicinity of the heater. ............................................................... 133

9-5 Contours of velocity magnitude (m/s) and volume fraction of solvent phase in

multiphase flow model................................................................................................. 134

Page 14: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

14

Abstract of Dissertation Presented to the Graduate School

of the University of Florida in Partial Fulfillment of the

Requirements for the Degree of Doctor of Philosophy

CHEMICAL VAPOR DEPOSITION OF TUNGSTEN-BASED DIFFUSION BARRIER THIN

FILMS FOR COPPER METALLIZATION

By

Dojun Kim

December 2009

Chair: Timothy J. Anderson

Major: Chemical Engineering

The ternary material WNxCy was investigated for Cu diffusion barrier application. Thin

films were deposited from tungsten diorganohydrazido(2-) complexes Cl4(CH3CN)W(NNR2) (1:

R2=-(CH2)5-; 2: R2=Ph2; 3: R2=Me2) using metal-organic aerosol-assisted CVD. The films

deposited from these novel precursors were characterized for their composition, bonding state,

structure, resistivity, and barrier quality.

WNxCy films from 1, 2 and 3 were successfully deposited in the absence and the presence

of NH3 in H2 carrier in the temperature range 300 to 700 °C. All WNxCy films contained W, N,

C, and a small amount of O as determined by XPS. The Cl content of the film was below the

XPS detection limit (~ 1 at. %). The chemical composition of films deposited with 1 in H2/NH3

exhibited increased N levels and decreased C levels over the entire temperature range of this

study as compared with to films deposited 1 in H2. As determined by XPS, W is primarily

bonded to N and C for films deposited at 400 C, but at lower deposition temperature the binding

energy of the W-O bond becomes more evident. The films deposited at 400 °C were X-ray

amorphous and Cu/WNxCy/Si stacks annealed under N2 at 500 °C for 30 min maintained the

integrity of both the Cu/WNxCy and WNxCy/Si interfaces.

Page 15: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

15

Comparison of films deposited from 2 with H2 only and H2/NH3 shows that the best films,

in terms of composition, resistivity, surface roughness, and microstructure, are deposited using

H2/NH3 carrier. The microstructure of films deposited with NH3 was X-ray amorphous below

450 °C. XPS measurements revealed that W is primarily bonded to N and C for films deposited

between 300 and 700 °C. An Arrhenius plot of growth rate was consistent with surface reaction

limited growth and the activation energy was lower for growth in the presence of NH3. It was

observed that the surface roughness improved with added NH3. Samples annealed at higher

temperature showed evidence of failure only when annealed at 700 °C. These results support the

conclusion that WNxCy thin film deposited from 2 is a viable Cu diffusion barrier material.

As anticipated, the film N content was higher for films deposited from 3 with added NH3

as compared to those deposited from 1 and 2. The films deposited with NH3 in H2 carrier at

400 °C had the highest N content of all films (27 at. %). An amorphous film microstructure was

observed for films deposited below 500 °C. The apparent activation energy for the film growth

in the kinetically controlled growth regime was 0.31 eV. The observation of AFM monograph

indicates that the surface roughness improved with added NH3.

Film growth of WNxCy by metal-organic aerosol-assisted CVD using 1, 2, and 3 highlights

the importance of precursor selection, co-reactant selection (H2 only, H2/NH3, N2 only, and

N2/NH3), and operating parameters (deposition temperature, pressure, and flow rate) on film

properties and barrier performance. Preliminary material characterization and diffusion barrier

testing reveals that films deposited using 2 with NH3 in H2 carrier is most promising for diffusion

barrier applications.

Page 16: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

16

CHAPTER 1

INTRODUCTION

Statement of Problems

The continuous challenges in microelectronic integrated circuits are increasing speed and

improving reliability. The RC time delay hinders further increasing of speed in integrated circuits

(Figure 1-1). Device dimensions continue to decrease on integrated circuits, and the industry is

transitioning from Al-based interconnects to Cu-based interconnects is required for multilevel

metallization to minimize the RC time delay. Cu-based interconnects show greater resistance

toward electromigration and 40% lower electrical resistivity (ρCu ~ 1.67 μΩ-cm and ρAl ~ 2.65

μΩ-cm), as compared to Al-based interconnects [1-3]. As a result of the high diffusivity of Cu in

Si and SiO2 (DCu ~ 2 × 10-5

cm2/s at 500 °C), high priority has been placed on developing Cu

diffusion barriers (Figure 1-2) [4]. The presence of Cu in Si and SiO2 results in serious

degradation of device performance associated with contact resistance, barrier height, p-n

junctions, contact layers, and electrical connections [5]. Therefore, an effective Cu diffusion

barrier is required to block Cu transport and intermixing with adjacent dielectric materials for Cu

interconnect technology.

To provide excellent diffusion barrier performance characteristics, deposited films need to

possess certain properties such as good step coverage, low electrical resistivity, low deposition

temperature and amorphous microstructure. Various transition metal nitrides have been

investigated as Cu diffusion barriers including TiN, TiSixNy, TaN, TaSixNy, WNx, WSixNy, and

WBxNy [6-12]. Ta/TaN bilayers deposited by physical vapor deposition (PVD) are the currently

utilized Cu diffusion barriers in semiconductor device technology. However, limitations of PVD

due to the directional nature of deposition cause problems upon scaling down the barrier

thickness. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) of TaNx thin

Page 17: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

17

films also have difficulties in depositing conductive TaN due to the preferential formation of

Ta3N5 ( ~ 2 × 108 μΩ-cm) [13, 14]. The binary phase material, tungsten nitride (WNx), is a

promising candidate for replacing the prevailing diffusion barrier of Ta/TaN bilayer structure [15,

16]. WNx film shows good thermal stability with Cu, acceptably low resistivity when deposited

by CVD, and reasonable chemical mechanical planarization (CMP) processing [10]. The ternary

phase material, tungsten carbonitride (WNxCy), is also a promising candidate for diffusion barrier

applications. WNxCy film has low electrical resistivity, good adhesion to Cu, good resistance to

diffusion of Cu, and acceptable film growth on SiO2. The efficacy of WNxCy film as a diffusion

barrier has been demonstrated for films grown by both CVD and ALD [13, 17-19]. It has been

shown that X-ray amorphous ternary phase materials such as TiSixNy, TaSixNy, WSixNy, and

WBxNy have better performance as Cu diffusion barriers than binary phase materials due to

higher recrystallization temperature and thus lack of grain boundaries, which can serve as Cu

diffusion pathways [12, 20-22]. Aerosol-assisted CVD (AACVD) is a useful technique for

growing films of refractory metal nitrides because aerosol-assisted delivery permits use of low

volatility precursors and thermally sensitive precursors that decompose before sublimation can

be used [23]. Recently, we reported the synthesis of the diorganohydrazido(2-) tungsten

complexes Cl4(CH3CN)W(NNR2) (1: R2 = -(CH2)5-; 2: R2 = Ph2; 3: R2 = Me2) and

Cl4(pyridine)W(NNR2) (4: R2 = Ph2) by reacting 1,1-diorganohydrazines with tungsten

hexachloride (WCl6) followed by treatment with acetonitrile (CH3CN) or pyridine (C5H5N) [24].

The diorganohydrazido(2-) tungsten complexes (1-3) were demonstrated to be single-source

precursors for the metal-organic CVD (MOCVD) of WNxCy thin films in the absence of NH3 in

H2 carrier. The effect of NH3 in H2 carrier was demonstrated on the properties of WNxCy thin

films deposited from 1, 2, and 3. The diffusion barrier testing was performed to investigate the

Page 18: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

18

Cu diffusion barrier properties and the onset of failure process via formation of more resistive

copper silicide (CuxSi).

Figure 1-1. The device delay as a function of device generation. Adopted from M. T. Bohr,

“Interconnect scaling – the real limiter to high performance ULSI”, Proceedings of

IEEE International Electron Devices Meeting (1995) 241-242.

Figure 1-2. SEM cross-sectional images: A) Cu deposition without Cu diffusion barrier; B) Cu

deposition with Cu diffusion barrier.

B) A)

Cu3Si

x

Page 19: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

19

CHAPTER 2

LITERATURE REVIEW

2.1 Diffusion Mechanism in Cu Metallization

The substitution of Cu has been a recent technological innovation for the standard Al-Cu

metal interconnects in order to reduce resistance and RC time delay in microelectronic integrated

circuits [2, 3]. The current Cu technology shows improved current-carrying capability by greater

resistance toward electromigration and no device contamination by Cu migration. The success of

the shift to Cu includes the development of an electroplating process for the Cu interconnects,

dual-damascene CMP, and an effective liner material for a Cu diffusion barrier and adhesion

promoter. It is required to establish a fundamental understanding of the predominant diffusion

mechanisms for atomic mobility and associated diffusion phenomena in order to identify an

effective liner for Cu technology. The placement of chemically different atoms in close

proximity causes atomic migration for the purpose of reducing the overall free energy and

establishing equilibrium. Typical reasons for atomic migration are the presence of concentration

differences, existence of a negative free energy of reaction, application of an electrical field,

availability of thermal energy, generation of a strain gradient, or a combination of some or all of

these factors.

Atomic migration could result in a diffusive flux. The net flow of atoms by diffusion is

described by Fick‟s law.

)(dx

dCDJ (2.1)

where C is the atomic concentration, J is the atomic flux per unit area per second, and x is

distance. The temperature dependence of the diffusion coefficient D takes the form of an

Arrhenius relationship.

Page 20: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

20

)exp(0kT

QDD (2.2)

where D0 is a constant, Q is the activation energy for diffusion, k is Boltzmann‟s constant, and T

is the temperature in degrees Kelvin.

There are three typical failure mechanisms in the Cu/liner material system. First, Cu

diffuses along grain boundaries. Second, Cu (or substrate atoms) diffuses through bulk defects in

the liner (vacancies and dislocations). Third, loss of liner integrity results from a metallurgical or

chemical reaction with the Cu and/or substrate. Lattice diffusion rates are proportional to the

absolute melting temperature Tm.

mATD ~ (2.3)

where A is a proportionality constant that depends on a variety of factors, including lattice

structure and type of material. Diffusion along grain boundaries has the highest diffusion rates

(or largest A), which result from a large misfit between adjoining grains. Diffusion by

dislocations shows intermediate diffusion rates. Diffusion due to atom-vacancy exchange has

the lowest diffusion rate (or smallest A). This indicates that Cu barrier materials with higher

melting points could act as better Cu diffusion barriers. Also, the microstructure of Cu barrier

materials plays an important role in the resulting diffusion barrier performance. Film

microstructures in Fig. 2-1 can be categorized as single crystal, polycrystalline, nano-crystalline

(i.e. polycrystalline with grain size below ~ 5 nm), and amorphous. Single crystalline materials

are the ideal microstructure of Cu diffusion barriers. Lattice mismatchs with the underlying

substrate and thermal budget limitations make it difficult to deposit liners in single crystal

microstructure. Hence, amorphous phase Cu diffusion barriers are the most desirable for

diffusion barrier applications. There are three basic requirements for diffusion barrier materials.

First, a viable barrier material must not react with Cu or the underlying substrate under thermal,

Page 21: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

21

mechanical, and electrical stress conditions. Second, the density of the diffusion barrier must be

as close to ideal as possible for the purpose of eliminating diffusion through voids, defects, or

loosely packed grain boundaries. Third, the microstructure of diffusion barrier must have no

grain boundary diffusion paths.

2.2 Ta/TaN Bilayer Structure as a Diffusion Barrier

The introduction of Cu interconnect technology results in the need for refractory metal

nitride films in modern semiconductor technology (Figure 2-2). Since Cu rapidly diffuses in Si,

a diffusion barrier should be employed between the metals and dielectrics to prevent Cu transport

and intermixing with adjacent dielectric materials. Even if Cu-interconnects show lower

electrical resistivity and greater resistance toward electromigration than Al-interconnects, the use

of Cu-interconnects requires conducting layers in the metallization structure which enhance the

Cu adhesion to dielectrics. Excellent adhesion to the underlying layer or interconnect material is

required to prevent reliability problem such as electromigration and gross delamination during

the CMP process. Additional requirements for Cu diffusion barrier include amorphous

microstructure, low electrical resistivity, high electromigration resistance, good step coverage,

low deposition temperature (≤ 400 °C), and minimal thickness [25].

Cu interconnect metallization has introduced new concepts in integration schemes: dual-

damascene structures, CMP process, and Cu electroplating. Figure 2-3 depicts the simplified

processing steps for the barrier film process that is used for the Cu dual-damascene structures.

The requirements are grouped into film properties and process compatibility. The film property

requirements includes ultra low thickness (< 100 Å ), low resistivity (< 500 μΩ-cm), low halide

residues (< 2 at. %), good step coverage (> 90 %), and reasonable process rate (30 Å /min). The

process compatibility requirements includes CMP compatible, low deposition temperature (≤

400 °C), good adhesion on the etch stopper, good adhesion on SiO2, and good adhesion on Cu.

Page 22: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

22

These requirements must be met by any new barrier material to be used in the Cu dual-

damascene structure [26].

Ta/TaN bilayer structure has been used for diffusion barrier applications in dual-

damascene structures for current Cu interconnect metallization in the semiconductor industry.

Ta shows a high melting point (2669 °C) and good stablility with Cu. TaN shows high thermal,

mechanical, and chemical stability. TaN shows good adhesion to SiO2 and low-κ materials and

Ta shows the lack of Cu-Ta compound and better adhesion than Cu/TaN adhesion. Therefore,

Ta/TaN bilayer structure has been used for Cu interconnect technology. Ta/TaN liner has very

low in-plane electrical resistivity, since α-phase Ta deposited on TaN surface is spontaneously

formed with a resistivity in the range 15 to 60 μΩ-cm.

Although PVD TaN has been successful so far as a Cu diffusion barrier, due to the

downscaling of device dimensions in microelectronic integrated circuits, future Cu interconnects

require Cu diffusion barriers deposited by CVD or ALD. The drawbacks of the present Ta/TaN

bilayer structure are both in process and material. PVD is „a line of sight process‟, indicating the

limitations of PVD due to the directional nature of deposition. This cause problems upon scaling

down the barrier thickness. The application of PVD techniques is limited by concerns over their

ability to provide good conformality in sub-100 nm device technology. Also, the underlying

device layer on the substrate can be damaged due to high energy particles. Many researchers

have attempted to grow TaN by CVD and ALD. TaN has many polymorphs with different film

properties depending on N content: solid solution α-phase Ta, hexagonal Ta2N, hexagonal TaN,

cubic TaN, hexagonal Ta5N6, tetragonal Ta4N5, and orthorhombic Ta3N5 [27]. The growth of the

insulating Ta3N5 phase during growth of TaN by CVD and ALD results in an increase in

electrical resistivity of films [14].

Page 23: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

23

2.3 Chemical Vapor Deposition of Tungsten-Based Diffusion Barrier

2.3.1 Tungsten Nitride as a Diffusion Barrier

In previous works, WNx has been deposited using PVD techniques such as reactive

sputtering of a W target under N2 atmosphere. However, this technique results in poor step

coverage, a major disadvantage when applied to device structures with high aspect ratio features

[28-31]. Table 2-1 shows the halide and metal-organic precursors used for film growth of WNx

by CVD. WF6 precursor has been used with NH3 coreactant to deposit WNx thin films for

application as a barrier and glue layer for advanced metallization [32, 33]. XRD data shows a

consistent (111) orientation of W2N cubic structure at 450, 550, 650, and 700 °C. The resistivity

obtained for these films ranged from about 900 to 2800 μΩ cm. The F content was detected

from a maximum 0.9 at. % at 450 °C to less than 0.1 at. % at 625 °C [32]. Addition of H2 gas to

the mixture of WF6 and NH3 facilitates the reaction of binary mixtures by breaking a W-F bond

of WF6 or N-H bond of NH3 causing a decrease in activation energy for the reaction. XRD data

shows only W2N was obtained without any diffraction line indicating WN. The N 1s spectrum

from XPS shows two peaks at 397 and 400 eV. The latter peak is ascribed to a N atom or

molecule present in interstitial sites of W2N. The release of N is due to desorption of N2 gas

when it is heated to a high temperature [33]. Another inorganic precursor, WCl6, has been used

for film growth of WNx with a mixture of NH3, H2, and Ar at temperatures of 500 to 900 °C at

0.1 to 10 Torr. The temperature dependence of the Gibbs free energy shows a preferential

reaction with WCl6 in the temperature range of this study. XPS analysis shows three W 4f7/2

peaks at 31.5, 33.6, and 37.2 eV for films at 500 °C. Although no oxide peaks were observed by

XRD, the surface of the film was contaminated with a small amount of oxide [34]. The halide

precursors such as WF6 and WCl6 required high deposition temperatures (> 450°C) and

incorporated the halogen impurities during film growth. W(CO)6 has been explored for film

Page 24: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

24

growth of WNx with low impurities at low temperatures compared to other tungsten-based

precursors because the binding energy of W-CO is low [16, 35, 36]. WNx was deposited using

W(CO)6 and NH3 in the temperature range 250 to 500 °C. The film resistivity varied from 590

to 950 μΩ cm. The growth rate varied from 3 to 1930 Å /min. Below 450 °C, the growth regime

shows an Arrhenius type dependence on the deposition temperature. The film growth was

kinetically controlled with the activation energy of 1.00 eV. Sheet resistance measurement and

XRD analysis showed that the diffusion barrier (15 nm thick) blocked the diffusion of Cu up to

600 °C for 1 h annealing [16]. Both results showed that W2N film prevented diffusion of Cu up

to 600 °C, and started to fail at 620 °C, while no barrier and the CVD-W samples failed at 100 to

150 °C and 525 to550 °C. Barrier failure at 620 °C is thought to be due to the diffusion of Cu via

undesired grain boundaries [35]. H2 was premixed with precursor vapor at the reactor inlet and

NH3 as the N source was introduced directly into the chamber through a separate feedthrough.

Film deposited below 275 °C was amorphous, while those deposited between 275 and 350 °C

were polycrystalline. Resistivity as low as 123 μΩ cm was obtained with corresponding step

coverage better than 90 % in a nominal 0.25 μm trench structure with aspect ratio of 4:1 [36].

(tBuN)2W(NH

tBu)2 has been used for film growth of WNx as the single-source precursor [37, 38].

Polycrystalline WNx thin films were grown by low pressure MOCVD using (tBuN)2W(NH

tBu)2

in Ar or H2 carrier. XRD studies showed that the films have cubic structures with the lattice

parameter of 4.154 to 4.180 Å . XPS showed the binding energies of the W 4f7/2 and N 1s were

33.0 and 397.3 eV, respectively. The secondary ion mass spectrometry (SIMS) compositional

depth profiling indicated C and O levels were low in the films. Possible reaction pathways were

suggested by detecting isobutylene, acetonitrile, hydrogen cyanide, and ammonia using gas

chromatography-mass spectroscopy (GC-MS) and nuclear magnetic resonance (NMR) [37].

Page 25: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

25

Annealing to 700 K caused the loss of N content from the bulk deposited WNx layer as N2 [38].

Plasma-enhanced CVD (PECVD) using WF6 has been used for film growth of WNx. N2 was

used as the N source and H2 was used to remove F from halide precursor. F, O, and C present in

the films were below 1% based on XPS. W2N films have good adhesion to PVD Cu, CVD W, Si,

SiO2, and Si3N4, as observed by tape peel tests. Despite higher step coverage for films deposited

at 300 °C, XPS indicated F impurity. Rapid thermal annealing (RTA) is used to treat the

deposited films to reduce the F impurity level [39]. W2N films were deposited at a wafer

temperature of 350 °C on Si, SiO2, and Ta2O5, with and without an electron cyclotron resonance

plasma formed SiO2 (ECR-SiO2) top layer. The resistivity of W2N films is 190 to 240 μΩ cm.

XRD patterns are X-ray amorphous [40]. The resistivity for stoichiometric W2N, W rich W2N (x

> 1.0), and N rich W2N (x < 1.0) is different. The resistivity of W rich W2N is 145 μΩ cm and

that of N rich W2N 3000 to 5000 μΩ cm. The decrease of N levels in W2N due to N2 desorption

is confirmed by AES [41]. The resistivity of as-deposited films is 95 to 100 μΩ cm. In order to

improve the adhesion strength of CVD W films, W2N glue layer is interposed between W and Si.

The number of vacancies at N lattice sites is reduced because N atoms occupy interstitial

positions in the W lattice. The more adhesive contact is due to N interstitials due to the

modification of the structural properties such as porosity and vacancies in the W2N [42].

Diffusion barrier test results from SEM and XRD using Cu/WNx/SiCOH/Si stacks showed that

W2N films were stable up to 500 °C. Above 600 °C, WO3 nanorods were grown from the

sample surface due to the residual O in the films [15].

2.3.2 Tungsten Carbonitride as a Diffusion Barrier

Table 2-2 shows that the metal-organic precursors that were used for film growth of

WNxCy by CVD. [W(μ-NtBu)Cl2(H2N

tBu)]2, [W(N

tBu)Cl2(TMEDA)] (TMEDA = N, N, N′, N′ -

Page 26: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

26

tetramethylethylenediamine), [W(NtBu)Cl2(py)2] (py = pyridine), and [W(N

tBu)2Cl(N{SiMe3}2)]

have been used to deposit WNxCy in N2 carrier at the deposition temperature of 550 °C. Those

compounds can be used for film growth as single-source precursors or dual-source in the

presence of NH3. In all cases the Cl levels present in the films were less than 1 at. %. Film

growth using NH3 shows lower O level and no change in C content of the resulting films. XRD

pattern of all the films indicated the formation of β-WNxCy. SEM surface images of films

suggest an island growth mechanism. The films were uniform, adhesive, abrasion resistant,

conformal and hard, being resistant to scratching with a steel scalpel [43]. WH2(iPrCp)2 and

WH2(EtCp)2 have been used for film growth of WNxCy in NH3/H2/N2 carrier. Film growth was

carried out on SiO2 substrates using N2 carrier gas at temperature range 350 to 400 °C. NH3

(99.96 %) and H2 (99.9999 %) were used as reactant. The W 4f7/2 and W 4f5/2 peaks at 31.6 and

33.8 eV are well matched with the WCx phase. The C 1s peak located at 283.2 eV is well

matched with the carbidic form. XRR and XRD analyses show no peaks indicating

crystallization. The addition of NH3 causes the O incorporation to decrease significantly. The

lowest value of resistivity was 565 μΩ cm when no coreactant was used at 350 °C. This is

correlated with the decrease of the C level present in the films. The addition of NH3 causes an

increase of the film resistivity because mobility is reduced by the scattering effect of

incorporated N atoms [44]. The tungsten isopropylimido complex Cl4(CH3CN)W(NiPr) has

been used for film growth of WNxCy as a single-source precursor [45, 46]. The precursor

structure was chosen so that the W-N multiple bond of the precursor would survive while the

ancillary ligands and the isopropyl imido substituent dissociated under CVD. Film

microstructure at a temperature below 500 °C was X-ray amorphous, with the minimum value of

film resistivity (750 μΩ cm) and sheet resistance (47 Ω/□) of this study occurring for CVD at

Page 27: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

27

450 °C. Film growth rate varied from 10 to 27 Å /min within a temperature range of 450 to

700 °C. The apparent activation energy for film growth in the kinetically controlled regime was

0.84 eV. C levels increased from 12 to 49 at. % in the temperature range 450 to 700 °C.

Fragmentation of ligands and solvent would leave C containing moieties at the film surface,

indicating C incorporation into the WNx film [45]. WNxCy thin films were deposited using

solutions of Cl4(CH3CN)W(NiPr) in 1,2-dichlorobenzene (1,2-DCB). The results show the

solvent affected deposition of C into the films in comparison with the films deposited with

solutions of Cl4(CH3CN)W(NiPr) in benzonitrile (PhCN). The increased N levels for films from

PhCN solutions suggest that the nitrile (CN) group was a significant C source. The activation

energy for film growth from PhCN solutions weas 0.70 eV, while that from 1,2-DCB solutions

was 1.0 eV. This shift in activation energy upon changing the solvent is evidence for an

alternative C deposition process [46]. The tungsten phenylimido complex Cl4(PhCN)W(NPh)

has been used for film growth of WNxCy as a single-source precursor [47]. Film growth rates

varied from 2 to 21 Å /min in the temperature range 475 to 750 °C. The apparent activation

energy for film growth in the kinetically controlled regime was 1.41 eV. Film microstructure

was X-ray amorphous below 500 °C, with minimum film resistivity (225 μΩ cm) and sheet

resistance (75 Ω/□), observed for CVD at 475 °C. Films deposited from Cl4(CH3CN)W(NiPr)

exhibited higher growth rates and higher N level in the same temperature range. These different

results are due to the higher dissociation energy of the imido N-C bond in Cl4(PhCN)W(NPh).

Films from Cl4(CH3CN)W(NiPr) are superior to these from Cl4(PhCN)W(NPh) for diffusion

barrier applications due to lower amorphous deposition temperature, lower sheet resistance, and

higher N level [45, 47]. The tungsten isorpopylimido complex Cl4(CH3CN)W(NiPr) has been

used for film growth of WNxCy in NH3/H2 carrier. AES results initiated that films deposited

Page 28: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

28

with NH3 had higher N levels for low deposition temperature (450 – 550 °C), along with

decreased C and O levels as compared with films deposited without NH3. Film microstructure

was X-ray amorphous for film deposited with NH3, in contrast to polycrystalline for phase

present in the films deposited without NH3 at 500 °C. An increase in N level in the amorphous

films would increase film resistivity because the film resistivity is higher for WNx phase relative

to WCx phase and replacement of C by additional N causes electron scattering. Film growth in

the presence of NH3 was mass transfer controlled across the entire temperature range (450 –

700 °C), while film growth in the absence of NH3 had a kinetic to mass transfer control transition

point near 600 °C [48]. A mixture of the tungsten allylimido complex Cl4(CH3CN)W(NC3H5)

and Cl4(PhCN)W(NC3H5) has been used for film growth of WNxCy in the presence and absence

of NH3 [18, 19]. Cl4(PhCN)W(NC3H5) was not isolated but was produced in situ by the

substitution of the acetonitrile ligand of Cl4(CH3CN)W(NC3H5) with PhCN. The rapid rate of

exchange of nitrile ligands in Cl4(CH3CN)W(NC3H5) ensures that the precursor is completely

converted to Cl4(PhCN)W(NC3H5) before film growth starts. Films deposited from a mixture

show X-ray amorphous phase below 550 °C. Film growth rate varied from 5 to 10 Å /min in the

temperature range 450 to 650 °C, and the apparent activation energy for film growth was 0.15 eV.

The values of activation energy for film growth using Cl4(RCN)W(NR′) [R = CH3, Ph, and R′ =

Ph, iPr, allyl] against the N-C plotted against the bond strengths for the amines R′NH2 is linear.

The linear relationship between activation energy for film growth using Cl4(RCN)W(NR′) [R =

CH3, Ph, and R′ = Ph, iPr, allyl] and the N-C bond dissociation energy for the amines R′NH2

suggests that cleavage of the N-C bond is the rate-determining step in film growth. The strength

of the N-C imido bond has an effect on the amount of N incorporated in the film [18]. Films

deposited at 450 °C with NH3 as a coreactant showed 23 at. % N level, which is higher than film

Page 29: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

29

growth without added NH3 (4 at. % in N level). O incorporation remained below 6 at. % in the

temperature range 450 to 750 °C. The films deposited below 500 °C were X-ray amorphous and

the X-ray diffraction patterns suggest that either the mixture of β-W2N and β-WC1-x or the solid

solution β-WNxCy exist in the films. The presence of Cl in the precursor raises the concern of

Cl-free films. XPS spectra show no Cl peaks were observed either the Cl 2s (270 eV) or Cl 2p3/2

(199 eV), confirming that Cl level in the films was lower than the XPS detection limit (~ 1 at. %).

An apparent activation energy for films with added NH3 is 0.34 eV, as compared with the value

of 0.15 eV for films without NH3. The film resistivity for films deposited with NH3 exhibited

higher film resistivity, with the lowest film resistivity of 1700 μΩ cm observed for films

deposited at 550 °C [19].

2.4 Atomic Layer Deposition of Tungsten-Based Diffusion Barrier

2.4.1 Tungsten Nitride as a Diffusion Barrier

Table 2-3 shows that the halide and metal-organic precursors that were used for film

growth of WNx by ALD. The WF6 precursor has been used with NH3 coreactant to deposit WNx

thin films for application as a Cu diffusion barrier layer for advanced metallization [26, 49-52].

WNx on SiO2 was deposited at 350 °C. The growth rate was fairly high, saturating at a level of

0.42 Å /cycle. Even though the F impurity was as low as 2.4 at. % in the film, the value of film

resistivity is 4500 μΩ cm. Introduction of a third precursor between WF6 and NH3 pulses caused

improved reduction of W and reduced the formation of HF in order to reduce the resistivity of

WN and avoid Cu pitting [26]. WNx was deposited on Si and tetraethylothosilicate (TEOS)/Si

substrates in the temperature range 200 to 400 °C, synchronizing the NH3 plasma (NH*, NH

+,

NH2+, NH3

+, and H

+), instead of NH3 gas at the NH3 exposure cycles during ALD. The

conventional ALD shows that a 22nm-thick W layer is deposited and a 3 nm-thick WNx layer

appears on the top of this W layer during the 100 cycles exposing WF6 and NH3. AES depth

Page 30: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

30

profiles for films deposited by pulse-plasma-enhanced ALD show a uniformly distributed N

concentration in the WNx films on Si and non-Si surfaces. WF6 either reacts with Si quickly due

to the catalytic reaction of Si, forming a thick W layer instead of WNx, or does not adhere to the

non-Si surfaces. High-resolution transmission electronic microscopy (HRTEM) reveals that

WNx (22 nm thick) in the Cu/WNx/Si stack prevents Cu diffusion during the annealing process at

700 °C for 30 min [49]. The deposition rate was about 3 Å /cycle at 350 °C. There are two

different growth regimes: one is the incubation regime and another is the linear and self-limiting

growth regime. Rutherford backscattering spectroscopy (RBS) revealed that WNx (22 nm thick)

in the Cu/WNx/Si stack prevents Cu diffusion during the annealing process at 600 °C for 30 min

[50]. Alternating exposures of NH3 (A) and WF6 (B) in an AB reaction sequence were used to

deposit the WNx at the substrate temperature between 323 and 523 °C. Transmission Fourier

transform infrared (FTIR) spectroscopy studies indicated that NH3 and WF6 surface reactions

were complete and self-limiting at deposition temperature over 323 °C. AFM images exhibit a

root-mean-square (rms) roughness of ± 0.61 nm. The rms roughness of initial SiO2 on Si(100)

was ± 0.25 nm. The XPS spectra indicate that the surface of WNx exhibited characteristic

signals for W, C, N, F, and O. The XPS depth profiling reveals that the WNx films had a W to N

ratio of ~ 3:1. The films also contained 5 at. % C and 3.6 at. % O. Glancing angle XRD results

indicate the films consisted of W2N crystallites with a diameter 11 nm and a (111) texture [51].

Successive exposure to WF6 and Si2H6 (or NH3) in an ABAB… reaction sequence produced W

(or W2N) deposition at substrate temperature 152 - 423 °C (or 323 - 523 °C). Between the WF6

and Si2H6 reactant exposures, the deposition chamber was purged with N2 for several minutes.

Si2H6 serves only a sacrificial role to remove surface species without incorporation into the film

[52]. (tBuN)2(Me2N)2W precursor has been used with NH3 coreactant for film growth of WNx

Page 31: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

31

[53-55]. WNx barrier films were deposited by ALD using (tBuN)2(Me2N)2W and NH3 in the

temperature range 250 to 350 °C. Film microstructure was X-ray amorphous as deposited and

100% step coverage was obtained inside holes with aspect ratio greater than 40:1. RBS showed

that O was not detected and C was less than the detectable limit (< 0.5 at. %). WNx film (1.5 nm

thick) proved to be good barriers to the Cu diffusion for temperature up to 600 °C. Numerous

crystals of Cu3Si were observed due to complete breakdown of the barrier for a sample annealed

at 650 °C. RBS and XPS confirmed the loss of N in the annealed film at temperatures greater

than 725 °C, indicating the WNx was converted to pure polycrystalline W [53]. Films deposited

above 350 °C contained C in addition to W and N and their step coverage is not as good as that

for films deposited within the range 250 to 350 °C. The films deposited at 400 °C were more

conductive, 420 μΩ cm. No films were deposited at deposition temperature below 250 °C. ALD

of Cu on the WNx could not be removed by adhesive tape applied to the Cu [54]. ALD has been

used to seal porous low-κ material with silica (4 nm thick), and to add a WNx diffusion barrier

(1.0 nm thick), a Co adhesion layer (1.0 nm thick), and a Cu seed layer (10 nm thick). Tape pull

tests showed the Cu/Co/WNx/silica/low-κ /Si stack has good adhesion. Samples annealed at

400 °C for 30 min showed no agglomeration of Cu observed by SEM and no diffusion of Cu

detected by RBS [55].

2.4.2 Tungsten Carbonitride as a Diffusion Barrier

Table 2-4 shows the halide and metal-organic precursors that were used for film growth of

WNxCy by ALD. The properties of WNxCy films deposited by ALD using WF6, NH3, and TEB

as a source gases were characterized as a diffusion barrier for Cu metallization [13, 17, 56-63].

ALD WNxCy was deposited in the temperature range 275 to 325 °C by supplying WF6, NH3, and

triethylboron [B(C2H5) or TEB] in cyclic pulses. The growth rate was 0.8 Å /cycle; the WNxCy

was conductive (300 – 400 μΩ cm) and dense (15.4 g/cm3). XPS spectra indicate that C in ALD

Page 32: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

32

WNxCy is in the WCx phase, which is more conductive than the WNx phase [13]. The films

deposited at 313 °C show resistivities of about 350 μΩ cm with densities of 15.4 g/cm3. The

chemical composition measured by RBS shows W, C, and N of 48, 32, and 20 at. %, respectively.

TEM analysis shows that the as-grown film was composed of a face-centered-cubic (fcc) phase

with a lattice parameter similar to both β-WN2 and β-WC1-x with an equiaxed microstructure.

Diffusion barrier test results show that ALD-WNxCy films (12 nm thick) deposited between Cu

and Si failed after annealing at 700 °C for 30 min. The superior diffusion barrier performance is

the consequence of both the formation of films with equiaxed microstructure and high density

[17, 60, 61]. Film morphology by AFM reveals island growth and fractal behavior of individual

ALD WNxCy on the methyl-terminated self-assembled monolayers (SAMs) for film deposited at

300 °C. Initially, the film grows by deposition of WNxCy on the substrate defect sites. This

deposition causes increased surface area and as a result, film roughness increases. This situation

continues until the film coalesces where the surface area is reduced and accordingly film

roughness decreases. The film area and roughness become constant when the substrate is

completely covered. This growth of ALD WNxCy is enhanced on N containing surfaces such as

N2 plasma-treated SILK polymer films because of good binding states for TEB [56]. TEM

analysis reveals the island growth of individual ALD WNxCy nanocrystals on the PECVD SiO2

during early stages of film growth. The capacitance-voltage (C-V) measurements after bias-

temperature stressing (BTS) reveal that WNxCy thin film (5.2 nm thick) acts a good diffusion

barrier for Cu migration [57]. WNxCy growth on SiC is similar to that on PECVD SiO2. This is

due to the presence of a C-rich layer from TEB precursor decomposition [58]. WNxCy was

deposited at 300 °C in a process sequence using WF6, TEB, and NH3 as precursors. The bulk

resistivity of WNxCy has low resisitivity about 300 – 400 μΩ cm. XPS results show a ratio of

Page 33: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

33

W:N:O:C of 60:20:10:10 at. % throughout a very homogeneous layer. The rms roughness of 0.47

nm was determined for a WNxCy layer with AFM [59]. WNxCy was deposited by introducing

TEB as a reducing agent for W. WNxCy shows excellent film properties: good compatibility

with the Cu metal, strong adhesion on the Cu surface, and no pitting on the Cu surface. The

growth rate is 0.08 nm/cycle and it remains constant in the temperature range 300 to 350 °C

(ALD window). XPS spectra indicate the chemical composition of W:N:C is 55:15:30. Boron

(B) residues were below the XPS detection limit (0.5 at. %). F levels were below 2 at. % for

films deposited from 225 to 400 °C. XRD results show that the crystalline phase is β-WC1-x.

The resistivity is as low as 210 μΩ cm, indicating that C is bound in the WCx phase [62]. TEM

images show that the step coverage of WNxCy barrier film is nearly 100 % in one via in a via

chain. AES analysis indicates that the chemical composition of W, C, and N is 57, 30, and 13

at. %. The resistivity was 600 to 900 μΩ cm [63]. W2(NMe2)6 precursor has been used with

NH3 coreactant for film growth of WNxCy thin films between 150 and 250 °C. NH3 was used as

a N source and Ar was used as the carrier and purge gas. At 180 °C, surface-limited growth was

achieved with W2(NMe2)6 pulse lengths over 2.0 s. Shorter pulse of W precursor results in sub-

saturative growth and lower growth rate. The ALD window was detected at the deposition

temperature between 180 and 210 °C. XPS spectra indicate that W 4f7/2 binding energy was 31.5

eV, which is well matched with the binding energy of the WCx and WNx phases. The binding

energy of C 1s at 282 eV and N 1s at 397.6 eV are consistent with C in carbides and N in nitrides.

The binding energy of O 1s was 530. eV. Films deposited at 180 °C exhibited a resistivity value

of 810 ± 50 μΩ cm. The resistivity of WNx films is sensitive to the W to N ratio. Further

exposure of the same film to ambient atmosphere (an additional 30 days) caused an increase in

film resistivity values over 10000 μΩ cm. XRD results for film deposited at 180 °C indicates X-

Page 34: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

34

ray amorphous microstructure. AFM analysis shows that the rms roughness (2 μm by 2 μm area)

was 0.9, 0.8, and 0.7 for films deposited at 150, 180, and 210 °C, respectively [64]. (η5-

C5H5)W(CO)2NO precursor has been used with NH3 coreactant to deposit WNxCy thin films by

PEALD [65, 66].

Page 35: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

35

Table 2-1. Precursors used for film growth of WNx by CVD

Technique Precursor Coreactant Reference

CVD WF6 NH3 [32]

CVD WF6 NH3 + H2 + Ar [33]

CVD WCl6 NH3 + H2 + Ar [34]

CVD W(CO)6 NH3 + Ar [16, 35]

CVD W(CO)6 NH3 + H2 [36]

CVD (tBuN)2W(NH

tBu)2 H2 or Ar [37]

CVD (tBuN)2W(NH

tBu)2 [38]

PECVD WF6 NH3 + H2 +N2 [39]

PECVD WF6 NH3 + H2 [40-42]

PECVD W(CO)6 NH3 [15]

Table 2-2. Precursors used for film growth of WNxCy by CVD

Technique Precursor Coreactant Reference

CVD [W(μ-NtBu) (N

tBu)Cl2(H2N

tBu)]2 NH3 + N2 [43]

CVD [W(NtBu)2Cl2(TMEDA)] NH3 + N2 [43]

CVD [W(NtBu)2Cl2(py)2] NH3 + N2 [43]

CVD [W(NtBu)2Cl(N{SiMe3}2)] NH3 + N2 [43]

CVD WH2(iPrCp)2 NH3 + H2 + N2 [44]

CVD WH2(EtCp)2 NH3 + H2 + N2 [44]

CVD Cl4(CH3CN)W(NiPr) H2 [45, 46]

CVD Cl4(PhCN)W(NPh) H2 [47]

CVD Cl4(CH3CN)W(NiPr) NH3 + H2 [48]

CVD Cl4(CH3CN)W(NC3H5) H2 [18]

CVD Cl4(PhCN)W(NC3H5) H2 [18]

CVD Cl4(CH3CN)W(NC3H5) NH3 + H2 [19]

CVD Cl4(PhCN)W(NC3H5) NH3 + H2 [19]

Page 36: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

36

Table 2-3. Precursors used for film growth of WNx by ALD

Technique Precursor Coreactant Reference

ALD WF6 NH3 [26, 49-52]

ALD (tBuN)2(Me2N)2W NH3 [53-55]

Table 2-4. Precursors used for film growth of WNxCy by ALD

Technique Precursor Coreactant Reference

ALD WF6 NH3 + (C2H5)3B [13, 17, 56-62]

ALD WF6 NH3 [63]

ALD W2(NMe2)6 NH3 + Ar [64]

PEALD (η5-C5H5)W(CO)2NO NH3 [65]

PEALD (η5-C5H5)W(CO)2NO NH3 [66]

Page 37: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

37

Figure 2-1. Microstructure of Cu diffusion barrier materials: A) single crystal; B)

polycrystalline; C) polycrystalline columnar; D) nano-crystalline; E) amorphous.

Adopted from A. Kaloyeros and E. Eisenbraun, “Ultrathin diffusion barrier/liners for

gigascale copper metallization”, Annu. Rev. Mater. Sci. 30 (2000) 363-385.

A)

B)

C)

D)

E)

Page 38: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

38

Figure 2-2. Diagram showing the applications of metals and nitrides in modern semiconductor

devices. Adopted from H. Kim, “Atomic layer deposition of metal and nitride thin

films: Current research efforts and applications for semiconductor device processing”,

J. Vac. Sci. Technol. B 21 (2003) 2232-2261.

Cu diffusion barrier/adhesion promoter

Cu seed layer

Tungsten plug for via hole

Diffusion barrier Metal gate electrode

Page 39: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

39

Dielectric deposition and patterning

TaNx diffusion barrier by PVD

Ta liner/adhesion promoter by PVD

Cu seed layer by PVD

Bulk Cu fill by ECD

Cu/liner/barrier by CMP

Dielectric

TaNx PVD

Ta PVD

Cu seed/Cu ECD

Dielectric deposition and patterning

TaNx diffusion barrier by PVD

Ta liner/adhesion promoter by PVD

Cu seed layer by PVD

Bulk Cu fill by ECD

Cu/liner/barrier by CMP

Dielectric

TaNx PVD

Ta PVD

Cu seed/Cu ECD

Figure 2-3. Simplified processing steps in dual-damascene structure for Cu metallization.

Page 40: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

40

CHAPTER 3

EXPERIMENTAL PROCEDURE

3.1 Precursor Synthesis

The diorganohydrazido(2-) tungsten complexes Cl4(CH3CN)W(NNR2) (1: R2 = -(CH2)5-;

2: R2 = Ph2; 3: R2 = Me2) were prepared as described in the literature [24].

3.2 Film Growth

The each precursor was dissolved in benzonitrile (PhCN) in a concentration of 8.1 mg/mL,

9.6 mg/mL, and 7.4 mg/mL for 1, 2, and 3, filled into a gas-tight syringe, and pumped into a

nebulizer. A quartz plate in the nebulizer vibrates at a frequency of 1.44 MHz generating a mist

of precursor and solvent. Carrier gas flows through the nebulizer assembly and transports the

aerosol through the capillary tube from the syringe into a heated impinging jet. The mixture of

precursor and PhCN flows from the showerhead to reach the substrates on a heated graphite

susceptor. A custom-built vertical quartz cold wall CVD reactor system shown in Figure 3-1

was used to deposit the thin films on p-type boron-doped Si(100) single crystal substrates with

electrical resistivities in the range 1 to 2 Ω-cm. A graphite susceptor was heated by radio-

frequency (rf) induction coils to maintain the substrates at the specific deposition temperature.

The deposition temperature was varied from 300 to 700 °C in steps of 50 °C. The operating

pressure was maintained at 350 Torr using a mechanical roughing pump and pressure control

valve. The H2 (99.999 %, Airgas) carrier gas flow rate was 1000 sccm (sccm denotes cubic

centimeters per minute at STP), the NH3 (99.9999 %, Air Liquide) coreactant flow rate was 30

sccm, and the deposition time for all depositions was 150 min.

3.3 Film Characterizations

Several methods were used to characterize the composition, chemical bonding states,

microstruture, surface morphology, growth rate, and electrical properties of the films. X-ray

Page 41: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

41

photoelectron spectroscopy (XPS) was used to identify the chemical composition and the

chemical bonding states of the elements in the film using a Perkin-Elmer PHI 5600 ESCA

system. XPS spectra were obtained by monochromatic Mg Kα ionizing radiation (1254 eV) with

the X-ray source operating at 300 W (15 kV and 20 mA). Prior to XPS measurement, Ar+ ions

were used to sputter as-deposited samples for 10 min to remove residual surface contamination.

X-ray diffraction (XRD) was used to identify the film microstructure with a Philips APD 3720

system, operating with Cu Kα radiation (40 kV and 20 mA). XRD was performed from 30 to 80

2θ° with 0.02 ° step size. Atomic force microscope (AFM) was used to measure the surface

roughness with a Digital Instruments Dimension 3100 system, operating in tapping mode. AFM

was performed with 2 Hz scan and with 512 by 512 resolution. Cross-sectional scanning

electron microscopy (SEM) was used to measure the thickness of the film on a JEOL JSM-

6335F to obtain the growth rate. The sheet resistance of the film was measured by the four-point

probe method using an Alessi Industries four-point probe to obtain film resistivity along with

thickness from cross-sectional SEM images.

3.4 Diffusion Barrier Testing

Cu (100 nm thickness) was deposited by reactive sputtering using a Kurt Lesker CMS-18

Sputter system at room temperature. Samples of WNxCy (15 - 20 nm thickness) deposited by

CVD at 400 °C on the Si(100) single crystal substrates were loaded via a load-lock system into

the process deposition chamber with a base pressure of 3 10-7 Torr. The chamber pressure

during deposition was 5 mTorr. The forward sputtering power for Cu was 200 W, while the

WNxCy/Si stacks were rotated at 20 rpm during deposition. Cu was deposited on top of

WNxCy/Si stacks to evaluate their performance as Cu diffusion barriers. The Cu/WNxCy/Si

stacks were then annealed in the CVD reactor at 500, 600, and 700 °C for 30 min/step.

Page 42: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

42

Annealing was performed under N2 (99.999 %, Praxair) to protect the Cu layer from oxidation.

XRD and four-point probe were used to investigate the onset of the failure process via the

formation of Cu3Si. SEM imaging was used to reveal the Cu surface morphology. Cross-

sectional transmission electron microscope (TEM) imaging was used to detect the presence of

Cu3Si in the WNxCy/Si interface. Energy dispersive X-ray spectroscopy (EDS) qualitative

analysis was used to identify the presence of Cu Kα signal in the WNxCy/Si interface. The cross-

sectional image was taken by TEM using JEOL TEM 2010F to allow for high-resolution

imaging of multilayered interfaces. Prior to TEM imaging, focused ion beam (FIB) was used to

prepare samples for cross-sectional TEM using FEI Strata DB 235 to allow for precise cross-

sectioning in specific location. FIB was operated with a finely-focused beam of Ga+ ions.

Page 43: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

43

1 2 3

Figure 3-1. The diorganohydrazido(2-) tungsten complexes Cl4(CH3CN)W(NNR2) (1: R2 =

-(CH2)5-; 2: R2 = Ph2; 3: R2 = Me2).

Page 44: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

44

To Vacuum Pump

Heated Transfer Tube

Quartz Tube

Graphite SusceptorRF Coils

Impinging Jet

Water Cooled Flange

Carrier Gas

to Nebulizer

Dissolved Precursor

from Syringe Pump

Gate Valve

Precursor Aerosol

Plastic Tubing

Quartz Plate

Figure 3-2. Schematic diagram of the aerosol-assisted CVD system.

Page 45: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

45

Figure 3-3. Process flow on film properties. (MAIC, http:\\maic.mse.ufl.edu, October, 2008).

XRD

CVD

H 2 (or NH 3 )

CVD

H 2 (or NH 3 )

Si WN x C y Si WN x C y

FE SEM

XPS

AFM

4PP

AES

Material Characterization

Diffusion Barrier CVD

Page 46: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

46

Pre-anneal

Si Si

WNxCy

Si

WNxCy

Si

WNxCy

Cu

Si

WNxCy

Cu

Si

WNxCy

Cu

Si

WNxCy

CuWNxCy CVD Cu Sputter Anneal

XRD

FIB TEM/EDS

500~700 °C400 °C R.T.

Post-anneal

Pre-anneal Post-anneal

Sputter

15 nm 100 nm 30 min

4PP SEM

CVD

Figure 3-4. Process flow on diffusion barrier testing. (MAIC, http:\\maic.mse.ufl.edu, October,

2008).

Page 47: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

47

CHAPTER 4

DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(N-pip) AS A SINGLE-SOURCE

PRECURSOR

4.1 X-ray Crystallographic Study of Cl4(CH3CN)W(N-pip)

Single crystals suitable for X-ray diffraction were obtained from compound 1 and

subjected to X-ray crystallographic structure determination (Table 4-1). The solid state structure

of 1 reveals the W metal center in a distorted octahedral geometry (Figure 4-1). Four Cl atoms

occupy the basal positions with the W-Cl bonds averaging 2.34 Å (Table 4-2) which is within the

expected range for W(VI)-Cl bonds [67]. The diorganohydrazido(2-) ligand is strongly bound to

the central metal atom as indicated by a short W(1)-N(1) distance of 1.752(3) Å . The short N(1)-

N(2) bond distance (1.265(4) Å ) within the hydrazido ligand suggests a high degree of

delocalization and multiple bond character throughout the W(1)-N(1)-N(2) unit. This

phenomenon has been reported in the literature for other hydrazido complexes of W with

multiple Cl ligands such as W(η5-C5Me5)Cl3(NNPh2) [68] (W-N 1.769(2) Å , N-N 1.296(3) Å ),

cis-[WCl3(NNH2)(PMe2Ph)2] [69] (W-N 1.752(10) Å , N-N 1.300(17) Å ) and

(CH3CN)Cl4W(NNMe2) [70] (W-N 1.769(5) Å , N-N 1.271(8) Å ). The piperidyl unit can be

found in a typical chair-like conformation with the C(1)-C(5)-N(2)-N(1) unit exhibiting a

trigonal planar arrangement as evidenced by the sum of the bond angles (359.7°) around N(2).

The remaining coordination site is occupied by a neutral acetonitrile solvent molecule. The

W(1)-N(3) bond distance of 2.237(3) Å is significantly shorter than those reported for related

tungsten imido compounds [18], suggesting a decreased trans-influence of the

diorganohydrazido(2-) ligand compared to the imido moiety.

4.2 Preliminary Precursor Screening

Multiple spectroscopic techniques were applied to evaluate the viability of 1 as a precursor

for WNxCy deposition [70]. Kinetic data obtained by 1H NMR spectroscopy confirmed an

Page 48: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

48

expected weak bond between the acetonitrile ligand and the metal center. Positive-ion chemical

ionization (CI) mass spectrometry was performed to obtain some insight into the fragmentation

behavior of 1. The absence of molecular ion peaks is in good agreement with the acetonitrile

ligand being labile. Mass envelopes containing the piperidine moiety ([pip]+ and [H2pip]

+) were

observed in high abundance, suggesting that cleavage between the two hydrazido N atoms is

facile under high energy conditions (ionization during MS or pyrolysis during CVD). Thermal

behavior studies of 1 via thermogravimetric analysis (TGA) showed a drop in mass

corresponding to loss of the acetonitrile ligand at approximately 100 °C.

4.3 Film Structure

The XRD spectra in Figures 4-2A and 4-2B show amorphous and polycrystalline

microstructure for films deposited at 300 and 700 °C, respectively. The four characteristic

polycrystalline peaks exhibit locations that are consistent with β-WNxCy. The XRD spectra

contain no evident characteristic peaks observed up to 450 °C, indicating that amorphous films

were deposited from 300 to 450 °C. The polycrystalline peaks appearing in spectra of material

deposited from 500 to 700 °C indicate no preferred crystal orientation. Primary peaks at 37.24

and 42.98 2θ° are consistent with (111) and (200) orientation, while the other peaks at 62.58 and

74.98 2θ° are from (220) and (311), respectively. The XRD spectra in Figure 4-2C show the

evolution of film crystallinity with increasing deposition temperature. As the deposition

temperature increases to 700 °C, the (111) and (200) β-WNxCy peaks sharpen further. All of the

films show three additional sharp peaks at 33.08, 61.76, and 69.14 2θ°, indicating Si(200) Kα,

Si(400) Kβ, and Si(400) Kα radiation, respectively. Additionally, all films displayed one peak at

65.99 2θ°, representing W Lα radiation. This W peak comes from deposition on the target due to

evaporation of the W filament [71]. The ability to deposit amorphous films of WNxCy at low

Page 49: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

49

temperature is highly significant for diffusion barrier applications since the formation of

polycrystalline films facilitates diffusion of Cu to the underlying Si via the grain boundaries.

4.4 Chemical Composition

XPS results for chemical composition (Figure 4-3) show that W, N, C, and O were present

in the films. No Cl contamination in the films was observed within detection limit of XPS (~ 1

at. %). The W level is highest between 450 and 500 °C, while the N, C, and O levels are fairly

steady in this range. From 300 to 400 °C, the C level is below 10 at. %, with the lowest level of

6 at. % for depositions at 400 °C. Between 500 and 700 °C, the C level increases gradually from

15 to 67 at. %. The overall trend for C content is consistent with the faster decomposition of

hydrocarbon groups in both the precursor and the solvent as the growth temperature increases,

leading to C incorporation into the film. As the deposition temperature increased from 300 to

400 °C, the N level increased from 10 to 18 at %. However, above 500 °C, N levels start to

decrease, as a consequence of increased C concentration in this range. When the deposition

temperature reaches 700 °C, the N level has declined to 5 at. % due to the steep rise in C levels at

high deposition temperatures. Typically, refractory metal nitride diffusion barriers show a

decreasing tendency of N incorporation with increasing deposition temperature due to N2

desorption [29, 33, 38, 72]. Films deposited at 300 C° show over 20 at. % of O, which decreased

drastically to 14 at. % at 450 °C. As the deposition temperature increased from 450 to 700 °C,

the O level decreased gradually to 5 at. %. From XRD spectra in Figure 4-2C, the

polycrystalline microstructure becomes evident for depositions performed at 500 °C. As the

deposition temperature increases, the average grain size increases as well. As the film starts to

crystallize, the microstructure gets denser, which inhibits post-growth of O interdiffusion into

the lattice of the film [73]. This result comes from the densification of film by polycrystal grain

growth between 500 to 700 °C.

Page 50: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

50

4.5 Chemical Bonding States

XPS was used to measure the binding energy (BE) of atoms in the films. The W 4f

photoelectron line is a doublet due to spin orbit splitting into W 4f7/2 and W 4f5/2, while the N 1s,

C 1s, and O 1s photoelectron lines show a single peak. Figure 4-4A indicates the evolution of

XPS spectra in the W 4f BE region as the deposition temperature changes. The major W 4f7/2

and W 4f5/2 peaks at 400 °C are at 31.6 and 33.5 eV, which are close to values for WCx and WNx

(Table 4-3). These two values are higher than those for metallic W and lower than those for

WO3. These BE values are consistent with W in the β-WNxCy chemical bonding state. As the

deposition temperature rises to 700 °C, the BE increases to 31.8 and 33.8 eV, which correspond

to WCx and WNx as well. This slight increase in BE comes from more carbon-laden samples at

the higher temperature. For material deposited at 300 °C, the BE more closely resembles that of

WO3 but shifts toward values for WCx or WNx as the deposition temperature rises to 350 °C.

This indicates a chemical bonding state from WO3 dominant to WNxCy as the deposition

temperature increases from 300 to 700 °C. Figure 4-4B illustrates the change of the XPS spectra

in the region of the N 1s BE as the deposition temperature changes. The N 1s peaks are observed

at 397.3 eV, which is the reported value for WNx (Table 4-3). Hence, the N in the films is all

bound in the WNx polycrystals. N at the grain boundary can be excluded due to a single N 1s

peak without a second peak near 399 eV. The maximum N intensity is seen in the spectra of

films deposited at 400 °C, which is consistent with the high N level in those films. Figure 4-4C

indicates the evolution of the XPS spectra in the region of the C 1s BE as the deposition

temperature changes. As the deposition temperature increases to 700 °C, the C 1s peaks exhibit

higher intensity. At lower deposition temperature, the C 1s peaks occur at 283.1 eV, while at

higher temperature, the C 1s peaks are shifted to higher BE. Between 300 and 600 °C, the BE is

lower than reported for amorphous C, while over 600 °C, the BE is higher than that of WCx.

Page 51: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

51

Deconvolution of the broad C 1s peak at 700 °C in Figure 4-4C yields two separate peaks, which

are at 283.1 and at 284.5 eV. The peaks at the lower BE are due to C in the β-WNxCy bonding

states, while the peaks at higher BE are consistent with amorphous C present outside of the β-

WNxCy nanocrystals. For deposition at temperatures higher than 650 °C, a small portion of

amorphous C starts to show up with WCx in the film. As the deposition temperature increases to

700 °C, much more amorphous C exists with WCx in the film. Figure 4-4D indicates the

dependence of the XPS spectra in the region of the O 1s BE as the deposition temperature

changes. The O peaks were observed near 530.5 eV, which is consistent with the presence of

WO3 (Table 4-3). O levels are at the maximum in the low temperature film growth due to film

crystallization and C incorporation. As the deposition temperature increases up to 700 °C, the

film density is increased by film crystallization and the grain boundaries are infiltrated by C

incorporation.

4.6 Lattice Parameter

The lattice parameter was determined by XRD using the 2θ position of the β-WNxCy(111)

diffraction peaks. The β-WNxCy peak position was calibrated to the Si(400) diffraction peak at

69.14 2θ°. The dashed line at 4.126 Å in Figure 4-5 indicates the value of the standard lattice

parameter for β-W2N and the dashed-dot line at 4.236 Å in Figure 4-5 indicates the value of the

standard lattice parameter for β-WC1-x. The lattice parameter in Figure 4-5 shows the increasing

tendency as deposition temperature increases from 500 to 650 °C. The change in lattice

parameter shows a composition change in polycrystals. The main reason that the lattice

parameter increases between 500 and 650 °C is that C is incorporated into the β-WNxCy

polycrystals, not at the grain boundary. As the indicated by the chemical composition in Figure

4-3, the C level continues to increase with deposition temperature over 500 °C. Between 650

and 700 °C, the lattice parameter decreases. At this range, the C level increases and W decreases

Page 52: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

52

with almost no compositional change in N and O. The decrease in lattice parameter between 650

and 700 °C indicates the limitation of solubility for C in β-WNxCy polycrystals [45]. These

results are consistent with the chemical bonding states in Figure 4-4C, where the C 1s peak in

this range is shifted to higher BE and has a broad peak that can be deconvoluted into two

separate peaks. The lattice parameter decreases between 650 and 700 °C because C exists at the

grain boundary, not in the β-WNxCy polycrystals.

4.7 Average Grain Size

Average grain size was calculated using Scherrer‟s formula [71]. The most dominant β-

WNxCy(111) diffraction peak of the four characteristic polycrystalline peaks was used to

determine FWHM as the reference peak for Scherrer‟s formula. Average grain size (Figure 4-6)

increases between 500 and 600 °C, varying from 31 to 47 Å . As seen in Figure 4-2C, the films

were X-ray amorphous between 300 and 500 °C, which places a limit of 31 Å on the maximum

grain size. The overall tendency of polycrystal grain size increases with the deposition

temperature, varying from 500 to 700 °C.

4.8 Electrical Resistivity

The variation of film resistivity with deposition temperature is shown in Figure 4-7. The

lowest resistivity is 0.9 mΩ-cm at 550 °C and the values of film resistivity fluctuate with the

interplay of polycrystal grain growth, C content, O content, and film thickness between 500 and

700 °C. As shown in Figure 4-4, an increase in the amorphous C level as the deposition

temperature rises from 650 to 700 °C results in an increase in electron scattering, which causes

the film resistivity to increase. The highest film resistivity is 9.4 mΩ-cm for films deposited at

350 °C. The high N level in those films is consistent with increased film resistivity in the β-

WNxCy polycrystal structures, due to the higher resistivity for β-W2N relative to β-WC1-x.

Page 53: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

53

4.9 Film Growth Rate

The growth rate is in the range 2.7 to 29.4 Å /min, as determined by cross-sectional SEM.

For films deposited between 650 and 700 °C, the growth rate increased drastically suggesting a

change in the growth mechanism at these temperatures. This observation was also confirmed by

the formation of WCx instead of WNxCy. Figure 4-8 is consistent with the presence of two

growth regimes. The region with the shallow slope is a mass transfer limited growth regime

between 450 °C and 600 °C. The region with the steep slope is a kinetically controlled growth

regime between 300 °C and 450 °C. The apparent activation energy calculated for the activated

process is 0.28 eV.

4.10 Diffusion Barrier Testing

XRD measurement, detection of etch-pits, and AES depth profile were used to detect Cu

transport through the film. XRD measurement was used to search for formation of Cu3Si, which

occurs after barrier failure on Si substrates. As shown in Figure 4-9, the XRD data show no

Cu3Si peaks in the region of 44 to 46 2θ°. Before annealing, only the Cu(111) peak is observed.

After annealing, there are three Cu peaks observed: Cu(111) at 43.44, Cu(200) at 50.80, and

Cu(220) at 74.42 2θ ° [74]. Cu recrystallization upon annealing resulted in increase in the

intensity of the Cu-related textures due to nucleation of the new grains or growth of preexisting

ones in the Cu/WNxCy stacks [71]. The etch-pit test was also used to search for Cu3Si on the Si

surface, which would be evident if there was Cu transport through the barrier. Figure 4-10

shows results of the etch-pit test on samples before and after annealing. However, there are no

etch-pits observed in either sample, indicating that no Cu was interdiffused or intermixed, and

the barrier did not fail upon annealing under N2 at 500 °C for 30 min. If there were Cu transport

through the barrier, defects caused by the formation of Cu3Si on Si substrate would be shown as

Page 54: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

54

inverse pyramidal shaped etch-pits after etch-pit test. AES depth profile in Figure 4-11 shows

only negligible background signals for Cu where there is no Cu transport. The sharp interface

between WNxCy and Si indicate that there is no detectable Cu signal at this interface. Thus,

WNxCy deposited at 400 °C is a viable Cu diffusion barrier material to prevent Cu transport and

intermixing in Si during annealing under N2 at 500 °C for 30 min.

4.11 Conclusions

The tungsten piperidylhydrazido complex Cl4(CH3CN)W(N-pip) (1) was used as a single-

source precursor for film growth of WNxCy to investigate the film properties for diffusion barrier

applications. XRD results suggest that films deposited at temperature below 500 °C are X-ray

amorphous and films deposited at higher temperature are polycrystalline. The XPS of the W 4f

bonding state is consistent with W is present in WNxCy and WO3. For films deposited at the low

end of the temperature range, WO3 predominates and as the deposition temperature increases,

WNxCy becomes the dominant W species. XRD results, however, do not indicate any WO3

peaks. The XPS data on the N 1s bonding state suggest that N is present in WNx, while results

on the C 1s bonding state indicate that C is present in WCx and amorphous C. For depositions at

temperature higher than 650 °C, amorphous C coexists with WCx. The XPS data on the O 1s

bonding state suggest that O is present in WO3 and the O levels are the highest for growth

temperatures below 400 °C. As the deposition temperature varies, the film growth rate changes

from 2.7 to 29.4 Å /min, with the transition from a kinetically controlled growth regime to a mass

transfer controlled growth regime occurring near 550°C. Film resistivity changes with the

interplay of polycrystal grain growth, C content, O content, and film thickness. The WNxCy

films were evaluated to determine their suitability as Cu diffusion barriers. WNxCy deposited

from 1 is a viable Cu diffusion barrier material to prevent diffusion of Cu into Si after annealing

Page 55: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

55

under N2 at 500 °C for 30 min. Further diffusion barrier testing and film characterization are

underway.

Page 56: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

56

Table 4-1. Crystal data and structure refinement for Cl4(CH3CN)W(N-pip) (1)

Empirical formula C7H13Cl4N3W

Formula weight 464.85

Temperature (K) 173(2)

Wavelength (Å ) 0.71073

Crystal system Monoclinic

Space group P21/n

Unit cell dimensions (Å ) a = 9.7113(15) α = 90°

b = 14.874(2) β = 92.777(3)°

c = 9.7939(15) γ = 90°

Volume (Å3) 1413.0(4)

Z 4

Density (Mg/m3) 2.185

Absorption coefficient (mm-1

) 8.906

F(000) 872

Crystal size (mm3) 0.19 × 0.11 × 0.02

θ range for data collection (°) 2.49 - 27.50

Index ranges -10≤h≤12

-19≤k≤17

-12≤l≤9

Reflections collected 9391

Independent reflections 3245 [Rint = 0.0546]

Completeness to = 24.60° (%) 99.9

Absorption correction Integration

Max and min transmission 0.8420 and 0.2825

Data/restraints/parameters 3245/0/137

Goodness-of-fit on F2 1.155

Final R indices [I > 2σ(I)] R1a = 0.0203, wR2

b = 0.0541

Largest diff. peak and hole (Å-3

) 1.309 and -1.035

a R1 = Σ(||Fo| - |Fc||)/Σ|Fo|.

b wR2 = [Σ[w(Fo

2 – Fc

2)

2]/ Σ[w(Fo

2)

2]]

1/2.

S = [Σ[w(Fo2 – Fc

2)

2](n - p)]

1/2, w = 1/[σ

2(Fo

2) + (mp)

2 + np], p = [max(Fo

2,0) + 2Fc

2]/3.

Page 57: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

57

Table 4-2. Selected bond distances (Å ) and angles (°) for Cl4(CH3CN)W(N-pip) (1)

W(1)-N(1) 1.752(3) N(1)-W(1)-Cl(1) 92.03(9)

W(1)-N(3) 2.237(3) N(1)-W(1)-Cl(2) 96.70(8)

W(1)-Cl(1) 2.3609(9) N(1)-W(1)-Cl(3) 95.00(9)

W(1)-Cl(2) 2.3252(8) N(1)-W(1)-Cl(4) 98.17(8)

W(1)-Cl(3) 2.3563(9) N(3)-W(1)-N(1) 178.24(11)

W(1)-Cl(4) 2.3444(8) W(1)-N(1)-N(2) 176.6(3)

N(1)-N(2) 1.265(4) N(1)-N(2)-C(1) 120.7(2)

N(2)-C(1) 1.461(4) N(1)-N(2)-C(5) 120.3(3)

N(2)-C(5) 1.473(4) C(1)-N(2)-C(5) 118.7(3)

Page 58: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

58

Table 4-3. Reported binding energy (BE) values

W 4f7/2 W 4f5/2 N 1s C 1s O 1s Ref.

Metallic W 31.2-31.7 33.4 [75-77]

WNx 32.7–33.6 33.3-35.8 396.2-398.2 [76-80]

N at grain boundary 399.2-400.0 [33, 34]

WO3 35.5-36.7 37.6-37.8 528.2-531.6 [33, 76-

78, 81]

WCx 31.6-32.3 33.7-33.9 279.7-283.8 [75-77,

82]

Amorphous C 284.2-285.2 [76, 77,

83, 84]

Page 59: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

59

Figure 4-1. Thermal ellipsoids diagram of the molecular structure of Cl4(CH3CN)W(N-pip) (1).

Thermal ellipsoids are drawn at 50% probability. H atoms are omitted for clarity.

Page 60: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

60

A) B)

C) D)

Figure 4-2. XRD spectra for films deposited on Si(100) in H2 carrier: A) 300 °C, B) 700 °C, C)

between 300 and 700 °C, and D) standard diffraction plots for β-W2N and β-WC1-x.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

Si(200)

300 °C

(a)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

700 °C

β -WNxCy(111)

β -WNxCy(220)

β -WNxCy(311)

Si(200)

β -WNxCy(200)

(b)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

300 °C

Si(400)

Si(400) K β

Si(200)

350 °C

450 °C

500 °C

550 °C

600 °C

β -WNxCy(200)

β -WNxCy(111)

β -WNxCy(220)

400 °C

650 °C

β -WNxCy(311)

700 °C

(c)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

β -W2N(200)

β -W2N(220)

β -W2N(311)

β -WC1-x(200)

β -WC1-x(220)

β -WC1-x(311)

β -WC1-x(111)

β -W2N(111)

(d)

JCPDS 25-1257

JCPDS 20-1316

β -W2N(220)

β -WC1-x(220)

Page 61: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

61

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

) C

W

O

N

Figure 4-3. Variation in chemical composition of W, N, C, and O content in the films with

deposition temperature. Data are measured by XPS after 10 min Ar+ ion sputter.

Page 62: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

62

A) B)

C) D)

Figure 4-4. Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter.

28303234363840

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(a) W 4f

390392394396398400402

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(b) N 1s

276278280282284286288

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(c) C 1s

524526528530532534536

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

(d) O 1s

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

Page 63: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

63

Figure 4-5. Change in lattice parameter with deposition temperature for polycrystalline films

deposited from 1 based on β-WNxCy(111) diffraction peaks.

Figure 4-6. Change in average grain size with deposition temperature for polycrystalline films

deposited from 1 based on β-WNxCy(111) diffraction peaks.

0

10

20

30

40

50

60

70

450 500 550 600 650 700 750

Temperature (°C)

Averag

e G

rain

Siz

e (

Å)

4.11

4.13

4.15

4.17

4.19

4.21

4.23

4.25

450 500 550 600 650 700 750

Temperature (°C)

Latt

ice P

aram

ete

r (

Å)

β -WC1-x: 4.236

β -W2N: 4.126

Page 64: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

64

0

2

4

6

8

10

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Film

Resis

tivit

y (

-cm

)

Figure 4-7. Change in film resistivity with deposition temperature. Data are measured by four-

point probe.

Figure 4-8. Change in growth rate with deposition temperature. Thickness measured by cross-

sectional SEM.

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8

1000/T (K-1

)

ln G

/m

in)

700 600 500 400 300

Deposition Temperature (°C)

Page 65: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

65

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

Si(200)

As-grown

Cu(111)

500 °C

Cu(200)

No Cu3Si peaks

Cu(220)

Figure 4-9. The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si stacks

before and after annealing at 500 °C.

Figure 4-10. SEM images of Si surface after etch-pit test A) before annealing and B) after

annealing at 500 °C.

B) A)

Page 66: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

66

0 5 10 15 20 25 30 35 40

Time (min)

In

ten

sit

y (

a.u

.)

W

Si

C

N

O

Cu

Figure 4-11. The performance of diffusion barrier by AES depth profile for Cu/WNxCy/Si stacks

after annealing at 500 °C.

Page 67: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

67

CHAPTER 5

DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(N-pip): EFFECT OF NH3 ON FILM

PROPERTIES

5.1 Film Structure

Figure 5-1A shows the progression of XRD patterns with increasing deposition

temperature for films deposited in NH3/H2 atmosphere. The XRD patterns have been

compressed to include the results from all nine growth runs, and thus the resolution is diminished

in the figure. An analysis of the original data, however, reveals four reflections, which were

calibrated to the Si(400) diffraction peak. The reflections at 62.02 and 75.48 2θ° show low

intensity, as compared with the primary ones at 37.48 and 42.78 2θ°. The peak positions in these

patterns are well matched with a two-phase mixture of β-WN2 and β-WC1-x phases or their solid

solution β-WNxCy with the same crystal structure. Both standards exhibited a face-centered

cubic (fcc) structure with similar lattice parameter (β-WN2: 4.124 Å , β-WC1-x: 4.236 Å ). In

addition to the WNxCy peaks, three sharp single crystal peaks were detected at 33.08, 61.76, and

69.14 2θ°, and associated with Si(200) Kα, Si(400) Kβ, and Si(400) Kα radiation, respectively.

The XRD spectra indicate that amorphous films were deposited from 300 to 450 °C, while

polycrystalline materials were deposited at and above 500 °C. The relative intensities of the four

characteristic reflections are consistent with random grain orientation and as expected their

variation with growth temperature follow the same pattern as the film thickness. Note that the

growth rate data is proportional to film thickness since the growth time is constant for all runs.

Primary peaks at 37.48 and 42.78 2θ° are assigned to the (111) and (200) orientations, while the

other two reflections at 62.02 and 75.48 2θ° are attributed to (220) and (311) orientations,

respectively. As the deposition temperature was increased from 500 to 600 °C, the peak

intensities increased, primarily as a result of increased film thickness and possibly changes in

crystallinity. The intensities then successively decreased for the 650 and 700 °C deposited

Page 68: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

68

samples. This result is in contrast to that of the previous work using only H2 as the carrier gas in

which the growth rate continued to increase with temperature (Figure 4-8). The decreased

intensity when NH3 is present is likely a result of precursor depletion from parasitic gas phase

reactions. Transamination with NH3 has been postulated to remove the hydrocarbon group in the

precursor, changing the rate-determining step [85], and thus the growth rate of the films

deposited in the presence of NH3 is less than that for H2 only.

5.2 Surface Morphology

The root-mean-square (rms) roughness of the surface of films deposited at 300 °C in the

absence of NH3 was determined by AFM to be 0.99 nm, with a rise to 17.17 nm for deposition

at 600 °C. From the AFM micrographs in Figures 5-2C and 5-2D, the rms roughness of the film

surface for films deposited in the presence of NH3 was 0.81 nm at 300 °C and 1.28 nm at 600 °C,

indicating addition of NH3 results in films with smoother surfaces. The increase in surface

roughness with the increase in the deposition temperature up to 600 °C is accompanied by

increased crystallinity and grain size (Figure 5-1). The decrease in roughness is consistent with

an amorphous microstructure and more facile migration of absorbed species on the surface at

higher deposition temperatures [86].

5.3 Chemical Composition

Despite the presence of Cl in the precursor, no peaks were observed for either Cl 2p3/2 or

Cl 2s at 199 and 270 eV, respectively, ruling out Cl contamination in the films within the

detection limit of XPS (~ 1 at. %). The absence of Cl signals is consistent with prior

computational results on the related imido complex Cl4(CH3CN)W(NiPr), for which a

mechanistic pathway was found for reaction of the H2 carrier gas with W-Cl bonds to produce

HCl in the gas phase [87]. Figure 5-3A shows the W levels have their highest value between 450

and 500 °C. Between 650 and 700 °C, the measured W levels in the films deposited with NH3

Page 69: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

69

are higher than that in films deposited without NH3. This difference is related with the chemical

bonding states of C 1s in Figure 5-4C. Between 650 and 700 °C, C 1s binding energy (BE)

shifted from lower BE to higher BE, indicating that amorphous C is more dominant than WCx.

The increased extent of amorphous C formation dilutes the amount of W deposited. Figure 5-3B

shows that the N levels increased over the entire temperature range after the addition of NH3.

The highest N levels for films deposited with NH3 (24 at. % at 400 °C) is greater than that of

films without NH3 (18 at. % at 400 °C). The NH3 coreactant is used as an additional N source,

which allows deposition of high N level films compared to depositions without NH3. However,

the increase in the flow rate of NH3 shows no significant variation in N levels [88]. As

deposition temperature increases up to 700 °C, the N levels drop gradually. For films deposited

at 700 °C, the N levels in single-source deposition indicate an N concentration without including

NH3 is 5 at. %, while for films deposited with NH3 the N increased to 12 at. %. For refractory

metal nitride diffusion barriers, the N levels generally decrease with increasing deposition

temperature in film deposited without NH3 and with NH3. It has been suggested that the higher

deposition temperature increases the rate of N desorption as N2 gas, evidenced by Figure 5-3B

[40]. The results shown in Figure 5-3C point to lower C levels in films deposited with NH3 than

that in single-source deposition from 500 to 700 °C. The decrease in the C levels is attributed to

increased competition from N when NH3 is present. Addition of NH3 seems to have no

significant effect on the C levels in the films deposited below 500 °C, likely due to the lower

reactivity at lower temperature. Figure 5-3D shows that deposition with NH3 has lower O levels

than deposition without NH3. As the deposition temperature increased from 450 to 700 °C, the

O levels in the presence and absence of NH3 decreased gradually to 5 at. %. The low O

incorporation is consistent with dense WNxCy films at higher deposition temperature.

Page 70: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

70

Crystallization occurs to a greater extent at higher temperature reducing diffusion of O from air

into the films [89].

5.4 Chemical Bonding States

The values of the BE relative to the emitted the kinetic energy (KE) determined by XPS

were used to identify the elemental chemical bonding states. Figure 5-4A displays the evolution

of XPS patterns for the W 4f BE as deposition temperature is varied for films deposited with

NH3. For films deposited at 300 °C, the W 4f BE is higher than WCx and WNx phases. The

major W 4f7/2 and W 4f5/2 peaks for films deposited at 300 °C are at 36.8 and 37.7 eV, which are

close to these in the WO3 phase. These values for W 4f7/2 and W 4f5/2 peaks agree well with the

reported values of 35.5 – 36.7 eV and 37.6 – 37.8 eV for the WO3 phase [33, 76, 78, 90]. As the

deposition temperature increased from 300 to 350 °C, the W 4f BE shifted from the higher BE

(WO3) to the lower BE (WCx and WNx). The major W 4f7/2 and W 4f5/2 peaks for films

deposited at 350 °C are at 31.7 and 33.6 eV, which are close to these of the WCx and WNx phases.

These values for W 4f7/2 and W 4f5/2 peaks agree well with the reported values of 32.7 – 33.6 eV

and 33.3 – 35.8 eV in WNx phase. Also, these values for W 4f7/2 and W 4f5/2 peaks agree well

with the reported values of 31.6 – 32.3 eV and 33.7 – 33.9 eV in WCx phase [76, 78, 80, 90].

This indicates that the peak shift of W 4f occurs at lower temperature than for films deposited

without NH3. The increased N levels in the films as shown in Figure 5-3 B is believed to be

responsible for this shift. The major W 4f7/2 and W 4f5/2 peaks for films deposited at 400 °C are

at 31.7 and 33.7 eV, which are close to the reported values for WCx and WNx phases. These two

values are higher than metallic W and lower than WO3 [76, 90]. From 350 to 700 °C, the major

W 4f7/2 and W 4f5/2 peaks correspond to WCx and WNx phases, indicating that the chemical

bonding state in W changes from a dominant WO3 phase to the mixture of β-WN2 and β-WC1-x

phases or β-WNxCy single solid solution as deposition temperature increases to 700 °C.

Page 71: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

71

The evolution of XPS patterns for the N 1s BE with deposition temperature for films

deposited with NH3 is summarized in Figure 5-4B. The N 1s peak located at 397.3 eV is close to

the reported value for WNx phase. This value agrees well with the reported values of 396.2 –

398.2 eV in WNx phase [76, 78, 80, 90]. It appears that the N in the film is bound to W in the

WNx phase. The intensity of this N 1s peak is much higher over the entire temperature range, as

compared to those for films deposited without NH3. Films deposited at 400 °C have the highest

intensity of N, indicating the highest N levels in the films as shown in Figure 5-3B. A single N

1s peak indicates that N has the same metal nitride bonding state over the entire temperature

range, irrespective of the other components in the film. From 300 to 700 °C, there is only a

single N 1s peak near 397.3 eV (i.e., no second N 1s peak near 400.0 eV).

XPS patterns for C 1s BE are shown in Figure 5-4C over the range of deposition

temperature for films deposited with NH3. As shown in this figure, the BE of C 1s peak located

at around 283.2 eV and corresponding to WCx phase is evident in films grown up to 650 °C. For

films deposited at 700 °C, the bonding states of C 1s shifted from lower BE to higher BE.

Deconvolution of the broad C 1s peak for films deposited at 700 °C using a Gaussian-Lorentzian

function with background subtraction yields two separate peaks. The BE of the C 1s peak

located at 284.7 eV corresponds to amorphous C present outside of the β-WNxCy nanocrystalline

regions, while the BE of the C 1s peak located at 283.4 eV corresponds to WCx in the β-WNxCy

nanocrystals. The former value for C 1s peak agrees well with the reported values of 284.2 –

285.2 eV for the amorphous C phase, while the latter value for C 1s peak agrees well with the

reported values of 279.7 – 283.8 eV for the WCx phase [75, 76, 82-84, 90]. Amorphous C begins

to appear with the WCx phase in the film deposited at 700 °C in the presence of NH3, while

Page 72: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

72

amorphous C appears at 650 °C in the absence of NH3. This indicates the addition of NH3

promotes metal carbide bonding at higher temperature (650 °C).

O levels were also probed by XPS. Figure 5-4D traces the evolution of the O 1s BE with

deposition temperature for films deposited with NH3. The XPS pattern in O 1s BE over the

entire temperature range is similar to that measured in films deposited with no added NH3. The

O 1s peaks were near 530.4 eV, which is close to the reported value for WO3 phase. This value

for the O 1s peak agrees well with the reported values of 528.2 – 531.6 eV for the WO3 phase

[33, 76, 78, 90]. As deposition temperature increases to 700 °C, the peak intensity of O 1s

decreased as a result of film crystallization and C incorporation, blocking uptake along grain

boundary.

5.5 Film Growth Rate

The growth rate in the presence of NH3 was low, in the range 0.6 to 4.2 Å /min as

compared to the range 2.7 to 29.4 Å /min for films deposited in the absence of NH3. Figure 5-5

shows the variation of growth rate with deposition temperature for films deposited with and

without NH3. Both plots reveal a transition from a kinetically controlled growth regime to a

mass transfer controlled one. Films deposited with NH3 had a transition point near 450 °C, while

films deposited without NH3 had a slightly higher transition temperature near 500 °C. These

differences in activation energy, transition temperature, and absolute growth rate are consistent

with a change in deposition mechanism due to the addition of NH3. It is also noted that the

growth rate for films deposited with NH3 was decreased at higher temperature (650 to 700 °C),

likely a result of precursor depletion in the gas phase near the substrate surface. Transamination

with NH3 has been postulated to remove the hydrocarbon group in 1, changing the rate-

determining step, and thus the growth rate of the films deposited with NH3 is different from that

without added NH3 [85].

Page 73: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

73

5.6 Electrical Resistivity

The film resistivity was determined from measurement of the sheet resistance (four-point

probe) and film thickness (cross-sectional SEM). The effect of growth temperature on the film

resistivity for films deposited with NH3 is shown in Figure 5-6. Films deposited at 300 °C show

the lowest film resistivity (290 μΩ-cm) and the values of film resistivity increase with the

interplay of grain boundary density, film microstructure, film density, chemical bonding states,

and film thickness over the entire temperature range. At lower deposition temperature, an

increase in N levels with WNx bonding states results in a decrease in film resistivity and at

higher deposition temperature, an increase in film thickness results in an increase in film

resistivity. Films deposited at 600 °C show the highest film resistivity (5450 μΩ-cm). The

resistivity for films deposited with NH3 at lower deposition temperature (350 – 450 °C) was

lower than those deposited without NH3. This is attributed to increase in the film N content since

the resistivity of WNx is lower than WO3. At higher deposition temperature (500 – 700 °C), the

film resistivity when deposited with NH3 was higher due to the decrease in C content since the

resistivity of WNx (4000 μΩ-cm) is higher than of WCx (300 – 400 μΩ-cm) [59]. The formation

of WCx is an important factor in decreasing film resistivity. This observation was confirmed by

the XPS results for the bonding states of C in the films, as shown in Figure 5-4C. Hence, the

proper combination of WNx and WCx is important in formation of ternary-based refractory metal

nitrides for diffusion barrier applications.

5.7 Diffusion Barrier Testing

XRD measurements were used to confirm the formation of Cu3Si that occurs after barrier

failure for Si substrates. As shown in Figure 5-7, the XRD patterns show no reflections

attributable to Cu3Si. Generally, the standard diffraction peaks of Cu3Si appear near 44.00 to

46.00 2θ° with barrier failure. After annealing, there are three peaks clearly observed, which are

Page 74: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

74

assigned to Cu(111) at 43.44 2θ°, Cu(200) at 50.80 2θ°, and Cu(220) at 74.42 2θ°, while patterns

on samples before annealing only indicate Cu(111). Cu recrystallization upon annealing resulted

in an increase in the intensity of the Cu-related reflections due to nucleation of the new grains or

growth of preexisting ones in the Cu/WNxCy/Si stacks [71]. It is noted that for metallization

applications, the Cu(111) texture is preferred since it shows a higher resistance to

electromigration [91]. In typical diffusion barrier test results, the Cu XRD peak intensities

decrease as silicide peaks are detected along with the evolution of Cu peaks near 44.00 to 46.00

2θ° [74]. Cross-sectional TEM images were taken to observe the quality of the Cu/WNxCy and

WNxCy/Si interfaces. As shown in Figure 5-8, cross-sectional TEM images reveal that there is

no Cu transport through WNxCy before and after annealing under N2 at 500 °C for 30 min. Both

Cu/WNxCy and WNxCy/Si interfaces are clearly defined without any evidence of intermixing

between the layers after annealing. The XRD patterns and cross-sectional TEM images reveal

no failures of diffusion barrier in Cu/WNxCy/Si stacks. Hence, WNxCy is a promising Cu barrier

material.

5.8 Conclusions

The tungsten piperidylhydrazido complex Cl4(CH3CN)W(N-pip) (1) was used to deposit

WNxCy with NH3 to investigate the effect of this coreactant on the film properties for diffusion

barrier applications. The deposited films show higher N levels with lower C incorporation as

compared to films deposited without NH3. XRD results suggest that films deposited at a lower

deposition temperature (below 500 °C) were amorphous with crystallinity evolving at higher

deposition temperature. The XPS W 4f bonding state indicates that most of the W is present as a

mixture of WNx and WCx phases or a WNxCy single solid solution. XPS results for both the W

and O indicate WO3 is present at low deposition temperature (300 °C) in the amorphous state

(XRD results) and as deposition temperature increases, WNxCy becomes the dominant W phase

Page 75: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

75

rather than WO3. XPS spectra of the O 1s bonding state show low O incorporation at higher

temperature, which produces films with higher density. An examination of the XPS N 1s

bonding state indicates that N is present in the WNx phase. XPS spectra show films deposited at

400 °C have the highest N levels. The XPS C 1s bonding state results suggest that C is present

as WCx and amorphous C. The C 1s BE shifted from lower energy (283.1 eV) to higher energy

(284.5 eV) for films deposited at 700 °C, indicating that amorphous C coexists with WCx. XPS

observation of the O 1s bonding state indicates that O is present as WO3. XPS spectra also show

lower O incorporation at higher temperature, which produces films with higher density. The film

growth rate with NH3 addition varied in the range 0.6 to 4.2 Å /min over the entire temperature

range of study. Large film resistivity changes were observed and can result from various reasons

including grain boundary density, film microstructure, chemical bonding states, and film

thickness. Films deposited at 300 °C show the lowest film resistivity (290 μΩ-cm), while the

film resistivity was lower at low deposition temperatures as compared to films deposited without

NH3. WNxCy thin films of 20 nm thickness were tested for barrier performance. The results

show that WNxCy films are viable diffusion barriers to prevent Cu interdiffusion and intermixing

with Si after annealing under N2 at 500 °C for 30 min.

Page 76: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

76

A) B)

Figure 5-1. XRD spectra for films deposited on Si(100) with NH3: A) between 300 and 700 °C;

B) standard diffraction patterns for β-W2N and β-WC1-x.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

β -W2N(200)

β -W2N(220)

β -W2N(311)

β -WC1-x(200)

β -WC1-x(220)

β -WC1-x(311)

β -WC1-x(111)

β -W2N(111)

(b)

JCPDS 25-1257

JCPDS 20-1316

β -W2N(220)

β -WC1-x(220)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

300 °C

Si(400)Si(400) Kβ

Si(200)

350 °C

450 °C

500 °C

550 °C

600 °C

β -WNxCy(200)β -WNxCy(111)

400 °C

650 °C

700 °C

(a)

β -WNxCy(200)β -WNxCy(111)

Page 77: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

77

Figure 5-2. Surface morphology of films deposited on Si(100) substrate at various temperature:

A) 300 °C without NH3; B) 600 °C without NH3; C) 300 °C with NH3; D) 600 °C

with NH3.

A) B)

D) C)

Page 78: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

78

A) B)

C) D)

Figure 5-3. Variation in chemical composition of A) W, B) N, C) C, and D) O content in the

films with deposition temperature with and without added NH3. Data are measured

by XPS after 10 min Ar+ ion sputter.

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

With NH3

Without NH3

(a) W

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(b) N With NH3

Without NH3

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(c) C With NH3

Without NH3

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(d) O With NH3

Without NH3

Page 79: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

79

A) B)

C) D)

Figure 5-4. Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature in the presence of NH3. Data are from XPS after 10 min Ar+

ion sputter.

28303234363840

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(a) W 4f

390392394396398400402

Binding Energy (eV)N

(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(b) N 1s

276278280282284286288

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(c) C 1s

524526528530532534536

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

(d) O 1s

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

Page 80: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

80

Figure 5-5. Change in growth rate with deposition temperature for films deposited with and

without added NH3. Thickness was measured by cross-sectional SEM.

0

2000

4000

6000

8000

10000

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Film

Resis

tivit

y (

μΩ

-cm

)

With NH3

Without NH3

Figure 5-6. Change in film resistivity with deposition temperature with and without added NH3.

Data are measured by four-point probe.

-0.5

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8

1000/T (K-1

)

ln G

/m

in)

With NH3

Without NH3

700 600 500 400 300

Deposition Temperature (°C)

Page 81: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

81

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

Si(200)

As-grown

Cu(111)

500 °C

Cu(200)

No Cu3Si peaks

Cu(220)

Figure 5-7. The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si stacks

before and after annealing at 500 °C.

Page 82: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

82

Figure 5-8. TEM cross-sectional images of Cu/WNxCy/Si stacks: [A) and B)] before annealing

and [C) and D)] after annealing at 500 °C.

A) B)

C) D)

Si WNxCy Cu Si

WNxCy

Cu

Si

Cu

WNxCy

Si

WNxCy

Page 83: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

83

CHAPTER 6

DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNPh2) AS A SINGLE-SOURCE

PRECURSOR

6.1 Film Structure

Figure 6-1 displays XRD patterns for films grown in the temperature range 300 to 700 °C.

The XRD spectra indicate amorphous film deposition below 500 °C (Figure 6-1), while the films

grown above 500 °C yield four characteristic peaks positions that are consistent with β-WNxCy

(Figure 6-1D). The primary peak at 37.20 2θ° is consistent with the (111) orientation, while

additional peaks at 43.66, 63.24, and 75.38 2θ° are consistent with (200), (220), and (311)

orientation, respectively. As the deposition temperature increases from 500 to 700 °C, the

reflection associated with the (111) orientation sharpens, likely a result of increasing grain size.

Since the film grown at and above 500 °C produce a polycrystalline microstructure, rapid

diffusion of Cu along grain boundaries the underlying Si renders these films impractical for

barrier applications. This is not an issue since deposition above 400 °C is undesirable as many

low κ materials have weak thermal stability [27, 92]. Thus, this precursor is capable of

depositing amorphous films at deposition temperature ≤ 400 °C.

6.2 Lattice Parameter and Average Grain Size

Analysis of the diffraction patterns for the polycrystalline films was performed to estimate

the lattice parameter and average grain size. The standard lattice parameters for face-centered

cubic (fcc) β-W2N (4.126 Å ) and β-WC1-x (4.236 Å ) are shown in Figure 6-2A along with the

estimated lattice parameter of the deposited films as a function of temperature. The rock salt

structure for β-W2N and β-WC1-x consists of W located on the fcc positions, and N and C located

on the octahedral interstitial sites [93]. The 2θ position of the β-WNxCy(111) diffraction peaks

can vary due to the change in chemical composition in the films. If the dominant peak position

Page 84: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

84

is between 37.01 2θ° (β-WC1-x) and 37.77 (β-W2N), according to Bragg‟s law, the lattice

parameter will be between 4.126 and 4.236 Å , indicating that N, C, and vacancies are mixed on

the interstitial sublattice. This is the case for films deposited at and above 500 °C. The lattice

parameter increases from 4.15 to 4.20 Å between 500 and 650 °C, indicating that C composition

increases with growth temperature. The lattice parameter at 700 °C, however, decreases to 4.18

Å , suggesting that the incorporation of C into the interstitial sublattice results in expanding the

lattice in the film structure [18].

The most dominant β-WNxCy(111) diffraction peak was used to estimate the average grain

size using Sherrer‟s formula from the experimental FWHM of this reflection. As shown in

Figure 6-2B, the average grain size for the polycrystalline phase increases with temperature in

the range 500 to 700 °C, varying from 25 to 55 Å (Figure 6-2B). A polycrystalline phase with

grain size below 50 Å is generally categorized as nanocrystalline [2]. Increasing grain size with

deposition temperature is common and is often attributed to higher surface diffusivity of

absorbed species leading to formation of stable nuclei and subsequent growth, then decreased

because an increase in the C levels on the film surface inhibits surface diffusion, nucleation and

growth [18].

6.3 Chemical Composition

The presence of Cl in 2 is of interest due to the need for deposition of Cl-free thin films for

diffusion barrier applications. As a first test, no peaks from Cl were observed within the

detection limit of XPS (~ 1 at. %) for either Cl 2p3/2 or Cl 2s at 199 and 270 eV, respectively.

Figure 6-3 shows the elemental composition in the films deposited from 2 as a function of

temperature. The W level is highest for the films grown at 450 and 500 °C, varying from 54 to

56 at. %, while N, C, and O levels are fairly constant for T ≤ 500 °C. As deposition temperature

increases from 500 to 700 °C, the W level decreases to 28 at. %. As deposition temperature

Page 85: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

85

increases from 300 to 550 °C, the N level varies from 10 to 14 at %. The N level in films

deposited above 550 °C, however, shows a gradual decrease, accompanied by an increased C

level. The N level for films deposited at 400 °C has the highest value (14 at. %). The C level is

lowest for films deposited at 400 °C (14 at. %), while at lower deposition temperature (≤ 400 °C),

the C level varies from 30 to 24 at. %. As deposition temperature increases up 700 °C, the C

level increases to 62 at. %. The overall trend for C content is due to the faster decomposition of

hydrocarbon groups in the precursor and the solvent (PhCN) as the deposition temperature

increases, leading to C incorporation into the film. As the deposition temperature increases from

300 to 700 °C, the O level decreases gradually to 5 at. %. The low O incorporation indicates that

WNxCy films have dense microstructure at higher deposition temperature.

6.4 Chemical Bonding States

XPS was used to give information for the bonding states in films and the results are

summarized for each elements in Figure 6-4A-D. The evolution of XPS patterns in the BE of W

4f as the deposition temperature varies is shown in Figure 6-4A. For films deposited at 300 °C,

the major W 4f7/2 and W 4f5/2 peaks are at 31.5 and 33.7 eV, which are close to WNx and WCx

phases. These values for W 4f7/2 and W 4f5/2 peaks agree well with the range in reported values

of 32.7 – 33.6 eV and 33.3 – 35.8 eV in the WNx phase [76, 78-80, 90]. Also, these values for

the W 4f7/2 and W 4f5/2 peaks agree well with the reported ranges of 31.6 – 32.3 eV and 33.7 –

33.9 eV for the WCx phase [75, 76, 82, 90]. As deposition temperature increases from 300 to

700 °C, the major W 4f7/2 and W 4f5/2 peaks are observed at bonding energies of 31.5 – 31.6 and

33.5 – 33.8 eV, which agree well with WNx and WCx phases. The XPS results indicate that W is

primarily bonded to C and N. The evolution of XPS patterns in BE of the N 1s as the deposition

temperature varies is shown in Figure 6-4B. For films deposited at 300 °C, the major N 1s peak

is located at 397.4 eV, which is contained within the reported range of 396.2 – 398.2 eV in WNx

Page 86: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

86

phase [76, 78-80, 90]. As deposition temperature increases from 300 to 700 °C, the major N1s

peak shows a slight shift for higher BE at 397.3 – 397.5 eV, which agrees well with WNx phase.

All N in the film is bound in the WNx phase, not in the N at the grain boundaries. The intensity

of N is higher at lower deposition temperature (< 400 °C). A single N 1s peak indicates that N

has the same metal nitride bonding state over the entire temperature range, irrespective of the

other contents in the films. The evolution of XPS patterns in BE of C 1s as the deposition

temperature varies is shown in Figure 6-4C. For films deposited at 300 °C, the major C 1s peak

is at 283.1 eV, which is close to WCx phase. As deposition temperature increases to 600 °C, the

BE of C 1s peak is at 283.1 – 283.2 eV, which agrees well with WCx phase. Deconvolution of

the broad C 1s peak for films deposited at 700 °C using Gaussian-Lorentzian function with

background subtraction yields two separate peaks associated with W-C and C-C. The BE of the

C 1s peak located at 284.4 eV agrees well with C-C bonding outside of the β-WNxCy

nanocrystals, while the BE of C 1s peak located at 283.8 eV agrees well with W-C bonding in

the β-WNxCy. The former value for C 1s peak agrees well with the reported ranges of 284.2 –

285.2 eV in amorphous C phase, while the latter value for the C 1s peak agrees well with the

reported ranges of 279.7 – 283.8 eV in WCx phase [75, 76, 82-84, 90]. The presence of the

bulky phenyl ligands in 2 is of interest since thermal decomposition would lead to more C in the

films. For diffusion barrier applications, low electrical resistivity is significant and the WCx

phase is more conductive than WNx phase [13]. The evolution of XPS patterns in the BE of O 1s

as a function of deposition temperature is shown in Figure 6-4D. For films deposited at lower

deposition temperature (≤ 400 °C), the major O 1s peak is at 530.2 eV, which is within the

reported range of 528.2 – 531.6 eV associated with WO3 phase [33, 76, 78, 81, 90]. As

evidenced by Figure 6-4D, the peak intensity of O 1s decreased with increasing deposition

Page 87: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

87

temperature to 700 °C. IN the range of higher deposition temperature, film crystallization (>

500 °C) and C incorporation (W-C and C-C phases) are important factors to block grain

boundary regions in the films. The XPS results indicate that W is primarily bonded to N and C

for films deposited over the entire temperature range (300 – 700 °C).

6.5 Film Growth Rate

The growth rate was determined from the measured film thickness by cross-sectional SEM

images. Figures 6-5A and 6-5B shows images for films grown at the lowest (300 °C) and

highest (700 °C) growth temperature. Figures 6-5C and 6-5D display the SEM images for

surface morphology indicate that films deposited at 700 °C are polycrystalline with a rough

surface. As shown in the Arrhenius plot in Figure 6-6, the growth rate varied from 1.0 to 25.4

Å /min. The growth rate at 700 °C increased drastically suggesting a change in growth

mechanism at this temperature. This observation is consistent with the shift in binding energy in

XPS results, indicating amorphous C coexists W-C bonding states. The Arrhenius plot indicates

the presence of two growth regimes and their slopes consistent with mass transfer limited growth

at higher temperature and surface reaction limited at lower temperature. The apparent activation

energy calculated for the activated process is 0.49 eV in the surface reaction limited growth

regime.

6.6. Electrical resistivity

Film resistivity varied from 0.6 to 7.9 mΩ-cm (Figure 6-7). The lowest resistivity of 0.6

mΩ-cm is obtained for films deposited at the lowest temperature (300 °C). The value for films

deposited at 300 and 350 °C agrees well with the reported value of 0.3 to 0.4 mΩ-cm in WNxCy

phase. WNxCy phase shows a much lower film resistivity than WNx (~ 4.5 mΩ-cm) [13]. There

are several factors that influence the WNxCy resistivity, including the distribution of W-N and

Page 88: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

88

W-C bonding states, the presence of W-O bonding state, film microstructure, and vacancies in

sublattice. The interplay of these factors can lead to a complex variables of the film resistivity

with deposition temperature. The low film resistivity at low temperature is likely due to higher

W-C phase. The formation of WCx in WNxCy (0.3 – 0.4 mΩ-cm) leads much more conductive

films as compared with WNx (4.0 mΩ-cm ) [59].

6.7 Diffusion Barrier Testing

Cross-sectional TEM images show that there is no diffusion of Cu into WNxCy and Si

before and after annealing under N2 at 500 °C for 30 min (Figure 6-8). Both Cu/WNxCy and

WNxCy/Si interfaces are clearly observed without any intermixing between the layers after

annealing, which indicates WNxCy thin films block the Cu diffusion. The EDS depth profile

shows that the Cu Kα peak decreases sharply at the Cu/WNxCy interface (Figure 6-9). Although

it is clear that Si Kα and W Lα peaks are present in the films, it is impossible to separate two

overlapping peaks due to the limitation of EDS. The EDS depth profile, however, clearly shows

that no Cu diffusion is observed between Cu/WNxCy and WNxCy/Si interfaces after annealing.

Cross-sectional TEM images and EDS depth profiles reveal no onset of failure in the

Cu/WNxCy/Si stacks. XRD measurements show that there is no formation of Cu3Si that occurs

after failure for Si substrates either before or after annealing under N2 at 500 °C for 30 min

(Figure 6-10). The XRD patterns show no reflections attributable to Cu3Si. Before annealing

there is only one peak clearly observed, which is assigned to Cu(111), while diffraction patterns

after annealing evidence Cu(111), Cu(200), and Cu(220). Cu recrystallization upon annealing

causes an increase in the intensity of Cu texture due to grain growth in the Cu/WNxCy/Si stacks.

The enlargement of Cu grains results in the reduction of the density of Cu grain boundaries,

which contributes to lower film resistivity due to low electron scattering. It is noted that for Cu

interconnect technology, the Cu(111) texture is preferred since it shows greater resistance toward

Page 89: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

89

electromigration. In summary, the cross-sectional TEM images, EDS depth profiles, and XRD

measurements reveal no onset of failure of the diffusion barrier in the Cu/WNxCy/Si stacks.

6.8 Conclusions

Cl4(CH3CN)W(NNPh2) (2) was evaluated as a single-source precursor for CVD of WNxCy.

XRD patterns show that films deposited below 500 °C were amorphous, while polycrystalline

films were grown between 500 and 700 °C. The lattice parameter of the polycrystalline films

varied from 4.15 to 4.20 Å , while the average grain size increased from 25 to 55 Å over the

temperature range of growth. Examination of the XPS W 4f bonding state indicates that most of

the W is present as a mixture of WNx and WCx or a WNxCy single solid solution. XPS

measurements revealed that W was predominantly bonded to N and C, with C portion increasing

with growth temperature. This was attributed to in part to decomposition of the solvent. The

amount of W bonded to O, however, was limited. The XPS N 1s bonding state indicates that N

is present in tWNx, while the XPS C 1s bonding state indicates that C is present in WCx and as

amorphous C. A large variation in film resistivity was measured and is due to the interplay of

the combination of W-N and W-C bonding states, the presence of W-O bonding state, film

microstructure, and film thickness. The results show that WNxCy films are viable Cu barrier

materials to prevent diffusion of Cu into Si after annealing under N2 at 500 °C for 30 min.

Therefore, WNxCy is a viable Cu barrier material to prevent diffusion of Cu into Si for Cu

interconnect technology.

Page 90: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

90

A) B)

C) D)

Figure 6-1. XRD spectra for films deposited on Si(100) at various temperatures: A) 300 °C, B)

700 °C, C) between 300 and 700 °C, and D) standard powder diffraction pattern for

β-W2N and β-WC1-x.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

300 °C

(a)

Si(400) K β

Si(200)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

700 °C

(b)

Si(400) K β

β -WNxCy (111)

β -WNxCy (220)

β -WNxCy

Si(200)

β -WNxCy (200)

Si(400)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

300 °C

Si(400)

Si(400) Kβ

Si(200)

350 °C

450 °C

500 °C

550 °C

600 °C

β -WNxCy(200)β -WNxCy(111)

β -WNxCy(220)

400 °C

650 °C

β -WNxCy(311)

700 °C

(c)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

β -W2N(200)

β -W2N(220)

β -W2N(311)

β -WC1-x(200)

β -WC1-x(220)

β -WC1-x(311)

β -WC1-x(111)

β -W2N(111)

(d)

JCPDS 25-1257

JCPDS 20-1316

β -W2N(220)

β -WC1-x(220)

Page 91: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

91

A) B)

Figure 6-2. Change in A) lattice parameter and B) average grain size with deposition

temperature for polycrystalline films deposited from 2. The estimates are based on

position and shape of diffraction peaks.

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

C

W

N

O

Figure 6-3. Variation of W, N, C, and O content in the films deposited from 2. Data are from

XPS measurements after 10 min Ar+ ion sputter.

4.11

4.13

4.15

4.17

4.19

4.21

4.23

4.25

450 500 550 600 650 700 750

Temperature (°C)

Latt

ice P

aram

ete

r (

Å)

β -WC1-x: 4.236

β -W2N: 4.126

(a)

0

10

20

30

40

50

60

70

80

450 500 550 600 650 700 750

Temperature (°C)

Averag

e G

rain

Siz

e (

Å)

(b)

Page 92: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

92

A) B)

C) D)

Figure 6-4. Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter.

28303234363840

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(a) W 4f

390392394396398400402

Binding Energy (eV)N

(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(b) N 1s

276278280282284286288

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(c) C 1s

524526528530532534536

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

(d) O 1s

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

Page 93: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

93

Figure 6-5. SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C; C) surface

morphology of film grown at 300 °C; D) surface morphology of film grown at

700 °C.

A) B)

WNxCy WNxCy Si Si

C) D)

Page 94: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

94

Figure 6-6. Change in growth rate with deposition temperature for films deposited from 2.

Thickness measured by cross-sectional SEM.

0

2

4

6

8

10

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Film

Resis

tivit

y (

-cm

)

Figure 6-7. Change in film resistivity (four-point probe) with deposition temperature for films

deposited from 2.

-0.5

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8

1000/T (K-1

)

ln G

/m

in)

700 600 500 400 300

Deposition Temperature (°C)

Page 95: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

95

Figure 6-8. Cross-sectional TEM images of Cu/WNxCy/Si stacks: [A) and B)] before annealing

and [C) and D)] after annealing at 500 °C.

WNxCy

A) B)

Cu

C) D)

Si

WNxCy

Si

Cu

Cu WNxCy Si

Si

WNxCy

Page 96: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

96

0 50 100 150

Depth (nm)

In

ten

sit

y (

a.u

.) Si Kα

Cu Kα

W Lα

Figure 6-9. EDS depth profile of Cu/WNxCy/Si stacks annealed at 500 °C.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

Si(200)

As-grown

Cu(111)

500 °C

Cu(200)

No Cu3Si peaks

Cu(220)

Figure 6-10. The performance of diffusion barrier by XRD measurement for Cu/WNxCy/Si

stacks before and after annealing at 500 °C.

A)

B)

Cu WNxCy Si

Page 97: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

97

CHAPTER 7

DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNPh2): EFFECT OF NH3 ON FILM

PROPERTIES

7.1 Film Structure

The XRD spectra in Figure 7-1 are used to identify the crystalline phases and to measure

the lattice parameter and polycrystalline grain size. The peak positions in the X-ray diffraction

patterns are well matched with a polycrystal mixture of β-W2N and β-WC1-x phases or the solid

solution β-WNxCy. The XRD spectra show that amorphous films were deposited from 300 to

450 °C, while the polycrystalline materials deposited at temperature from 500 to 700 °C. These

four observed characteristic peaks with primary peaks at 37.62 and 43.18 2θ° are consistent with

(111) and (200) orientations, respectively. Two additional reflections at 62.74 and 75.72 2θ° are

attributed to the (220) and (311) orientations, respectively. Comparing the observed relative

peak intensities to those of the standard powder diffraction intensities [Figure 7-1 D)] indicate

that no preferred crystal orientation (texture) exists. As the deposition temperature was increased

to 700 °C, the peak intensity increased. Film deposition with NH3, however, resulted in decrease

of intensity in XRD peaks as compared to film deposition without NH3.

7.2 Chemical Composition

The measured photoelectron intensities of XPS are used to identify unknown elements and

measure the atomic concentration. The XPS spectra in Figure 7-2 indicate that W, N, C and O

were present in the films. The AES spectra shown in Figure 7-3 were taken at the same time as

the XPS spectra. In AES, the ejected electrons are not the primary ionized electrons but the

secondary ionized electrons, which are produced by the decay of ionized atoms from exited

states to lower energy states [94]. Despite the presence of Cl in the precursor, no peaks were

observed for either Cl 2p3/2 or Cl 2s at 199 and 270 eV, respectively, ruling out Cl contamination

in the films within the detection limit of XPS (~ 1 at. %). The absence of Cl signals is consistent

Page 98: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

98

with prior computational results on the related aryl- and alkylimido complex Cl4(CH3CN)W(NR),

(R = Ph, iPr, C3H5) for which a mechanistic pathway was found for reaction of the H2 carrier gas

with W-Cl bonds to produce volatile HCl in the gas phase [87]. Figure 7-3A shows that at lower

deposition temperatures (≤ 400 °C), the measured W levels in the films in the presence of NH3

are higher than for films deposited without NH3. Between 300 and 600 °C, the W levels are

between 47 and 51 at. %.

The N levels, shown in Figure 7-3B, increased over the temperature range 400 to 700 °C

after addition of NH3. The N levels have their highest value (19 at. %) for films deposited at

450 °C as compared with those of films grown without NH3 (14 at. %). As the deposition

temperature increases to 700 °C, the N levels gradually drop. For films deposited at 700 °C, N

levels without NH3 are 4 at. %, while for films deposited without NH3 the N level is 11 at. %. It

is postulated that the higher deposition temperature increases the rate of N desorption as N2 gas,

as shown in Figure 7-4B. C competes with N for bonding with W, and as shown in Figure 7-3C,

the C levels in films deposited with NH3 than are lower than those from the single-source only

deposition from 300 to 400 °C. The decrease in the C levels is due to increased competition

from N when NH3 is present. For films deposited at 400 °C, C levels without NH3 show 24 at. %,

while films deposited without NH3 shows 15 at. %. Ternary phase metal carbonitride barrier

materials show that the C levels lower the resistivity because W-C phase has lower resistivity

than W-N phase.

The Figure 7-3D shows that deposition with NH3 has lower O levels than deposition

without NH3 between 450 and 700 °C. As the deposition temperature increased, the O levels in

the presence and absence of NH3 decreased, with the O levels reaching at 5 at. % in films

deposited at 700 °C. The low O incorporation is consistent with a dense film microstructure [89].

Page 99: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

99

Crystallization and thus denser films at higher temperature results in reduction of O adsorption

and reaction from air exposure post-growth.

7.3 Chemical Bonding States

The binding energies (BE) from XPS measurement are used to identify the chemical

bonding states of the elements in the films. This is accompanied by measuring the kinetic energy

of emitted elements and relating it to the binding energy according to:

bEhvE

where E is kinetic energy of the ionized electron, hv is incident radiation, and Eb is the binding

energy of the electron [94]. This method was used to investigate the chemical bonding states for

four elements in the films (W, N, C, and O). The photoelectron line of W 4f is a doublet due to

two spin-orbit states, 4f7/2 and 4f5/2, while the photoelectron lines of N 1s, C 1s, and O 1s are

singlets. The evolution of XPS patterns for W 4f BE with deposition temperature for films

deposited in the presence of NH3 is summarized in Figure 7-4A. The major W 4f7/2 and W 4f5/2

peaks are at 31.7 and 33.7 eV, which are close to the values for WCx and WNx, respectively,

over the entire deposition temperature range of this study (300 – 700 °C). The values for the W

4f7/2 peaks agree well with the reported ranges of 32.7 to 33.6 eV for WNx and 31.6 to 32.3 eV

for WCx. The values for W 4f5/2 peaks agree well with the reported ranges of 33.3 to 35.8 eV for

WNx and 33.7 to 33.9 eV for WCx [76, 78, 80, 90]. The evolution of XPS patterns shown in

Figure 7-4A indicates W bonding state is dominant in the physical mixture of β-WN2 and β–

WC1-x or β-WNxCy solid solution.

The evolution of XPS patterns for N 1s BE with deposition temperature for films deposited

in the presence of NH3 is summarized in Figure 7-4B. The major N 1s peak is at 397.3, which is

close to the values for WNx. The peak position of this BE remained constant over the deposition

Page 100: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

100

temperature range of this study (300 – 700 °C) and the BE associated with the N at the grain

boundary at 400.0 eV is absent. The value for N 1s peak agrees well with the reported range of

396.2 to 398.2 eV for WNx [76, 78, 80, 90]. The XPS pattern shown in Figure 7-4B indicates

that the N in the film is bound to W in the WNx. Only a single N 1s peak is located at near 397.3

eV without a second N 1s peak near 400.0 eV.

The evolution of XPS patterns for the C 1s BE with deposition temperature for films

deposited in the presence of NH3 is summarized in Figure 7-4C. The major C 1s peak observed

for T ≤ 600 °C is at 283.3 eV, which is close to the value for WCx. This value for the C 1s peak

agrees well with the reported range of 279.7 to 283.8 eV for WCx [75, 76, 83, 84, 90]. For films

deposited above 600 °C, the bonding state of C 1s is shifted from lower to higher BE. The

higher BE value of the C 1s peak is located at 284.7 eV, which is close to the value for

amorphous C of 284.2 to 285.2 eV for WCx [75, 76, 83, 84, 90]. This peak shift indicates that

WCx in the WNxCy nanocrystals coexists with amorphous C.

The evolution of XPS patterns for O 1s BE with deposition temperature for films deposited

in the presence of NH3 is summarized in Figure 7-4D. The major O 1s peak is at 540.4 eV,

which is close to the value for WO3. This value remained extra over the entire temperature range

of this study (300 – 700 °C). The value for O 1s peaks agree well with the reported range of

528.2 to 531.6 eV for WO3 [33, 76, 78, 90]. As deposition temperature increases to 700 °C, the

peak intensity of O 1s is decreases due to film crystallization and C incorporation.

7.4 Surface Morphology

The root-mean-square (rms) surface roughness of the film deposited at 300 °C without

NH3 was determined by AFM to be 5.0 nm, while that of films deposited at 700 °C with NH3

was 87.4 nm (Figures 7-5A and 7-5B). The surface roughness shown in Figures 7-5C and 7-5D

shows the value of rms roughness was 1.1 nm at 300 °C and 5.7 nm at 700 °C, indicating the

Page 101: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

101

addition of NH3 results in films with smoother surfaces. The increase in surface roughness is

accompanied by increased crystallinity in film microstructure as the deposition temperature

increases to 700 °C. The decrease in roughness is due to an amorphous microstructure and more

facile migration of absorbed species on the surface [86].

7.5. Film Growth Rate

Cross-sectional SEM images as exemplified in Figure 7-6 were used to measure the film

thickness. The growth rate in the presence of NH3 was low, in the range 7.3 to 14.3 Å /min as

compared to the range 1.0 to 25.4 Å /min for films deposited in the absence of NH3. The

Arrhenius plot in the presence of NH3 reveals one growth regime while the plot in the absence of

NH3 reveals a transition from a kinetically controlled growth regime to a mass transfer controlled

one. These differences in growth rate and transition in growth regime are consistent with a

change in deposition mechanism due to the addition of NH3. The difference in growth rate

indicates a shift in deposition mechanism due to the addition of NH3. Transamination with NH3

has been postulated to remove the hydrocarbon group in 1, changing the rate-determining step of

this study. For films deposited at 700 °C using a single source, the growth rate increased

drastically suggesting a change in the growth mechanism with increasing temperatures. This

observation was also confirmed by the formation of WCx, indicating most of C exists with C-C

bonding states with small portion of W-C bonding states.

7.6 Electrical Resistivity

The film resistivity was determined from the measured sheet resistance (four-point probe)

and films thickness (cross-sectional SEM). The effect of growth temperature on the film

resistivity for films deposited with NH3 is shown in Figure 7-8. Films deposited at 400 °C show

the lowest film resistivity (1.9 mΩ-cm) and the values of film resistivity vary with the interplay

of grain size, film microstructure, film density, metal to non-metal ratio, and film thickness. At

Page 102: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

102

lower deposition temperature, increase in N levels with W-N bonding states result in decrease in

film resistivity. However, after addition of NH3, a decrease in C levels with W-C bonding states

causes an increase in the film resistivity. Also, over 450 °C, the film microstructure changes

from amorphous to polycrystalline, which causes an increase in film resistivity. W-C bonding is

an important factor in decreasing film resistivity as the both sensitivity of WCx is considerably

lower than WNx. Hence, the proper combination of W-N and W-C bonding states is significant

in formation of ternary-based metal nitrides for diffusion barrier applications.

7.7 Diffusion Barrier Testing

Diffusion barrier testing was performed to evaluate the performance for Cu interconnects

on Si. Cross-sectional TEM images and the EDS depth profile were used to observe Cu/WNxCy

and WNxCy/Si interfaces after annealing. The TEM images shown in Figure 7-9A reveal that

there is no Cu diffusion through WNxCy after annealing under N2 at 500 °C for 30 min. Both

Cu/WNxCy and WNxCy/Si interfaces are clearly observed without any evidence of Cu transport

and intermixing between the layers. The EDS depth profile shown in Figure 7-9B indicates that

the Cu Kα signal decreases sharply at the Cu/WNxCy interface, demonstrating that there is no Cu

diffusion observed either before or after annealing under N2 at 500 °C for 30 min. Even if a

small trace of Cu transported to the Cu/WNxCy interface, the Cu Kα signal was not detected

when the scan moved into the Si substrate. Cross-sectional TEM images and the EDS depth

profiles reveal no onset of failure in Cu/WNxCy/Si stacks.

XRD was also employed to identify the phase of Cu-related textures before and after

annealing Cu/WNxCy/Si stacks (Figure 7-10). Only the Cu peak at 43.46 2θ° for the (111)

orientation was observed for the as-deposited sample. After annealing at 500 °C, three Cu peaks

at 43.46, 50.96, and 74.60 2θ° were observed, for the (111), (200), and (222) orientations,

respectively. As the annealing temperature increases to 600 °C, the gradual increase in the

Page 103: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

103

intensity of Cu peaks indicates grain growth of Cu, evidenced by the decrease in value of full

width half maximum (FWHM). However, after annealing at 700 °C, the appearance of new Cu-

related peaks at 37.14 and 57.24 2θ° indicates the formation of Cu3Si. The decreasing intensity

of three Cu peaks and the decreasing thickness of Cu films were due to the diffusion of Cu in Si.

Four-point probe was employed to measure the change in sheet resistance before and after

annealing Cu/WNxCy/Si stacks (Figure 7-11). As the annealing temperature increases to 600 °C,

the decrease in the sheet resistance indicates enlargement of Cu grains. The increase in grain

size reduces the Cu grain boundaries, which contributes to the decrease in resistivity due to the

lower electron scattering. However, after annealing at 700 °C, the rapid increase in the sheet

resistance is consistent with the formation of Cu3Si. The cross-sectional TEM images shown in

Figure 7-12 indicate Cu/WNxCy and WNxCy/Si interfaces were clearly observed without Cu

transport and intermixing in the layers before annealing, whereas the Cu3Si crystallite exists in

WNxCy/Si interface after annealing at 700 °C. The SEM images shown in Figure 7-13B indicate

a deterioration of Cu surface morphology after annealing at 700 °C. The color change in the

surface from reddish yellow to dark grey indicates a decrease of thickness in Cu layer, the

increase in surface roughness of Cu, and the formation of Cu3Si, which are all indications of the

transport and intermixing of Cu in Si.

7.8 Conclusions

The tungsten diphenylhydrazido complex Cl4(CH3CN)W(NNPh2) (2) was used to deposit

WNxCy with NH3 coreactant to investigate the effect of this coreactant and the onset of failure

process on the film properties for diffusion barrier applications. The N levels in the films in the

presence of NH3 were higher than those in the absence of NH3. The result shown in the XRD

patterns suggests that film microstructure was amorphous for films deposited at a lower

deposition temperature (below 450 °C). The XPS W 4f bonding state indicates that most of the

Page 104: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

104

W is present in the carbide and nitride mixture or a WNxCy single solid solution. The dominant

W bonding state is WNxCy rather than WO3 from 300 to 700 °C. An investigation of the XPS N

1s bonding state indicates that N is present as the nitride. XPS spectra show the highest N levels

for films deposited at 450 °C. An examination of the XPS C 1s peak indicates that C is present

as the carbide. However, for films deposited over 600 °C, the BE in C 1s shifted from the lower

energy to higher energy, indicating that the W-C phase coexists with a C-C phase. An

observation of XPS O 1s indicates that O is present as WO3 or O in the WNxCy. XPS spectra

show lower O incorporation at higher temperature, which produces films with higher density.

AFM micrographs indicate that addition of NH3 causes deposition of films with smoother

surface as compared to those from single-source deposition. The growth rate with added NH3

varied in the range 7.3 to 14.3 Å /min over the entire deposition temperature of study. A large

variation of film resistivity is due to the interplay of various reasons such as grain size, film

microstructure, film density, metal to non-metal ratio, and film thickness. Films deposited in the

absence of NH3 have lower film resistivity than that of films deposited in the presence of NH3.

Optimal combination of WNx and WCx phase is important in formation of ternary phase

materials for diffusion barrier applications. The diffusion barrier test results support the

conclusion that WNxCy deposited from 2 is a viable Cu diffusion barrier material for Cu

interconnect technology.

Page 105: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

105

A) B)

C) D)

Figure 7-1. XRD spectra for films deposited on Si(100) with NH3: A) 300 °C, B) 700 °C, C)

change in XRD spectra, and D) standard diffraction plots for β-W2N and β-WC1-x.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

300 °C

(a)

Si(400) K β

Si(200)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

700 °C

Si(200)

(b)

β -WNxCy(111)

β -WNxCy(220)

β -WNxCy(311)

β -WNxCy(200)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

300 °C

Si(400)

Si(400) KβSi(200)

350 °C

450 °C

500 °C

550 °C

600 °C

β -WNxCy(200)β -WNxCy(111)β -WNxCy(220)

400 °C

650 °C

β -WNxCy(311)

700 °C

(c)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

β -W2N(200)

β -W2N(220)

β -W2N(311)

β -WC1-x(200)

β -WC1-x(220)

β -WC1-x(311)

β -WC1-x(111)

β -W2N(111)

(d)

JCPDS 25-1257

JCPDS 20-1316

β -W2N(220)

β -WC1-x(220)

Page 106: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

106

-10001002003004005006007008009001000

Binding Energy (eV)

In

ten

sit

y (

a.u

.)

300 °C

350 °C

450 °C

500 °C

550 °C

600 °C

400 °C

650 °C

700 °C

No Cl peaks

W 4fO 1s N 1s C 1sO KVV

C KVV

N KVVW 4dW 4p

Figure 7-2. XPS spectra for films deposited on Si(100) with NH3. Note that Cl peaks are

evident as a function of growth temperature.

Page 107: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

107

A) B)

C) D)

Figure 7-3. Comparison of W, N, C, and O content in the films deposited in the presence and

absence of NH3. Data are measured by XPS after 10 min Ar+ ions sputter.

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(a) W With NH3

Without NH3

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(b) N With NH3

Without NH3

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(c) C With NH3

Without NH3

0

10

20

30

40

50

60

70

80

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

(d) O With NH3

Without NH3

Page 108: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

108

A) B)

C) D)

Figure 7-4. Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ions sputter.

28303234363840

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(a) W 4f

390392394396398400402

Binding Energy (eV)N

(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(b) N 1s

276278280282284286288

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(c) C 1s

524526528530532534536

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

(d) O 1s

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

Page 109: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

109

Figure 7-5. Surface morphology of films grown on Si(100) substrate: A) film grown at 300 °C

without NH3; B) film grown at 700 °C without NH3; C) film grown at 300 °C with

NH3; D) film grown at 700 °C with NH3.

A) B)

C) D)

Page 110: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

110

Figure 7-6. SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C.

Figure 7-7. Change in growth rate with deposition temperature for the films deposited in the

presence and absence of NH3. Thickness was measured by cross-sectional SEM.

-0.5

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8

1000/T (K-1

)

ln G

/m

in)

700 600 500 400 300

Deposition Temperature (°C)

With NH3

Without NH3

A) B)

WNxCy Si Si WNxCy

Page 111: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

111

0

2

4

6

8

10

12

14

16

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Film

Resis

tivit

y (

-cm

)

With NH3

Without NH3

Figure 7-8. Film resistivity as a function of deposition temperature for the films deposited in the

presence and absence of NH3.

A) B)

0 50 100

Depth (nm)

In

ten

sit

y (

a.u

.) Si Kα

Cu Kα

W Lα

Figure 7-9. A) TEM image and B) EDS depth profile of a Cu/WNxCy/Si stack annealed at

500 °C for 30 min.

Si

WNxCy

Cu

Page 112: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

112

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K βSi(200)

As-grown

Cu(111)

500 °C

Cu(200)

700 °C

600 °C

Cu3Si Cu3Si W5Si3 Cu(220)

Figure 7-10. Change in XRD patterns with annealing temperature for Cu/WNxCy/Si stacks.

1.0E+02

1.0E+03

1.0E+04

1.0E+05

1.0E+06

0 100 200 300 400 500 600 700 800

Annealing Temperature (°C)

Sh

eet

Resis

tan

ce (

log

/sq

uare)

Figure 7-11. Change in sheet resistance with annealing temperature for Cu/WNxCy/Si stacks.

Data are measured by four-point probe.

Page 113: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

113

Figure 7-12. Cross-sectional TEM images of Cu/WNxCy/Si stacks: A) as-grown and B) after

annealing at 700 °C.

Figure 7-13. Cross-sectional SEM images of Cu/WNxCy/Si stacks: A) as-grown and B) after

annealing at 700 °C.

A) B)

WNxCy

Cu

Si Cu

Cu3Si

Si WNxCy

SiO2

A) B)

Page 114: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

114

CHAPTER 8

DEPOSITION OF WNxCy FROM Cl4(CH3CN)W(NNMe2): EFFECT OF NH3 ON FILM

PROPERTIES

8.1 Film Structure

The progression of XRD patterns in Figure 8-1C are used to identify the crystalline phase

for films deposited in the presence of NH3 in H2 carrier with increasing deposition temperature.

The XRD pattern of the film deposited below 500 °C indicates that it is X-ray amorphous, while

the films deposited at higher deposition temperature (≥ 500 °C) are polycrystalline. The XRD

patterns shown in Figure 8-1C have been compressed to include the results from all nine growth

runs of this study, and thus the resolution is decreased in the figure. An analysis of original data,

however, reveals four reflections at and over 500 °C, which were calibrated to the Si(400)

diffraction peak. The primary peaks at 37.50 and 42.92 2θ° show relatively high intensity, as

compared with the reflections at 61.58 and 75.40 2θ°. All four peaks are between the standard

diffractions of β-W2N and β-WC1-x. Both standards exhibited a face-centered cubic (fcc)

structure with similar values of lattice parameter (β-W2N: 4.124 Å and β-WC1-x: 4.236 Å). XRD

results suggest the existence of a two-phase mixture (β-W2N or β-WC1-x phases) or the presence

of their solid solution (WNxCy). The crystallinity of films deposited in the absence of NH3

increases with deposition temperature [18]. However, the films deposited in the presence of NH3

show a different trend, suggesting that NH3 coreactant in H2 carrier gas alters the growth

mechanism of CVD [95]. A transamination reaction with NH3 has been postulated to remove the

allyl substitute on the imido group in the precursor, changing the rate-determining step [85].

8.2 Chemical Composition

The measured photoelectron intensities of XPS were used to identify elements present in

the films and measure their atomic concentration. XPS spectra in Figure 8-2 indicate that W, N,

Page 115: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

115

C, and O were identified in the films. No Cl contamination in the films was observed within the

detection limit of XPS (~ 1 at. %). Figure 8-3 shows the variation in the chemical composition

of W, N, C, and O contents in the films with deposition temperature. The W level is constant (50

at. %) for runs between 300 and 600 °C. Over 600 °C, the W level drops gradually because

amorphous C starts to coexist with W-C. As the deposition temperature increased from 300 to

450 °C, the N level increased from 24 to 27 at %. N levels above 450 °C, however, start to

decrease, as a consequence of increased C concentration in this range. When the deposition

temperature reaches 700 °C, the N level has declined to 13 at. % due to the steep rise in C levels

at high deposition temperatures. It has been suggested that the higher deposition temperature

increases the rate of N desorption as N2 gas [29, 33, 38, 72]. Typical refractory metal nitride

diffusion barriers show the decreasing tendency of N level with increasing deposition

temperature because higher thermal energy in the lattice structure of the film comes from a

higher temperature. From 300 to 450 °C, the C level is below 10 at. %, with the lowest level of 7

at. % for film growth at 300 °C. As deposition temperature increase up to 550 °C, the C level

increases gradually, while between 600 and 700 °C, the C level increases drastically from 19 to

46 at. %. The overall trend for C content is consistent with the faster decomposition of C-H

groups in both the precursor and the solvent as the growth temperature increases, leading to C

incorporation into the film. This is well matched with the pyrolysis of PhCN around 600 °C.

Films deposited at 300 C° show 30 at. % of O, which decreased drastically to 10 at. % at 500 °C.

As the deposition temperature increased from 500 to 700 °C, the O level decreased gradually to 4

at. %. From XRD spectra in Figure 8-1C, the polycrystalline microstructure becomes evident for

depositions performed at 500 °C. As the film starts to crystallize, the film microstructure gets

Page 116: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

116

denser by polycrystal grain growth, which prevent interdiffusion of O into the lattice of the film

after film growth [73].

8.3 Chemical Bonding States

The binding energy (BE) of XPS are used to identify the chemical bonding states of the

elements present from any variation in the determined BE from measurement of kinetic energy

(KE) emitted from the elements:

bEhvE

where E is kinetic energy of the ionized electron, hv is incident radiation, and Eb is the

binding energy of the electron [94]. They were used to investigate the chemical bonding states

for four atoms in the films. The photoelectron line of W 4f is a doublet due to two spin-orbit

states, 4f7/2 and 4f5/2, while the photoelectron lines of N 1s, C 1s, and O 1s are singlets.

Figure 8-4A displays the evolution of XPS patterns in BE of W 4f as deposition

temperature increases. The major W 4f7/2 and W 4f5/2 peaks are at 31.4 and 33.8 eV, which are

close to WNx and WCx in the temperature range of this study (300 - 700 °C). These values for

W 4f7/2 and W 4f5/2 peaks agree well with the reported range of 32.7 to 33.6 eV and 33.3 to 35.8

eV for WNx [76, 78-80, 90] while these values for W 4f7/2 and W 4f5/2 peaks agree well with the

reported range of 31.6 to 32.3 eV and 33.7 to 33.9 eV for WCx [75, 76, 82, 90], respectively.

The major W 4f7/2 and W 4f5/2 peaks correspond to WNx and WCx. These results indicate that a

chemical bonding state in W is the mixture of β-WN2 and β-WC1-x or one single solution of β-

WNxCy.

XPS patterns for N 1s BE are shown in Figure 8-4B over the range of deposition

temperature for films deposited with NH3. This value for the N 1s peak agrees well with the

reported range of 396.2 to 398.2 eV for WNx [76, 78-80, 90]. The major N1s peak is at 397.3 to

Page 117: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

117

397.5 eV, which agrees well with WNx in the temperature range of this study (300 – 700 °C).

All N in the films is bound in the WNx. A single N 1s peak shows the metal nitride bonding state,

regardless of the other contents in the films. The results shown in Figure 8-4B suggest that N at

the grain boundary can be ruled out due to a single N 1s peak without a second peak near 399 eV.

Films deposited at 450 °C have the highest intensity of N, indicating the highest N levels in the

films, as shown in Figure 8-3.

The evolution of XPS patterns for the C 1s BE with deposition temperature for films in the

presence of NH3 is summarized in Figure 8-4C. Up to 600 °C, the BE of the C 1s peak located at

283.0 – 283.3 eV agrees well with WCx. Deconvolution of the broad C 1s peak for films

deposited from 650 to 700 °C using Gaussian-Lorentzian function with background subtraction

yields two separate peaks (W-C and amorphous C). The BE of C 1s peak located at 284.4 eV

agrees well with an amorphous C phase present outside of the β-WNxCy nanocrystals, while the

BE of C 1s peak located at 283.7 eV agrees well with a W-C phase in the β-WNxCy. The former

value for C 1s peak agrees well with the reported range of 284.2 to 285.2 eV for amorphous C,

while the latter value for C 1s peak agrees well with the reported range of 279.7 to 283.8 eV for

WCx [75, 76, 82-84, 90].

O levels were also probed by XPS in the temperature range of this study (300 - 700 °C).

The major O 1s peak is at 530.2 – 530.3 eV, which is close to WO3. This value for the O 1s peak

agrees well with the reported range of 528.2 to 531.6 eV in WO3 [33, 76, 78, 81, 90]. As

evidenced by Figure 8-4D, the peak intensity of O 1s decreased with deposition temperature

increases to 700 °C. O levels are lower in films grown at high temperature due to great extent of

film crystallization and C incorporation.

Page 118: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

118

8.4. Surface Morphology

The root-mean-square (rms) roughness of the film surface was determined by AFM to be 1.23

nm for films deposited at 300 °C in the presence of NH3, with an increase up to 3.47 nm for

deposition at 700 °C (Figures 8-5A and 8-5B). As the deposition temperature increases up to

700 °C, the increase in surface roughness is accompanied by the increase in crystallinity, while

the decrease in surface roughness is consistent with an amorphous microstructure (Figure 8-1).

The AFM micrographs indicate that films with smoother surface are due to deposition at lower

temperature and addition of NH3.

8.5 Film Growth Rate

The growth rate is in the range 1.6 to 32.0 Å /min, as determined by cross-sectional SEM

(Figure 8-6). For films deposited at 700 °C, the growth rate increased drastically suggesting a

change in the growth mechanism at these temperatures. Figure 8-7 is consistent with the

presence of two growth regimes below 700 °C. The region with the shallow slope is a mass

transfer limited growth regime between 400 °C and 650 °C. The region with the steep slope is a

kinetically controlled growth regime between 300 °C and 400 °C. The apparent activation

energy calculated for the activated process is 0.31 eV.

8.6 Electrical Resistivity

The variation of film resistivity with deposition temperature is shown in Figure 8-8. The

lowest resistivity is 3.7 mΩ-cm at 300 °C and the highest film resistivity is 19.4 mΩ-cm for films

deposited at 700 °C. The values of film resistivity fluctuate with the interplay of polycrystal

grain growth, C content, O content, and film thickness in the temperature range of this study.

The high N level in those films is consistent with increased film resistivity in the β-WNxCy

polycrystal structures, due to the higher resistivity for β-W2N relative to β-WC1-x. As shown in

Page 119: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

119

Figure 8-4, an increase in the amorphous C level as the deposition temperature rises from 650 to

700 °C results in an increase in electron scattering, which causes the film resistivity to increase.

8.7 Conclusions

The tungsten dimethylhydrazido complex Cl4(CH3CN)W(NNMe2) (3) was used to deposit

WNxCy with NH3 to investigate the effect of NH3 on the film properties for diffusion barrier

applications. The deposited films show higher N levels with lower C incorporation as compared

to films deposited without NH3. XRD results suggest that films deposited below 500 °C were X-

ray amorphous with crystallinity evolving at higher deposition temperature. The XPS W 4f

bonding state indicates that most of the W is present as a mixture of WNx and WCx phases or a

WNxCy single solid solution. XPS results for the W indicates WNxCy is the dominant W phase

in the temperature range of this study. XPS spectra of the O 1s bonding state show low O

incorporation at higher temperature, which produces films with higher density. An examination

of the XPS N 1s bonding state indicates that N is present in the WNx phase. XPS spectra show

films deposited at 450 °C have the highest N levels. The XPS C 1s bonding state results suggest

that C is present as WCx and amorphous C. The C 1s BE is shifted from lower energy (283.1

eV) to higher energy (284.5 eV) for films deposited at 700 °C, indicating that amorphous C

coexists with WCx. XPS observation of the O 1s bonding state indicates that O is present as

WO3. XPS spectra also show lower O incorporation at higher temperature, which produces films

with higher density. The film growth rate with NH3 addition varied in the range 1.6 to 32 Å /min

in the temperature range of 300 to 700 °C. The values of film resistivity fluctuates with the

interplay of polycrystal grain growth, C content, O content, and film thickness in the temperature

range of this study. The high N level in those films is consistent with increased film resistivity in

the β-WNxCy polycrystal structures, due to the higher resistivity for β-W2N relative to β-WC1-x.

Film resistivity varied in the range 3.7 mΩ-cm (300 °C) to 19.4 mΩ-cm (700 °C).

Page 120: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

120

A) B)

C) D)

Figure 8-1. XRD spectra for films deposited on Si(100) with NH3: A) 300 °C; B) 400 °C; C)

change in XRD spectra; D) standard powder diffraction pattern for β-W2N and β-

WC1-x.

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

Si(200)

300 °C

(a)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

Si(400)

Si(400) K β

700 °C

β -WNxCy(111)

β -WNxCy(220)

β -WNxCy(311)

Si(200)

β -WNxCy(200)

(b)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

300 °C

Si(400)

Si(400) KβSi(200)

350 °C

450 °C

500 °C

550 °C

600 °C

β -WNxCy(200)β -WNxCy(111) β -WNxCy(220)

400 °C

650 °C

β -WNxCy(311)

700 °C

(c)

30 35 40 45 50 55 60 65 70 75 80

2θ Degrees

In

ten

sit

y (

a.u

.)

β -W2N(200)

β -W2N(220)

β -W2N(311)

β -WC1-x(200)

β -WC1-x(220)

β -WC1-x(311)

β -WC1-x(111)

β -W2N(111)

(d)

JCPDS 25-1257

JCPDS 20-1316

β -W2N(220)

β -WC1-x(220)

Page 121: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

121

-10001002003004005006007008009001000

Binding Energy (eV)

In

ten

sit

y (

a.u

.)

W 4p

300 °C

350 °C

450 °C

500 °C

550 °C

600 °C

400 °C

650 °C

700 °C

No Cl peaks

W 4fO 1s N 1s C 1sO KVV

C KVV

N KVV

W 4d

Figure 8-2. XPS spectra for films deposited on Si(100) with NH3. No Cl peaks detected.

0

10

20

30

40

50

60

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Con

cen

trati

on

(A

tom

ic %

)

C

W

O

N

Figure 8-3. Variation in the chemical composition of W, N, C, and O contents in the films with

deposition temperature. Data are measured by XPS after 10 min Ar+ ion sputter.

Page 122: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

122

A) B)

C) D)

Figure 8-4. Change of binding energies in A) W 4f, B) N 1s, C) C 1s, and D) O 1s with

deposition temperature. Data are from XPS after 10 min Ar+ ion sputter.

28303234363840

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(a) W 4f

390392394396398400402

Binding Energy (eV)N

(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(b) N 1s

276278280282284286288

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

(c) C 1s

524526528530532534536

Binding Energy (eV)

N(E) (

a.u

.)

450 °C

(d) O 1s

500 °C

550 °C

600 °C

650 °C

400 °C

350 °C

300 °C

700 °C

Page 123: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

123

Figure 8-5. Surface morphology of films grown on Si(100) substrate: A) film grown at 300 °C

with NH3; B) film grown at 700 °C with NH3.

Figure 8-6. SEM images of films grown on Si(100) substrate: A) cross-sectional view of film

grown at 300 °C; B) cross-sectional view of film grown at 700 °C.

A) B)

A) B)

WNxCy WNxCy Si Si

Page 124: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

124

Figure 8-7. Change in growth rate with deposition temperature for the films deposited from 3.

Thickness was measured by cross-sectional SEM.

0

2

4

6

8

10

12

14

16

18

20

250 300 350 400 450 500 550 600 650 700 750

Temperature (°C)

Film

Resis

tivit

y (

-cm

)

Figure 8-8. Change in film resistivity (four-point probe) with deposition temperature for the

films deposited from 3.

-0.5

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8

1000/T (K-1

)

ln G

/m

in)

700 600 500 400 300

Deposition Temperature (°C)

Page 125: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

125

CHAPTER 9

REACTOR MODELING USING CFD SOFTWARE

9.1 Description of the Raman-Assisted CVD reactor

To better understand the decomposition mechanism of the tungsten dimethylhydrazido

complex Cl4(CH3CN)W(NNM2) (3), a set of preliminary experiment was performed in the probe

CVD reactor shown in Fig. 9-1. This unique system is interfaced with an in-situ Raman

spectrometer (Ramanor U-1000, Jobin Yvon), which includes double additive monochromator

and uses the 532.08 nm line of Nd:YAG solid-state laser as the light source. As described in

detail elsewhere [96, 97], this CVD reactor is an up-flow, impinging-jet, cold-wall reactor that

was custom-built to quantitative study of the gas phase decomposition kinetics. The inlet to the

reactor consists of three concentric tubes – center, annulus and sweep flows. Each inlet line is

packed with 3 mm glass beads to provide parallel flow inlet boundary condition.

Complex 3, which was tested as a metal-organic precursor for tungsten-based diffusion

barrier material, is introduced through a center line and N2 carrier gas was input into the outer

tow lines to prevent wall deposition. Based on the previous study [96] that developed and

validated a steady-state, two-dimensional mass transport model using results from a CH4 tracer

experiment, conditions are selected such that recirculation flow was not present in the reactor.

In a preliminary experiment, aerosol-assisted CVD (AACVD) for complex 3 was adopted

because this technique has less strict volatility limitation in selecting precursors. The solid

precursor 3 was dissolved in benzonitrile (PhCN) to the concentration 7.4 mg/mL (0.0174

mol/L) and then pumped into a nebulizer from a syringe. A piezoelectric material in the

nebulizer vibrates at a frequency of 1.44 MHz, which generates a mist of precursor 3 and PhCN,

and the mist is then transported to the reactor with a carrier gas. The N2 (99.999%, Airgas)

carrier gas flow velocity was 0.025 m/s, and the mixture of precursor and solvent was injected at

Page 126: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

126

a rate of 0.5 ml/h. Pure N2 gas was also delivered to the annulus and sweep lines after same flow

velocity (0.025 m/s) and sufficient time was allowed to reach steady-state before measurements

were taken. Then the 1W Nd:YAG solid-state laser line (532.08 nm) was used to excite complex

3 and several vibrational Raman excitation lines were observed.

9.2 Multiphase Flow Simulation of the Raman-Assisted CVD reactor

Simulations on flow and thermal patterns in the reactor were performed using FLUENT™

computational fluid dynamics (CFD) packages. Equations of conservation for mass, momentum,

and energy were solved with geometry and boundary conditions specific to this reactor geometry.

The conservation of mass can be written as follows.

)( vt

(9-1)

This equation describes the time rate of change of the fluid density at a fixed point in the

space. The vector v is the mass flux, and its divergence is the net rate of mass efflux per unit

volume.

The conservation of momentum can be written as:

gpvvvt

][][ (9-2)

This equation describes the rate of increase of momentum per unit volume. The term

][ vv is the rate of momentum addition by conservation per unit volume. The two terms

][ p are the rate of momentum addition by molecular transport per unit volume. The

term g is the external force of gravity on the fluid per unit volume. The conservation equation

for momentum is equivalent to Newton‟s second law of motion: the statement of mass x

acceleration = sum of forces.

The conservation of energy can be written as:

Page 127: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

127

)():(])[()()()2

1()

2

1( 22 gvvvvppvv

t

(9-3)

This equation describes the rate of increase of kinetic energy per unit volume. Two major

energy terms commonly used in computational fluid mechanics are kinetic energy associated

with observable fluid motions of the molecules, plus the energy of interaction between molecules.

The term )2

1( 2v is the rate of addition of kinetic energy by convection per unit volume.

The term )( pv is the rate of work done by pressure of the surroundings on the fluid. The

term )( vp is the rate of reversible conversion of kinetic energy into internal energy. The

term ])[( v is the rate of work done by viscous forces on the fluid. The term ):( v is

the rate of irreversible conversion from kinetic to internal energy. The term )( gv is the rate of

work by gravity on the fluid.

FLUENT™ uses a Finite Volume (FV) method to convert the governing equations to

algebraic equations. Algebraic equations can be solved numerically in order to solve these

coupled conservation partial differential equations (PDE). The solution domain is subdivided

into a finite number of contiguous control volumes (CV). Then, the conservation equations are

applied to each CV. This CV technique has two parts for integrating the governing equations

about each CV and yielding discrete equations to conserve each quantity on a CV basis.

The mesh was generated using the GAMBIT™ (version 2.2.30) with cylindrical

coordinates in two-dimensional format. An unstructured quadruple grid was employed. Three

types of boundary conditions were assigned during the mesh design step: inlet flow velocity at

reactor inlet, outflow type at reactor outlet, and surface wall temperature at heater surface. The

segregator solver was used, where the governing equations (momentum, continuity, and scalar)

Page 128: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

128

are solved sequentially rather than in a simultaneous way. Note that “sequentially” means

“segregated from one another”.

The simulation of the behavior of the flow and thermal pattern in the reactor was

performed using FLUENT™ (version 6.2.16). The operating pressure was fixed at 101325 Pa,

that is an atmospheric pressure growth condition for the CVD reactor, and the gravitational

acceleration was turned on to the minus Y axis direction (- 9.8 m/s). Boundary conditions used

in this simulation are summarized in the following table (Table 9-1). The inlet velocity was

0.025 m/s, while the outlet boundary condition uses a typical outlet pressure of reactor, which is

760 Torr. The temperature at the heater surface is set at 1200 K and there is no heat flux through

the side-walls of internal reactor. Figures 9-3 and 9-4 show the calculated contours of static

temperature and velocity magnitude from the CFD simulation. Figure 9-5 shows the calculated

contours of velocity magnitude and volume fraction of the secondary phase in the multiphase

flow model.

Page 129: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

129

Table 9-1. Boundary conditions for CVD reactor

Boundary location Boundary type Specific condition

Inlet flow Velocity inlet 0.025 m/s

Outlet flow Outlet pressure 760 Torr

Heater temperature Wall 1200 K

Reactor wall Wall No heat flux

Page 130: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

130

Vent Vent

C eramic cap

Heater

Quartz wall

S ubstrate

S tainless screen

Quartz ball

S weep inlet

Annulus inlet

C enter flow

Annulus flow

S weep flow

L AS E R

C arrier gas

M.O. source

Nebulizer

r

z

Vent Vent

C eramic cap

Heater

Quartz wall

S ubstrate

S tainless screen

Quartz ball

S weep inlet

Annulus inlet

C enter flow

Annulus flow

S weep flow

L AS E R

C arrier gas

M.O. source

Nebulizer

r

z

1,200 KHeater wall

No heat fluxReactor wall

760 TorrOutlet pressure

0.025 m/sVelocity inlet

SpecificationsBoundary Type

1,200 KHeater wall

No heat fluxReactor wall

760 TorrOutlet pressure

0.025 m/sVelocity inlet

SpecificationsBoundary Type

Figure 9-1. Schematic photographs of A) CVD reactor system that is interfaced to the Raman

spectrometry ; B) nebulizer system; C) the impinging jet probe reactor.

A) B) C)

Page 131: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

131

Figure 9-2. Mesh design of CVD reactor using GAMBIT™.

Page 132: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

132

Figure 9-3. Color filled contours of static temperature (K) and contour line of static temperature

(K) in the vicinity of the heater.

Page 133: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

133

Figure 9-4. Contours of velocity magnitude (m/s) and velocity vector colored by velocity

magnitude (m/s) in the vicinity of the heater.

Page 134: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

134

A) B)

C) D) Figure 9-5. Contours of velocity magnitude (m/s) and volume fraction of solvent phase in

multiphase flow model.

Page 135: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

135

E) F)

G) H) Figure 9-5. Continued

Page 136: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

136

I) J)

K) L) Figure 9-5. Continued

Page 137: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

137

CHAPTER 10

CONCLUSIONS AND FUTURE WORK

Films grown by CVD using 1, 2, and 3 were used to investigate the film properties and

diffusion barrier quality. The results detailed in chapters 3 – 8 indicate that tungsten-based films

have many positive properties important to diffusion barrier application. First, the work

demonstrates that WNxCy is an effective Cu diffusion barrier material to prevent the transport of

Cu into Si. Films deposited at 400 °C using 1, 2, or 3 are able to prevent Cu interdiffusion after

annealing at 500 °C for 30 min under N2 atmosphere. In particular, samples annealed at higher

temperature using 3 showed evidence of failure only when annealed at 700 °C. Second, each

precursor 1, 2, and 3 yielded film growth at temperatures as low as 300 °C, indicating that facile

precursor decomposition pathway and aerosol-assisted metal-organic CVD can be used at an

acceptable deposition temperature (< 400 °C) for diffusion barrier applications. Third, WNxCy

promotes the PVD growth of Cu with the preferred (111) orientation on a WNxCy/Si stack. It is

noted that for metallization applications, the Cu(111) texture is preferred since it shows a higher

resistance to electromigration. Fourth, addition of C to WNx causes to lower the film resistivity

because WCx phase has a lower resistivity than WNx. It was also found that incorporation of

NH3 in the gas stream results in the deposition of higher resistivity films due to the greater

incorporation extent of N. Finally, WNxCy films show good adhesion to Cu, indicating the

Cu/WNxCy/Si stack is thermally and mechanically stable after annealing at 500 °C for 30 min.

10.1 Ru-WNxCy for Diffusion Barrier and Cu Direct-Plate Applications

Several groups have attempted the growth of bilayer direct plate liner/diffusion barrier

materials for Cu integration without the need for a Cu seed layer. A mixed phase Ru-WNxCy

deposited by aerosol-assisted metal-organic CVD (or metal-organic ALD) is proposed as a novel

direct-plate liner for advanced Cu metallization [66]. From present study, it is know that WNxCy

Page 138: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

138

diffusion barriers an effective Cu barriers. Based on the combination of known barrier properties

and electrochemical properties, indicating a higher affinity to the direct-plate process, a tungsten-

based diffusion barrier can be selected as a candidate material to combine with Ru for evaluation

as an extendible direct-plate liner technology. The Ru-WNxCy mixture can be deposited using

the precursors from this study and Ru precursors supported by Dr. McElwee-White in the

Department of Chemistry at the University of Florida. By mixing both precursors in the solvent,

The Ru:W overall composition in the films can be varied simply by changing the relative

concentration of both precursors in PhCN. The current Cu barrier/seed stacks are a trilayer

consisting of PVD Cu on top of Ta (adhesion layer)/TaN (diffusion barrier). Using Ru-WNxCy

mixture phase films, it may be possible to replace the traditional PVD Cu/Ta/TaN stack with a

single layer of physical mixtures. That is the Ru should provide good adhesion since the WNxCy

showed nucleate Cu (111) [66].

10.2 WNxCy for Realistic Diffusion Barrier Testing

The diffusion barrier films tested in the present study were 15 to 20 nm in thickness. As

features in interconnects continue to shrink to align with the International Technology Roadmap

for Semiconductor (ITRS), the thickness of the diffusion barrier is required to be 2.9 nm in 2015

for metallization. Thus, a study of how thin can the barrier layer be grown and still be effective

should be made. The film thickness can be easily reduced by either reducing the reaction time or

the precursor concentration in the solvent by aerosol-assisted metal-organic CVD. The diffusion

barrier test results in the present study were characterized by XRD measurement, AES depth

profiling, Secco-etch test, cross-sectional TEM imaging with the EDS analysis, sheet resistance

measurement, and SEM surface imaging. These techniques require significant Cu transport

across the barrier to be effective. It is suggested that electrical characterization such as triangle

voltage sweep (TVS) techniques should be used to detect trace of Cu transport through the

Page 139: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

139

barrier film to detect the time barrier limit. The effective capacitance of the structure is

employed as a measure of the free charge of Cu ions that has diffused through the barrier into the

adjacent dielectric. This result could then be correlated with the other methods to give a sense of

their sensitivity.

Page 140: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

LIST OF REFERENCES

[1] E. Kolawa, P. J. Pokela, J. S. Reid, J. S. Chen, M. A. Nicolet, Appl. Surf. Sci. 53 (1991)

373-376.

[2] A. E. Kaloyeros, E. Eisenbraun, Annu. Rev. Mater. Sci. 30 (2000) 363-385.

[3] R. Rosenberg, D. C. Edelstein, C. K. Hu, K. P. Rodbell, Annu. Rev. Mater. Sci. 30

(2000) 229-262.

[4] A. A. Istratov, J. Electrochem. Soc. 149(1) (2000) G21-G30.

[5] M. Wittmer, Appl. Phys. Lett. 36 (1980) 456-458.

[6] D. H. Kim, S. L. Cho, K. B. Kim, J. J. Kim, J. W. Park, Appl. Phys. Lett. 69 (1996) 4182-

4184.

[7] G. Llauro, R. Hillel, F. Sibieude, Chem. Vapor Depos. 4 (1998) 247-252.

[8] M. H. Tsai, S. C. Sun, H. T. Chiu, C. E. Tsai, S. H. Chuang, Appl. Phys. Lett. 67 (1995)

1128-1130.

[9] T. Hara, M. Tanaka, K. Sakiyama, S. Onishi, K. Ishihara, J. Kudo, Jpn. J. Appl. Phys. 36

(1997) L893-L895.

[10] N. Gonohe, Mater. Trans. 43 (2002) 1585-1592.

[11] O. H. Gokce, S. Amin, N. M. Ravindra, D. J. Szostak, R. J. Paff, J. G. Fleming, C. J.

Galewski, J. Shallenberger, R. Eby, Thin Solid Films 353 (1999) 149-156.

[12] D. J. Kim, H. S. Sim, S.-I. Kim, Y. T. Kim, H. Jeon, 20 (2002) 194-197.

[13] W. Koh, D. Kumar, W. M. Li, H. Sprey, I. J. Raaijmakers, Solid State Technol. 48 (2005)

54-58.

[14] R. Fix, R. G. Gordon, D. M. Hoffman, Chem. Mater. 5 (1993) 614-619.

[15] S. Jeon, K. Yong, S. G. Park, S. W. Rhee, J. Vac. Sci. Technol. B 24 (2006) 1428-1431.

[16] B. H. Lee, K. J. Yong, J. Electrochem. Soc. 151 (2004) C594-C597.

[17] S.-H. Kim, S. S. Oh, H.-M. Kim, D.-H. Kang, K.-B. Kim, W.-M. Li, S. Haukka, M.

Tuominen, J. Electrochem. Soc. 151 (2004) C272-C282.

[18] O. J. Bchir, K. M. Green, H. M. Ajmera, E. A. Zapp, T. J. Anderson, B. C. Brooks, L. L.

Reitfort, D. H. Powell, K. A. Abboud, L. McElwee-White, J. Am. Chem. Soc. 127 (2005)

7825-7833.

Page 141: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

[19] H. M. Ajmera, A. T. Heitsch, O. J. Bchir, D. P. Norton, L. L. Reitfort, L. McElwee-

White, T. J. Anderson, J. Electrochem. Soc. 155 (2008) H829-H835.

[20] E. Eisenbraun, A. Upham, R. Dash, W. Zeng, J. Hoefnagels, S. Lane, D. Anjum, K.

Dovidenko, A. E. Kaloyeros, B. Arkles, J. Sullivan, J. Vac. Sci. Technol. B 18 (2000)

2011-2015.

[21] Y.-J. Lee, B.-S. Suh, M. S. Kwon, C.-O. Park, J. Appl. Phys. 85 (1999) 1927-1934.

[22] J. G. Fleming, E. Roherty-Osmun, P. M. Smith, J. S. Custer, Y. D. Kim, T. Kacsich, M.

A. Nicolet, C. J. Galewski, Thin Solid Films 320 (1998) 10-14.

[23] L. McElwee-White, Dalton Trans. (2006) 5327-5333.

[24] J. Koller, H. M. Ajmera, K. A. Abboud, T. J. Anderson, L. McElwee-White, Inorg.

Chem. 47 (2008) 4457-4462.

[25] H. Kim, C. Cabral Jr., C. Lavoie, S. M. Rossnagel, J. Vac. Sci. Technol. B 20 (2002)

1321-1326.

[26] K.-E. Elers, V. Saanila, P. J. Soininen, W.-M. Li, J. T. Kostamo, S. Haukka, J. Juhanoja,

W. F. A. Besling, Chem. Vapor Depos. 8 (2002) 149-153.

[27] H. Kim, J. Vac. Sci. Technol. B 21 (2003) 2232-2261.

[28] F. C. T. So, E. Kolawa, X. A. Zhao, E. T. S. Pan, M. A. Nicolet, J. Appl. Phys. 64 (1988)

2787-2789.

[29] H. P. Kattelus, E. Kolawa, K. Affolter, M. A. Nicolet, J. Vac. Sci. Technol. A 3 (1985)

2246-2254.

[30] M. Uekubo, T. Oku, K. Nii, M. Murakami, K. Takahiro, S. Yamaguchi, T. Nakano, T.

Ohta, Thin Solid Films 286 (1996) 170-175.

[31] K. Nakajima, Y. Akasaka, K. Miyano, M. Takahashi, S. Suehiro, K. Suguro, Appl. Surf.

Sci. 117/118 (1997) 312-316.

[32] S. D. Marcus, R. F. Foster, Thin Solid Films 236 (1993) 330-333.

[33] T. Nakajima, K. Watanabe, N. Watanabe, J. Electrochem. Soc. 134 (1987) 3175-3178.

[34] M. Nagai, K. Kishida, Appl. Surf. Sci. 70-1 (1993) 759-762.

[35] B. H. Lee, K. J. Yong, J. Vac. Sci. Technol. B 22 (2004) 2375-2379.

[36] J. E. Kelsey, C. Goldberg, G. Nuesca, G. Peterson, A. E. Kaloyeros, B. Arkles, J. Vac.

Sci. Technol. B 17 (1999) 1101-1104.

[37] H. T. Chiu, S. H. Chuang, J. Mater. Res. 8 (1993) 1353-1360.

Page 142: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

[38] E. L. Crane, H.-T. Chiu, R. G. Nuzzo, J. Phys. Chem. B 105 (2001) 3549-3556.

[39] J. P. Lu, W. Y. Hsu, J. D. Luttmer, L. K. Magel, H. L. Tsai, J. Electrochem. Soc. 145

(1998) L21-L23.

[40] J. Lin, A. Tsukune, T. Suzuki, M. Yamada, J. Vac. Sci. Technol. A 16 (1998) 611-614.

[41] J. Lin, A. Tsukune, T. Suzuki, M. Yamada, J. Vac. Sci. Technol. A 17 (1999) 936-938.

[42] Y. T. Kim, C. W. Lee, S. K. Min, Appl. Phys. Lett. 61 (1992) 537-539.

[43] S. E. Potts, C. J. Carmalt, C. S. Blackman, T. Leese, H. O. Davies, Dalton Trans. (2008)

5730-5736.

[44] A. C. Anacleto, N. Blasco, A. Pinchart, Y. Marot, C. Lachaud, Surf. Coat. Technol. 201

(2007) 9120-9124.

[45] O. J. Bchir, S. W. Johnston, A. C. Cuadra, T. J. Anderson, C. G. Ortiz, B. C. Brooks, D.

H. Powell, L. McElwee-White, J. Cryst. Growth 249 (2003) 262-274.

[46] O. J. Bchir, K. M. Green, M. S. Hlad, T. J. Anderson, B. C. Brooks, L. McElwee-White,

J. Cryst. Growth 261 (2004) 280-288.

[47] O. J. Bchir, K. M. Green, M. S. Hlad, T. J. Anderson, B. C. Brooks, C. B. Wilder, D. H.

Powell, L. McElwee-White, J. Organomet. Chem. 684 (2003) 338-350.

[48] O. J. Bchir, K. C. Kim, T. J. Anderson, V. Craciun, B. C. Brooks, L. McElwee-White, J.

Electrochem. Soc. 151 (2004) G697-G703.

[49] H. S. Sim, S.-I. Kim, Y. T. Kim, J. Vac. Sci. Technol. B 21 (2003) 1411-1414.

[50] C. W. Lee, Y. T. Kim, J. Vac. Sci. Technol. B 24 (2006) 1432-1435.

[51] J. W. Klaus, S. J. Ferro, S. M. George, J. Electrochem. Soc. 147 (2000) 1175-1181.

[52] J. W. Klaus, S. J. Ferro, S. M. George, Appl. Surf. Sci. 162 (2000) 479-491.

[53] J. S. Becker, R. G. Gordon, Appl. Phys. Lett. 82 (2003) 2239-2241.

[54] J. S. Becker, S. Suh, S. Wang, R. G. Gordon, Chem. Mater. 15 (2003) 2969-2976.

[55] P. de Rouffignac, Z. Li, R. G. Gordon, Electrochem Solid-State Lett. 7 (2004) G306-

G308.

[56] A. M. Hoyas, J. Schuhmacher, C. M. Whelan, T. F. Landaluce, D. Vanhaeren, K. Maex,

J. P. Celis, J. Appl. Phys. 100 (2006) 114903-6-114903-6.

[57] K. S. Kim, M. S. Lee, S. S. Yim, H. M. Kim, K. B. Kim, H. S. Park, W. Koh, W. M. Li,

M. Stokhof, H. Sprey, Appl. Phys. Lett. 89 (2006) 081913-1-081913-3.

Page 143: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

[58] A. M. Hoyas, C. M. Whelan, J. Schuhmacher, K. Maex, J. P. Celis, Microeletron. Eng. 83

(2006) 2068-2071.

[59] H. Volders, Z. Tokei, H. Bender, B. Brijs, R. Caluwaerts, L. Carbonell, T. Conard, C.

Drijbooms, A. Franquet, S. Garaud, I. Hoflijk, A. Moussa, F. Sinapi, Y. Travaly, D.

Vanhaeren, G. Vereecke, C. Zhao, W. M. Li, H. Sprey, A. M. Jonas, Microelectron. Eng.

84 (2007) 2460-2465.

[60] S.-H. Kim, S. S. Oh, K. B. Kim, D.-H. Kang, W.-M. Li, S. Haukka, M. Tuominen, Appl.

Phys. Lett. 82 (2003) 4486-4488.

[61] S.-H. Kim, S. S. Oh, H.-M. Kim, D.-H. Kang, K.-B. Kim, W.-M. Li, S. Haukka, M.

Tuominen, Mat. Res. Symp. Proc. 766 (2003) E10.9.1-E10.9.7.

[62] K. E. Elers, V. Saanila, W. M. Li, P. J. Soininen, J. T. Kostamo, S. Haukka, J. Juhanoja,

W. F. A. Besling, Thin Solid Films 434 (2003) 94-99.

[63] S. Smith, W.-M. Li, K.-E. Elers, K. Pfeifer, Microelectron. Eng. 64 (2002) 247-253.

[64] C. L. Dezelah, O. M. El-Kadri, K. Kukli, K. Arstila, R. J. Baird, J. Lu, L. Niinisto, C. H.

Winter, J. Mater. Chem. 17 (2007) 1109-1116.

[65] W. X. Zeng, X. D. Wang, S. Kumar, D. W. Peters, E. T. Eisenbraun, J. Mater. Res. 22

(2007) 703-709.

[66] D. Greenslit, T. Chakraborty, E. Eisenbraun, J. Vac. Sci. Technol. B 27 (2009) 631-636.

[67] A. G. Orpen, L. Brammer, F. H. Allen, O. Kennard, D. G. Watson, R. Taylor, J. Chem.

Soc. Dalton. (1989) S1-S83.

[68] C. Redshaw, V. C. Gibson, W. Clegg, A. J. Edwards, B. Miles, J. Chem. Soc. Dalton.

(1997) 3343-3347.

[69] J. Chatt, M. E. Fakley, P. B. Hitchcock, R. L. Richards, N. T. Luong-Thi, J. Chem. Soc.

Dalton. (1982) 345-352.

[70] J. Koller, H. M. Ajmera, K. A. Abboud, T. J. Anderson, L. McElwee-White, Inorg.

Chem. (2007) 4457-4462.

[71] B. D. Cullity, S. R. Stock, Elements of X-ray diffraction: Third Edition; Third ed.;

Prentice Hall: Upper Saddle River, NJ, 2001.

[72] J. S. Reid, E. Kolawa, R. P. Ruiz, M. A. Nicolet, Thin Solid Films 236 (1993) 319-324.

[73] P. J. Pokela, C. K. Kwok, E. Kolawa, S. Raud, M. A. Nicolet, Appl. Surf. Sci. 53 (1991)

364-372.

[74] D. J. Kim, Y. B. Jung, M. B. Lee, Y. H. Lee, J. H. Lee, J. H. Lee, Thin Solid Films 372

(2000) 276-283.

Page 144: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

[75] R. J. Colton, J. W. Rabalais, Inorg. Chem. 15 (1976) 236-238.

[76] C. D. Wagner, W. M. Riggs, L. E. Davis, J. F. Moulder, G. E. Muilenberg, Handbook of

X-ray Photoelectron Spectroscopy; Perkin-Elmer Corporation: Eden Prairie, MN, 1978.

[77] J. F. Moulder, W. F. Stickle, P. E. Sobol, K. D. Bomben, Handbook of X-ray

Photoelectron Spectroscopy; J. Chastain and R. C. King Jr., Eds.; Physical Electronics,

Inc.: Eden Prairie, MN, 2000.

[78] H. L. Zhang, D. Z. Wang, N. K. Huang, Surf. Coat. Technol. 115 (1999) 140-144.

[79] Y. G. Shen, Y. W. Mai, Mater. Sci. Eng. B 76 (2000) 107-115.

[80] J. S. Lee, C. S. Park, J. Y. Kang, D. S. Ma, J. Y. Lee, J. Vac. Sci. Technol. B 8 (1990)

1117-1121.

[81] P. J. C. Chappell, M. H. Kibel, B. G. Baker, J. Catal. 110 (1988) 139-149.

[82] G. Leclercq, M. Kamal, J. M. Giraudon, P. Devassine, L. Feigenbaum, L. Leclercq, A.

Frennet, J. M. Bastin, A. Lofberg, S. Decker, M. Dufour, J. Catal. 158 (1996) 142-169.

[83] V. Crist, Handbook of Monochromatic XPS Spectra: The Elements and Native Oxides;

John Wiley & Sons, Ltd.: New York, 2000.

[84] J. Luthin, C. Linsmeier, J. Nucl. Mater. 290-293 (2001) 121-125.

[85] Y. S. Won, Y. S. Kim, T. J. Anderson, L. McElwee-White, Chem. Mater. 20 (2008)

7246-7251.

[86] K. Takahashi, M. Nakayama, S. Tokoyama, T. Kimura, E. Tokumitsu, H. Funakubo,

Applied Surface Science 216 (2003) 296-301.

[87] Y. S. Won, Y. S. Kim, T. J. Anderson, L. L. Reitfort, I. Ghiviriga, L. McElwee-White, J.

Am. Chem. Soc. 128 (2006) 13781-13788.

[88] S.-L. Cho, K.-B. Kim, S.-H. Min, H.-K. Shin, S.-D. Kim, J. Electrochem. Soc 146 (1999)

3724-3730.

[89] S.-H. Kim, D.-S. Chung, K.-C. Park, K.-B. Kim, S.-H. Min, J. Electrochem. Soc. 146

(1999) 1455-1460.

[90] J. F. Moulder, W. F. Stickle, P. E. Sobol, K. D. Bomben, Handbook of X-ray

Photoelectron Spectroscopy; J. Chastain and R. C. King Jr., Eds.; Physical Electronics,

Inc.: Eden Prairie, MN, 1995.

[91] A. Furuya, M. Tagami, K. Shiba, K. Kikuta, Y. Hayashi, IEEE Trans Electron Devices 49

(2002) 733-738.

Page 145: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

[92] K.-E. Elers, V. Saanila, P. J. Soininen, W.-M. Li, J. T. Kostamo, S. Haukka, J. Juhanoja,

Chem. Vapor Depos. 8 (2002) 149-153.

[93] L. E. Toth, Transition Metal Carbides and Nitrides; J. L. Margrave, Ed.; Academic Press:

New York, 1971.

[94] A. C. Westerheim, J. M. Bulger, C. S. Whelan, T. S. Sriram, L. J. Elliott, J. J. Maziarz, J.

Vac. Sci. Technol. B 16 (1998) 2729-2733.

[95] H. M. Ajmera, A. T. Heitsch, O. J. Bchir, D. P. Norton, L. L. Reitfort, L. McElwee-

White, T. J. Anderson, J. Electrochem. Soc. 155 (2008) H829-H835.

[96] J. Y. Hwang, C. Park, M. Huang, T. Anderson, J. Crst. Growth (2005) 521-530.

[97] Y. S. Kim, Y. S. Won, H. Hagelin-Weaver, N. Omenetto, T. Anderson, J. Phys. Chem. A

(2008) 4246-4253.

Page 146: © 2009 Dojun Kimufdcimages.uflib.ufl.edu/UF/E0/04/10/42/00001/kim_d.pdf · 2013. 5. 31. · support of Dennis Vince (Chemical Engineering, UF), Jim Hinnant (Chemical Engineering,

BIOGRAPHICAL SKETCH

Dojun Kim was born in 1975 in Pusan, Korea. He entered Seoul National University in

March 1994 and received his Bachelor of Science degree in chemical engineering in February

1998. Following undergraduate, he started graduate school in Seoul National University and

received his Master of Science degree in chemical engineering in February 2000. Upon

graduation, he worked for more than five years as a process engineer for SK Engineering &

Construction (SKEC) in Seoul, Korea (2000 – 2005). The main role is process design,

simulation, control, and consultation for petrochemical and refinery processes. Following his

five years industry, he started his doctoral studies in the Department of Chemical Engineering at

the University of Florida in August 2005. He joined the electronic materials processing group

under the guidance of Dr. Timothy J. Anderson in December 2005. His research topic is

chemical vapor deposition and atomic layer deposition of metal nitride thin films for diffusion

barrier application. While he was working in SKEC and UF, he married Sora Park on February

23, 2002 and had a son, Jinho Kim, on October 28, 2003 (Seoul, Korea) and a daughter,

Katherine Nayoun Kim, on January 23, 2007 (Gainesville, FL). Upon graduation, he plans to

work as a senior process engineer in Intel‟s Portland Technology Development Division based in

Hillsboro, OR.