Challenges for giga-scale integration

Preview:

Citation preview

Pergamon

Microelectron. Reliab., Vol, 37, No. 7, pp. 985-1001, 1997 © 1997 Elsevier Science Ltd

All rights reserved. Printed in Great Britain PII: S0026-2714(96)00261-2 oo26-2714/97 $17.0o + o.o0

CHALLENGES FOR GIGA-SCALE INTEGRATION

EIJI TAKEDA Central Research Laboratory, Hitachi Ltd., Kokubunji, Tokyo 185, Japan

(Received 1 September 1995)

Abstract--The "giga-chip era" has begun, A new challenging approach to ULSI reliability is now greatly needed in response to the "paradigm shift" now being brought about by simple scaling limitations, increased process complexity, and diversified ULSI application to advanced multimedia and personal digital assistant (PDA) systems. A good example of this shift is the new movement from simple failure analysis by sampling the output of a manufacturing line to the "building-in-reliability" approach. To pursue this technique, greater importance will be attached to a deeper physical understanding of the significant relationships between the input variables and product reliability (including frequent use of Computer Aided Design, CAD and Design Automation, DA), and to total concurrent engineering from research labs to production sites. Furthermore, fast, new ULSI testing methods and new yield-enhancing redundancy techniques that reduce costs will be increasingly needed to achieve high reliability for ULSIs with 109 devices on a single chip. Only with these approaches can we pave the way for giga-scale integration (GSI) in the 21st century. © 1997 Elsevier Science Ltd.

1. INTRODUCTION

The "ULSI revolution" that is opening a new epoch in the electronics industry has made remark- able progress to such an extent that 109-10 I° transistors can now be fabricated on a single chip. E.g. current ULSI micro-fabrication technol- ogies are being pushed to new extremes by the DRAM community, thereby expediting research on 1 Gbit DRAMs [1] using a 0.16/lm design rule. Also, sophisticated micro-processors using 0.15 #m MOSFETs could possibly appear at the beginning of the 21st century.

From the manufacturing standpoint, process and device technologies in the deep-submicron region (0.1--0.3/~m) are, however, approaching practical limits and thus coincident achievement of high performance, high packing density, and high reli- ability is expected to become increasingly difficult. Furthermore, due to the large initial investment required by the fabrication process complexity, the ultimate profitability of a large investment has recently become a significant issue in the semi- conductor industry. Thus, there is an urgent need to reduce fabrication process costs by developing new approaches such as single wafer processing [2] and tool clustering, and increasing automation of process and factory control.

Additionally, new approaches to ULSI systems and architectures have been proposed to enhance the total performance of systems and to reduce system cost. Examples include the RAMBUS interface [3] and synchronous DRAMs that overcome bandwidth bottlenecks. Judging from the present trend ("para- digm shift") in ULSIs, it is now more important

to make ULSI chips and systems more profit- able by adding value--market breakthroughs, and simplify fabrication processes for higher reliability and standardization--production breakthroughs, as well as ultra-scaling breakthroughs.

For scaled MOSFETs, hot-carrier effects are still important even under low supply voltages (less than 3 V), and the Vth-scaling required for power supply voltage reduction and associated short- channel effect (e.g. punch-through), is now demand- ing much attention. Moreover, it is not too much to say that ULSIs have been developed mainly with continued reliability in mind. For each generation, device and memory structures, fabrica- tion processes, and materials have so far been determined by the need to overcome reliability problems, such as soft-error phenomena in ULSI memories, dielectric breakdown in insulators, and electro- and stress-migration in the interconnection. This tendency will continue. However, according to the current technology paradigm shift and ULSI business changes, a new strategy for ULSI technology must be introduced to realize giga-scale and nanometer LSIs.

In this paper, state-of-the-art ULSI technology is reviewed and the new reliability challenges for giga-scale integration (GSI) are considered.

2. DRAM TECHNOLOGY--FERROELECTRIC MATERIALS

Japanese ULSI technology and business have made great progress by using DRAMs as a technology driver and business strategy in the past 20 years. A key word was down-scaling of device dimensions,

985

986 E. Takeda

Row Decoder

Experimental 1-Gb DRAM i

Column Decoder

37.12 mm . . . . . .

Fig. l. Experimental 1 Gbit DRAM.

r I

which brought about down-sizing of computers. One gigabite DRAMs have already been reported, as shown in Fig. 1. However, DRAMs are now suffering from process complexity due to the complicated cell structures needed to reduce soft errors, leading to increased bit-cost and speed gap

between CPUs and memories. The former strongly requires use of ferroelectric materials such as PZTs in the memory capacitor; the latter requires DRAMs to shift to more system-oriented applications.

The trend in device parameters for each DRAM generation is shown in Fig. 2. It should be noted that

TREND OF DRAM DEVICE PARAMETERS

E

(3) .N CO

CL m c)

04

E

(1) .N 0')

50O

10C 50

1(] 5

1 0.5

16K

_i 500

71oo g - , , , ,~ " '~ fCapacitor~l _ 50 .~ : ~ , | Dielectric / - ~o co

.E:

[ ~ (Cell Size] ---=- S0 O

" % ~ ~ / r Capacitor1

64K 256K 1M 4M 16M 64M 256M 1G

( B i t )

Fig. 2, Trend of DRAM device parameters.

Experimental 1G DRAM Cell

Challenges for giga-scale integration 987

INCREASE OF PROCESS STEPS DUE TO DEVICE COMPLEXITY

cO- o

(/) N 8N C L o

Z

4M 16M 64M 256M

(Bit)

I1) O

4M ~ 1 6 M ~ 6 4 M ~ 256M ' eN I

LDD STC Fin-STC COB Crown a

Poly-Si Ta20 5 W-Wiring HSG ~ Capacitor W-Plug I1.

PZT

CMOS/BiCMOS 3

.~ Divided-Dataline Limiter Subthreshold-Leakag~ o Reduction Vcc/2 Pre-charge Dataline Twist

Fig. 3. Process steps due to device complexity.

the down-scaling of capacitor size and capacitor dielectric thickness is leveling off because of physical limits, in spite of the still monotonic decrease in cell size. This trend demands complicated three- dimensional cell structures, at least up to 256 Mbit DRAMs, resulting in increased bit-cost. The increase in process steps due to device complexity is demonstrated in Fig. 3. The key technology used for each generation is also shown in Fig. 3. These approaches have aimed at high performance and high packing density rather than low process cost. Thus, the number of process steps has been increasing with every DRAM generation. The solution to this problem is the use of ferroelectric materials such as PZT as a capacitor insulator.

The process step advantage gained from the use of PZT materials is shown in Fig. 4. A drastic

decrease in process steps is seen in ferroelectric DRAMs. However, it is very difficult, although urgent, to enhance the quality of ferroelectric films up to production level. At the moment, a dielectric constant of 1000 at 0.1/~m thickness has been achieved, which corresponds to I Gbit DRAMs. Leakage current is also an important factor. What is even more significant is the dependence of dielectric constant on film thickness (Fig. 5). It should be noted that the dielectric constant decreases as film thickness decreases. The physical mechanism for this phenomenon is not yet clear. Discovering this important mechanism will lead to ideal ferroelectric DRAMs that make good use of PZT polarization. The ferroelectric RAM is a non-volatile DRAM. Figure 6 shows trends in DRAM cell structures.

PROCESS STEP ADVANTAGE OF FERROELECTRIC DRAM

250 '~ 150 i ,jJ 2

N 150

I I I I

0 4M 16M 64M 256M 1-- 4G

DRAM Generations

Fig. 4. Process step advantage due to the use of ferroelectric materials as the capacitor insulator.

~1000 m

c O o

.=

100

RTA

f

i i 1 10 100 1000 10000

Film Thickness (nm) Fig. 5. Dielectric constant dependence on film thickness.

988 E. Takeda

" 4 ~ ~ i~i~ ~' i.. : .................................. ° ~

E~ 0 :

A A t"

~'~- ' I I , , ~ ~ ? i ~

E ~ ~ ~ e - " . i m l l ~ f / t

~_~ A-~---~- --

I, E ,,u, _- ~

° I r - - - . N - - r '

E ~,.- o,.

0 I--

J~l - ~ - i :~,

m

~- ¢0 r~ i

t

° l - '1

I

0

0

o

C

i - . .~

Challenges for giga-scale integration 989

o,I t - o t--

o u

t -

O o

10 101

9 10 - -

108 ._

1 0 7

1 0 6

i

I I

- m a g n e t i c t a p e . . . . . . . . . . . . . . . . . J - ' - " -" . . . . . . . . . . > -~,-;~'.;{'~G l-G- -

o . - " ~A ""; "~s6M"'

~ . ~ - " " . ' " A °" , " 64M ', 8mmVTR =, ~ ! - " . ' " " 64M, ' " ' . . . . . . . . . . ~ _ _ _ _-_ _ _ L. _ _ _ _j.~....~.& . . . . _. . . . . . . . . ~_ . . . . . . . . .

l a r g e f _ ~ / I E . " / A . 4 " " I I k / " ~ M " , ,O 1M ~ i

,mal(- - - - ~ - - - ~ - - -4M. ~ ;.= - - _ \ . . . . . . . . . . . . . . . ,L . . . . . . . .

-/~-"/~'~_~E~.~,. ,"' - t FLASH MEMORYI [ .,,25 K i

! I I

- m a g n e t i c D i s c . . . . . . . . . .'- . . . . . . . . . . . . . . . . . . . . . . ' - . . . . . . . .

5 1 0

1 9 8 0 1 9 9 0 2 0 0 0

Record Density Trend in DRAM and other medias Fig. 7. Record density trend in flash memory, DRAM and other medias.

year

CELL SIZE COMPARISON BETWEEN DRAM AND FLASH

&--. E :::£

v

N 03

O

O E

100

10

0.1

0.10 0 . 5 0 1 .00

Design Rule, F (l.tm)

Fig. 8. Cell size comparison between DRAMs and flash memories.

A N D F L A S H C e l l fo r 6 4 M bi t

990 E. Takeda

3. FLASH MEMORY TECHNOLOGY-- RELIABLE OXIDES

Flash non-volatile memories are making good progress with higher speeds than DRAMs (Fig. 7), aiming at application to personal digital assistants (PDA). A key for flash memories is high quality oxide/insulator technology, the same as for DRAMs. In particular, demand for 105-106 write/ erase cycles is very severe for gate oxides and seems to be close to intrinsic oxide breakdown. Therefore, new robust oxides such as N20-oxynitrided oxides are needed.

Furthermore, as shown in Fig. 8, in terms of cell size miniaturization, flash memory cells can be more

easily scaled down than DRAM cells. This fact will be important for future PDA applications• Flash memories, rather than DRAMs, may provide a key to the multimedia and PDA systems of the 21st century.

The characteristics of an AND cell [4] are compared with those of NOR cells and NAND cells [5] in Fig. 9. AND and NAND cells use tunneling injection for the write/erase operation, while the NOR cell is operated by hot-carrier injection. Tunneling and hot-carrier injections have different oxide degradation mechanisms. Thus, a different approach is needed to obtain reliable oxides•

AND FlAsh Memory Cell Structure

Memory cell

array Select

Select v Common sot

Schematic cross section

D1 D2 Dn i i

-

L~J 1 : I I

Metal data line

SEM cross section

( x30,000 )

Isolation Diffused Diffused source line data line

Fig. 9. AND flash memory cell array, schematic cross section and SEM cross section.

1.4

1.2

1.0 > .~0.8

LDD L=I.4 ~tm V D = 6.5V

o V a = 6.5V o V G = 4.5V A V o = 3.0V

V s = 0.0V

Challenges for giga-scale integration

- - 0 . 6

0.41

0.2'

I I 0.001 0.01 0.1 I

IZI (~'/)

Fig. 10. Source noise as a function of the wiring inductance.

991

10

8

6

1:

NMOS Tox = 13.5 nm

W/L = 3.6/0.6 ~tm

Ring oscillator

b~

o

~ 2

t ' 6 7 8 9

V~ (V)

Fig. I I. V. dependence of hot--cartier calculated lifetime ratio to experimental lifetime.

/ /

I 10

4. SCALED MOS DEVICES FOR HIGH P E R F O R M A N C E PROCESSORS

4.1. Hot-carrier effects

Hot-carrier effects have determined MOS device structures for many VLSI generations and so have been thoroughly studied. To reduce hot-carrier degradation in scaled MOS devices, two approaches have been taken: (1) hot-carrier resistant device structures such as D D D (double diffused drain), LDD (lightly doped drain) and GOLD (gate-drain overlapped device)--drain/gate engineer ing--and (2) reduction of power supply voltage (1.5-3 V). The former 5 V power supply suffers from power

consumption and process complexity. The low- voltage approach must overcome the current drivability issue and low speed (which is caused by non-down-scaling of V,h). A third approach is to make good use of AC (alternating current) effects, including the duty ratio. That calls for a deeper physical understanding of AC hot-carrier phenomena, followed by use of this understanding in the actual device/circuit design and supply voltage selection. Hot-carrier effects are still important,

O')

O > ¢-

O "O

i

,= rn

L )

-r"

100

10

5

0.1 0.01

I I D D D

L D D SD

G ~ D ' n+ ' ' n+

. . . . .

. . . . . . . . . . . . ' " " ° " " ~ ' S D

N M O S

C M O S

/ -/E/.gubst?,~te" Z/J Gate Drain

n~ineedng ] Engineering Engineering I 1 I I 1 I I I

0.02 0.05 0.1 0.2 0.3 0.5 1 I I I I I 2 3 5 10

Gate length ( !~ rn)

MOS Device Break- down Voltage Fig. 12. Hot-carrier breakdown voltage of MOS devices as a function of the gate length.

50

992 E. Takeda

MOSFET MINIATURIZATION AND Vth REDUCTION BY

SUBSTRATE ENGINEERING Simulation

T pEA m f 0.30 TYP

~ O.lO

o L U ~ i ~,PE-C TYPE-C ~-~ ~'J ' (Pocket) (Pocket) / I l l Miniaturization ( : j oto

0.0 0,1 0.2 0.3 0.4 0.5

Channel Length (l~m)

Fig. 13. Substrate engineering (typical three device struc- tures) and V,h-lowering characteristics.

Simulated Gate Delay Time vs. Supply Voltage

0.3p.m Technology, CL -- 1.0 pF 2

\ ~T~ BiNMOS /

2-Input

._E 1

TS-FS-BICMOS 0 ~x~2"input AND)

C L I

1 2 3 4 5 Supply Voltage (V)

Fig. 15. Simulated gate delay time for several Bi-CMOS circuits and CMOS circuit [10].

particularly in logic circuits in 3 V power supply systems, in the trade-off of hot-carrier effects vs performance.

In terms of AC hot-carrier effects, inductance- noise-induced-degradation and enhanced degra- dation specific to AC hot-carrier effects have been reported. Figure 10 shows the source noise (AVs) as a function of the wiring inductance (Z) with channel width (W) as a parameter. It is found that AVs is a logarithmic function of Z and is negligible when Z is smaller than 250 mQ (80 #H). That fact is important for circuit design as well [6]. Figure 11 also shows the ratio of calculated lifetime to measured lifetime as a function of drain voltage. The lifetime measured by the e-beam tester obviously becomes shorter

than the calculated one at voltages above 7 V. This is an enhanced degradation phenomenon. Of course, below 6V, there is obviously good agreement between calculation and experiments. Such an enhanced degradation can be explained in the following way. Neutral traps are generated by hot- hole injection and subsequently, many hot-electrons are trapped in the neutral traps, leading to the enhanced degradation. That is an intrinsic AC hot-carrier effect.

Figure 12 shows hot-carrier breakdown voltage. As can be seen, the 3.3 V supply voltage is a critical point for 0.3/~m MOS devices. Therefore, more attention should be paid to GOLD structures (gate-drain overlapped structure), which has a higher

source

.5

.4

conventional .0

0 1 2 3

DELTA Device Structure Typical I-V Characteristics Fig. 14. DELTA device structure and I - V characteristics.

Challenges for giga-scale integration 993

Concept of UniversaI-Vcc DRAM

Vcc

vo

Vcc

I/O I/o

LT.

POWER I /o SUPPLY ~;W/

UNIT I_. / VLN,VLP

DO

(vI

MINT

1.5V-64Mb I DRAM CORE

/ 3t- sw i voc

.-.. | Enable =- '; ~ Enable I(DCE Low) ! (DCE High)

2 i I- t ~ VINT

y 4v 00 1 ' 2 3 '

Vcc (V)

Fig. 16. Universal-Voc concept for DRAMs [12].

hot-carrier breakdown voltage than the LDD structure and higher channel current without severe gate length down-scaling.

4.2. Short-channel effects

When MOS devices are scaled down to less than 0.3 #m, short-channel effects are more significant than hot-carrier effects, because the width of the depletion layers surrounding the source/drain is close to the gate length value. In addition, due to the strong demand for lower power consumption, the supply voltage is reduced to 3.3-1.5 V, resulting in the reduction of hot-carrier effects. Thus, more attention must be paid to "substrate engineering" of device structures, such as the punch-through stopper, to suppress the short-channel effects. Under reduced supply voltage, it is important to simultaneously suppress Vth lowering and keep V,, low. Figure 13 shows V,h-lowering characteristics for three types of substrate engineering structures. Type A is the conventional one. Type B has a punch-through stopper within the substrate. Type C is the so-called pocket structure. It should be noted that the pocket structure provides low V,h and highly suppressed V,h lowering.

As the ultimate device structure of the substrate engineering method, much attention has recently been paid to thin film SO1 device structures. Although crystal quality problems remain, thin film SOI technology may be able to provide new low cost fabrication processes, as well as higher performance and low power consumption. It will drastically change the isolation process and the memory cell process. Figure 14 shows a new bulk thin film SOl device, DELTA [7]. The reason why the DELTA provides a large channel current is due to the effectively wide channel width and the thin film SOl effect (enhanced mobility).

Besides the conventional device miniaturization approaches, single electron transistors and memories are interesting [8]. Such devices make good use of the Coulomb blockade phenomenon as a device operating principle, because the Coulomb blockade is a more robust physical phenomenon than interfer- ence effects such as the AB effect. In addition, the single electron devices provide very low power electronics and very reliable memory devices, which may lead to the ultimate flash memories. The noise issue in the single electron devices is not clear, but at least for the single electron memories, the low-noise might be achieved as an extension of flash non-volatile memories. Thus, device structures from 0.3-0.1/~m should be re-examined from the view- points of reliability vs performance and memory vs logic circuits.

4.3. Isolation

In order to enhance device performance, drastic approaches such as silicidation of source and drain have been used to a great extent in logic circuits, but not memory circuits. From now on, because of the

2.5

~o

Threshold Voltage V T (V)

5 0 | - , 4_bit ADD_StrB I

Supply Voltage (V)

Works as low voltage as CMOS

Fig. 17. Comparison of suitable supply voltages and delay time between LEAP and CMOS circuits.

994 E. Takeda

Layout

CMOS LEAP

Area 84289[tm 2 (1.0) 48589[tm z (0.55)

Delay Time 3.62ns (1.0) 2.69ns (0.74)

Power 6.08mW/MHz (1.0) 3 .84mW/MHz (0.63)

Benchmark Test Results (4-bit Adder/Subtracter) Fig. 18. Comparison of 4-bit adder/subtracter benchmark test between LEAP and CMOS circuits.

need to reduce the parasitic effects, not only active devices, but also isolation will be more significant, particularly in the 0.3/~m regime, or to a lesser extent, logic circuits. To this end, as the LOCOS isolation is approaching its limits, trench isolation [9] will be more important in regions of 0.2/~m or less. However, the trench isolation has several problems such as side-wall leakage and mechanical stress. Therefore, new device designs that include isolation structures are strongly required.

5. LOW POWER ELECTRONICS--POST CMOS CIRCUITS

The advent of personal data processing and communication, coupled with device miniaturization, is strongly calling for low voltage operation. However, various constraints associated with lower- ing voltage, such as drivability degradation and the controllability of low threshold voltage, have not been solved, thus implying the need for new challenges to "low power electronics". Here, new low voltage devices and circuits are discussed from the viewpoints of CMOS and BiCMOS logic circuits, DRAM, and post-CMOS circuits (pass transistor logics).

So far, BiCMOS provides the benefits of the low power dissipation of CMOS and the high output drive capability of bipolar devices. However, under low-supply voltages, the gate delay time significantly increases due to the inherent built-in voltage of the bipolar devices. To overcome this problem, new circuit concepts suitable for low voltage operation have been proposed. Among them, the transiently saturated full-swing BiCMOS (TS-Fs-BiCMOS), which makes good use of the transient saturation technique of the bipolar junction transistors, enables

high-speed operation in the sub 2-V regime. Figure 15 shows simulated dependence of gate delay time on supply voltage. It can be seen that Ts-Fs BiCMOS provides the highest speed [11].

For standard DRAMs, the international operating voltage is expected to decrease to approximately 1.5 V for the 256 Mb to 1 Gbit DRAM generation. Although changing the external supply voltage is effective in reducing power dissipation, it is inconvenient for many users. Therefore, DRAMs that cover the wide operating voltage range from 1.5 to 3.3 or 5 V are increasingly important. Figure 16 shows the universal-lice concept, which covers a wide operating voltage range from 1.5 to 3.6 V. A dual voltage limiter ensures the reliability of the MOS devices in the I/O buffers. A two way power supply unit provides a constant internal operating voltage Fin, of c a . 1.5 V to ensure the reliability of the scaled MOS devices. Thus, the DRAM core always operates at around 1.5V, independently of the

Switching En_ercly of Electron Devices and Brain Cell

l o z ~ ~ ~ ~ - ~ - ]

~ - ~ BRAIN CI~LL I ~ " FI=FO=I "-.( \(Estimated) Z~. I ~ |

• = I ° " !

10 "12 10"9 10" 6 10 "3

Power Dissipation (W/gate)

Fig. 19. Switching energy comparison between electron devices and human brain cell.

Challenges for giga-scale integration 995

external I~2c, as shown here. This universal-Vet concept will be an essential technique for future high density memories.

To gain competitive advantages in performance, and cost of microprocessor and other logic LSIs, we are developing a new circuit technology named LEAP (lean integration with pass transistors) [13]. Pass-transistor circuits have an inherent advantage, that is, both charging and discharging can be done by a single transistor. Therefore, complex functions are achieved with a small number of transistors. In contrast, both pMOS and nMOS are needed to charge and discharge this capacitance in conventional CMOS. In actual ULSIs, however, pass-transistor logic has been used in a small portion of arithmetic macros. The major reason for this is that there is no established design methodology or tools for forming general logic functions. We cleared this hurdle by establishing the first top-down pass transistor logic design scheme called LEAP. The goal is to replace all CMOS circuits with pass transistors and achieve a major leap in value and cost of LSIs.

The function of a logic block is given in a hardware description language such as VHDL. Pass-transistor

logic circuits are then synthesized by the new tool, Circuit Inventor. Here, a binary decision diagram, BDD, is intensively used instead of conventional Boolean minimization, because the function of pass-transistor logic, selecting one of two data according to the control signal, is a "binary decision" itself and suits the BDD. The Circuit Inventor refers to this pass-transistor cell library, which consists of multiplexers. The unique feature of this library is that it does not include the more familiar cell functions, like NAND or NOR. Those functions are replaced by the multiplexers. The netlist generated using the pass-transistor cells is used in automatic placement and router to produce mask layout patterns.

One important issue to be clarified before using pass transistors is whether they work under the lower supply voltages. The nMOS pass transistors are believed to have poorer low-voltage performance than CMOS circuits, which might raise doubt about future applicability. Although the delay becomes larger than that of the CMOS when we reduce the supply voltage without reducing the threshold voltage, as shown in Fig. 17, in realistic situations LEAP is always faster than CMOS. LEAP has been

A 1 0 0 0 [ ]

E

1 0 0

0

0

10

(mono- !ii! layer ) ii I

',iiii!

I I I J a = = I 0.5gm C u L i n e s I I I I I

2 1 0 . 5 0 .3 0 . 2 0 .1

F e a t u r e S i z e (p.m)

Trend of Conductor Materials Fig. 20. Metallization trend with the sheet resistance as a criterion.

996 E. Takeda

shown to be faster than CMOS if the supply voltage is 2.7 times larger than the threshold voltage, which has always been satisfied in real LSIs.

Benchmark tests of a 4b Adder/Subtracter show that delay and power are improved by roughly 30-40% (Fig. 18). The total performance-cost ratio, which is defined as the product of these three figures, is three times as high as that of the conventional CMOS circuits.

Reducing power supply voltage will be regarded as reducing the switching energy. Figure 19 compares the switching energies of various electron devices with that of a human brain cell. It should be noted that the value for the deep-submicron CMOS technology is very close to the estimated value for the brain cell. This implies that, in addition to the conventional scaling, we may have to use new architectures such as the massively parallel processing architecture of the brain, in order to further increase processing capability without increasing the switching energy per function, as long as room temperature operation is required for PDA and multimedia uses.

6. METALLIZATION--Cu, LOW e AND CMP

Interconnection technology is becoming increas- ingly important, particularly in logic circuits. Figure 20 shows the trend of metallization, with sheet resistance as the criterion. An A1 monolayer is limited in the region of 1/~m, due to stress migration. After that, Al-rare metal multilayers have been used, but electromigration is a limiting factor near 0.2#m. In that case, there is a mixed use of multilayers and W. After that, Cu and grain-controlled AI will be important [14]. This figure also shows the effect of AI grain size on electromigration. Larger grains provide higher resistance against electromigration. Also, grain size is strongly dependent on barrier metals.

Figure 21 shows stress-migration lifetime as a function of feature size. It is clear that in the submicron region, monolayer AISi and AICuSi can not be used. Even Cu has poor stress-migration reliability in the region less than 0.2/~m. Thus, layered AI and Cu are important. In terms of AI

( Stress-migration 1011 I ~ ' ~ U l J

- ayered Cu

-D [Layered AI ~, 1 0 9

etc. "i AI

¢ 7 .o 10

10 5 . . . . lOyears

m I. (h U) .o

I

4)

ram,, .~

Y t ./

i I°l I

' D

f /

/ / 10 3 I I

0.01 0.1 1

I I

Feature Size (pm)

I 1

10

Stress-Migration Reliability of Materials (For Cu, W, layered AI, and layered Cu, Ilfstimes are estimated using the msterlals constants)

Fig. 21. Stress-migration lifetime as a function of feature size.

Challenges for giga-scale integration 997

mono-layer stress-migration lifetime as a function of mechanical stress, a thinner passivation layer and a lower passivation growth temperature provide higher lifetime. This implies that low temperature processes are important. Metallization technology, including CMP (Chemical Mechanical Polishing), should be developed with application to logic circuits, as well as to memories, in mind. Furthermore, interlevel dielectric reliability and a lower dielectric constant e ( < 3) will be needed to achieve higher performance microprocessors.

7. LITHOGRAPHY--PATTERN SIZE FLUCTUATION

Among the fine-line patterning technologies, the lithography system is so important that its selection for each VLSI generation is a key factor in busi- ness success. Lithography systems, consisting of exposure tools, masks, resist materials and etching processes, require a large initial investment and have a large impact on factory operating cost. Thus, lithography has dominated fabrication technology. Given its importance, new concepts in lithography might also pave the way to future, low-cost submicron processes.

The debatable issue is which technologies are most promising for lithography systems in the regions of 0.3~0.1/~m--optical, e-beam, proximity X-ray or projection X-ray lithography. Also, below 0.2/~m, resist pattern size fluctuation reflecting the

resist molecular size itself will be a significant constraint.

7.1. Optical lithograph), So far, optical lithography has always been pushed

to its limit not only by using shorter wavelengths [1] (from g-line and i-line to excimer lasers: KrF and ArF, etc.), but also by controlling the wave phase, e.g. phase shift methods and spatial filtering methods. Such super high resolution techniques are still preventing e-beam and X-ray lithographies from entering production lines. Due to such great advances, it can be said that the resolution limit of optical lithography will be extended down to about 0.15/~m (corresponding to l Gbit DRAMs). Some have argued that 0.125/~m may be possible using an excimer laser and reflection optics.

Depth of focus (DOF) is also very important for lithography, because the sum of VLSI topography, wafer variation and focus-plane positioning control often exceeds 1 #m. At the moment, new technologies are being used to overcome planarization problems. One is grooving Si substrates, resulting in recessed high-aspect-ratio features. Another approach is to use chemical mechanical polishing. Figure 22 shows a recessed stacked capacitor cell technology used to reduce the high aspect ratio features of 256 Mbit DRAMs. To reduce the difference in DOF between the memory array and peripheral circuits, the Si substrate at the memory area was grooved using an oxidation process at the beginning of the fabrication process.

.=

10 10

5 - 5

1 1 ~1

o.s o.5 ,3 .c:

gh aspect I1111 1il 0.I ~ 0 . I

IM 4M 16M 64M 256M

Memory Capacity (bits)

~.:wave length NA:numerical apparture

T r e n d of M i n i m u m feature size and Depth o f Focus

[ 33.2 mm I

2 5 6 M b C h i p

Peripheral storage node

14.4 mm

1 circuit Memory array ..... t ~ A M

. ,_ r,_ - , . . . . [~B H ~ ~B ltll

Principle o f RSTC* ~- 1.~ urn- * Recessed STacked Capacitor cell

Topography of Memories Fig. 22. Trend of minimum feature size, and depth of focus and RSTC concept.

998 E. Takeda

.:::::::1

~ ~ , ~ ~ ~-I ~ ,~

--~ "~_ • :i~#~'. .--

" ' - ~ ~s" ~iJ ~ i

0 0 0 0 0 0 l l ~

o ,e , , - , e - -

(~ wn.JqpeleM.9) Luew 10 eJn6!..-I

o.

Q

d

E E

• O ~

LLI

O

d

d

E

c- Q.

o

U~

E

o

u .

G

. ,,..,

~a

Challenges for giga-scale integration 999

Among several approaches to realize planarization, the CMP method can provide almost perfect planarization in a whole wafer region. Such perfect planarization results in an improvement of resol- ution. Thus, the resolution limit of optical lithogra- phy will also be extended. The superiority of optical lithography will therefore continue for a while. In this case, it is important to develop phase-shift masks and to implement modified illumination in a total system with mass-production reliability in mind. However, it may be impossible for optical lithography (even ArF and F2 excimer lasers) to invade regions less than 0.1/~m. Therefore, other lithography systems will inevitably be introduced for use in the nanometer regions.

7.2. Electron-beam lithography

Electron-beam lithography is now being used mainly for making reticles, the direct writing of wire fabrication for ASICs (application specific ICs) and the feasibility checking of small sized devices, i.e. not for mass-production. Each purpose has different requirements: reticle making requires a high positioning/overlay accuracy in a large area, direct writing of VLSIs needs a high throughput, and feasibility checking of scaled MOS devices and mesoscopic (quantum) devices requires ultra-fine patterning. There is still a severe trade-off between throughput and accuracy/fine-line patterning. This fact strongly calls for a new breakthrough that simultaneously satisfies high throughput and high accuracy.

Figure 23 shows the figures of merit for each lithography system. The definition of figure of merit is throughput per resolution times registration. In terms of electron beam lithography, the present cell projection approach [15] is limited by cell pattern distortion due to aberrations in the objective lens. This strongly demands low-distortion optics. How- ever, even using such a low-distortion lens, the cell projection method will be limited by the fabrication limit of cell aperture with a higher aspect ratio as device dimensions are scaled down. Even if the cell aperture material is changed from Si to W, such a fabrication limit will occur. Therefore, a new concept aiming at high throughput is strongly required. Recently, such new concepts as multi- columns have been proposed, but do not seem to be sufficient.

On the other hand, in regard to the reticles for optical and projection X-ray lithographies, the chip size becomes increasingly large with the down-scaling of device dimensions, and as a matter of course, a larger reticle will be required, leading to a further increase in exposure field in the stepper optics. This requires a decrease in demagnification, e.g. from 1:5 to 1:4, resulting in a more severe positioning/overlay accuracy. E.g. with a 0.15 k~m design rule, the total error budget on the wafer is 0.05/~m, which is one third of the design rule, that includes the error

budget for the reticle (0.007/~m), resulting in a 0.035/~m overlay accuracy in the case of 1-5 demagnification. In the case of 1-4 demagnification, the required overlay accuracy is more severe. This is a significant point for future reticle making electron- beam systems.

With regard to resist pattern edge roughness [16], caused by the resist molecular size, Fig. 24 demonstrates the significant impact of molecular size induced pattern fluctuation on resolution limits. This implies that smaller molecular size without sacrificing resolution will be important.

7.3. Proximity X-ray lithography

Although it is commonly said that proximity X-ray lithography is the most promising technique in the replication of patterns as small as 100 nm or less, there will be a new physical phenomenon different from diffraction, which will determine the resolution limitation. These are the waveguide effect and the secondary electron effect. The waveguide effect is due to the interaction of many waves reflected from mask patterns, which leads to the degradation of

..... " ; ~ ~, . . . . . . . ~ •

A example of resist pattern edge roughness by' experiments and simulation

Fig. 24. Resist pattern edge roughness reflecting molecular size itself.

1000

f

103

¢•E•,• 102 o == z

10

E. Takeda

Photon energy (eV) 10 4 10 3

I I 10 2

1

Mask-wafer gap: 10 pm

. . . . . . . . . r. ;'-J

Secondary electrons \

Sl ls energy (4 0.68 nm

/

l l , . l , , , I ~ , , I

10 1 1 , ~ i I . . l i

1 0 1

Wavelength (nm)

1. Waveguide effect

2.Secondary electrons

[ Optical resolution limit I .# w.

Mechanical

Ele, ) n

Lowest absorber stress f

151- .'SIN SiC j f " ~ / / ' / D i a m o ~ , 1 ] 1G

e / . / . . . . . . . . . . . . . . . . . . . . . "t , ............................... * 4G , , "-:-'~ ...................

0 10 20 30 40 50 Absorber stress (MPa) I Pattern displacement for I

t different membranes I

I Mask accuracy limit I "

I,,,Pr°ximity X-ray lithography i Fig. 25. Two main constraints in the proximity X-ray lithography--optical resolution limit and mask

accuracy limit.

resolution. The secondary electrons include those excited in the resist polymer and those from the substrates. These electrons cause proximity effects in the same way as e-beam lithography.

In addition, a more severe constraint on proximity X-ray lithography is mask accuracy, as shown in Fig. 25. It should be noted that the required accuracy for a 0.1 pm design rule is much more severe than for the present status and the technical limit of the electron beam system. Furthermore, the pattern displacement due to absorber stress reduces mask accuracy. Thus, the practical limit of proximity X-ray lithography is approximately 0.1/~m.

7.4. Projection X-ray lithography

Projection X-ray lithography is known to have severe constraints, such as a l-nm-figure-accuracy of aspherical mirrors with multilayers (e.g. Mo/Si) in the 0.1 pm design rule and a reflectivity greater than 60%. However, in principle, the resolution limit of the projection X-ray lithography system can be extended to ca. 30 nm. Of course, in the same way as for optical lithography, the phase-shift method can be used. A final constraint on the resolution is a deteriorated reflectivity determined by a degraded figure accuracy of mirrors. E.g. the accuracy of a spherical mirror for 4 Gbit DRAMs is, surprisingly, ! nm. To achieve such an accuracy, sophisticated

metroiogy is needed. Furthermore, from the view- point of throughput, e.g. 20 wafers/h, the require- ments for multilayer reflectivity depend on the X-ray wavelength. A 60% reflectivity for the Mo/Si multilayer has been achieved.

Using this Schwarzschild imaging system, we have succeeded in fabricating a 0.07/~m resist pattern (Fig. 26).

Another problem for future lithography is alignment accuracy. With down-scaling of device dimensions, the alignment accuracy demanded is more severe. The limitation of conventional alignment is approximately 0.05/~m, which corre- sponds to, at most 256 Mbit DRAMs. Wafer rear surface alignment is strongly needed because there is less influence on the fabrication process. The limitation of rear surface alignment can be less than 0.02 pm.

In terms of device damages caused by each lithography, the details have not yet been made clear, but in the regions below 0.1 #m, much attention should be paid to oxide damage (e.g. neutral trap formation).

8. CONCLUSIONS

At the beginning of the 21st century, giga- scale memories and GIPS processors with device

Challenges for giga-scale integration lO01

~ x-ray primary

reflecf©n I ~ I mask

secondary u mirror wafer

Schematic layout of a Schwarzschild SEM micrograph of resist pattern imaging system formed with 13nm radiation

. . . . imaging resuiis-atHiiachi centrai Research Laboiat0ry Fig. 26. An example of resist pattern fabrication by the projection X-ray lithography.

dimensions as small as 0.1/zm or less, could appear in the production level. Consequently, the attitude toward ULSI development should be changed along with the inevitable technology and social paradigm shifts. An overview of new challenges to the coming GSI technology was discussed in this paper. The key words are high performance, high reliability, and highly diversified ULSI applications under low cost and low power with customer satisfaction in mind. How can we manage these inconsistent trade-offs? We will have to cope with the new wave and a new paradigm that are quite different from the down-scaling approaches. A new challenge to G S I reliability such as building-in reliability will be strongly required. Fortunately, such new user-oriented systems as game softwares and chips, and such multimedia systems as "Karaoke" have already been developed. These experiences encourage us to open the new GSI era. Also with new approaches to D A / C A D systems including virtual factories, as well as ULSI technology, we can pave the way for intelligent GSls in the 21st century.

R E F E R E N C E S

1. Horiguchi, M. et al., An experimental 220-MHz 1 Gb DRAM. IEEE ISSCC, 1995, 252-253.

2. Doering, R. R., Trends in single-wafer processing, 1992 Syrup. on VLSI Techn. Dig. o f Technical Papers, 1992, pp. 2-5.

3. Kushiyama, N., Ohshima, S., Stark, D., Sakurai, K., Takase, K., Furuyama, T., Barth, R., Dillon, J., Gasbarro, J., Griffin, M., Horowitz, M., Lee, V., Lee, W. and Leung, W., Symposium on VLSI Circuits, 1992, pp. 66-67.

4. Kume, H. et al., 1EEE IEDM, 1992, 991-993. 5. Masuoka, F. et al., 1EEE I E D M 1984, 464-467. 6. Takeda, E. et al., IRPS, 1991, 118-122. 7. Hisamoto, D. et al., IEEE IEDM, 1991, 959-961. 8. Yano, K. et al., IEEE IEDM, 1993, 541-544. 9. Ishimaru, K. et al., IEEE IEDM, 1995, pp. 673-676.

10. Hiraki, N. et al., 1SSCC, 1992, pp. 48-49. 11. Shimohigashi, K. and Seki, K., Symposium on VLS1

Circuits, 1992, pp. 54-58. 12. Nakagome, Y. et al., ESSCIRC, 1990, pp. 118 121. 13. Yano, K. et al., IEEE 1994 Custom Integrated Circuit

Conf., pp. 603-606. 14. Miyazaki, H. et al., 1994 Fall Meeting o f the Material

Research Society El.6, pp. 175-176. 15. Sakitani, Y. et al., J. Vac. Science Technol. B, 1992,

10(6), 2759-2763. 16. Scheckler, E. W. et al., 1993 Symposium on VLSI

Technology, pp. 149-150.

Recommended